JP2023514283A - バックサイドソースコンタクトを備える3次元メモリデバイスを形成するための方法 - Google Patents

バックサイドソースコンタクトを備える3次元メモリデバイスを形成するための方法 Download PDF

Info

Publication number
JP2023514283A
JP2023514283A JP2022549345A JP2022549345A JP2023514283A JP 2023514283 A JP2023514283 A JP 2023514283A JP 2022549345 A JP2022549345 A JP 2022549345A JP 2022549345 A JP2022549345 A JP 2022549345A JP 2023514283 A JP2023514283 A JP 2023514283A
Authority
JP
Japan
Prior art keywords
layer
semiconductor layer
stack
forming
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022549345A
Other languages
English (en)
Inventor
クン・ジャン
リンチュン・ウ
ウェンシ・ジョウ
ジリアン・シア
ゾンリャン・フオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Publication of JP2023514283A publication Critical patent/JP2023514283A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05546Dual damascene structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0805Shape
    • H01L2224/08057Shape in side view
    • H01L2224/08058Shape in side view being non uniform along the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Abstract

3Dメモリデバイスの実施形態およびそれを形成するための方法が開示されている。一例では、3Dメモリデバイスを形成するための方法が開示される。基板の第1の側における第2の半導体層よりも上にある犠牲層、および犠牲層上の誘電体スタックは、その後に形成される。誘電体スタックおよび犠牲層を垂直方向に貫通し、第2の半導体層内に貫入するチャネル構造が形成される。犠牲層は、第2の半導体層と接触している第1の半導体層に置き換えられる。誘電体スタックは、メモリスタックに置き換えられ、それにより、チャネル構造は、メモリスタックおよび第1の半導体層を垂直方向に貫通し、第2の半導体層内に貫入する。ソースコンタクトが、第2の半導体層と接触するように基板の第1の側と反対の第2の側に形成される。

Description

本開示の実施形態は、3次元(3D)メモリデバイスおよびその製作方法に関する。
プレーナ型メモリセルは、プロセス技術、回路設計、プログラミングアルゴリズム、および製作プロセスを改善することによって、より小さなサイズに縮小される。しかしながら、メモリセルの特徴寸法が下限値に近づくにつれ、プレーナプロセスおよび製作技術は困難になり、コストが増大する。そのようなものとして、プレーナ型メモリセルのメモリ密度は上限値に近づいている。
3Dメモリアーキテクチャは、プレーナ型メモリセルのこの密度限界に対処することができる。3Dメモリアーキテクチャは、メモリアレイと、メモリアレイへの、およびメモリアレイからの信号を制御するための周辺デバイスとを含む。
3Dメモリデバイスの実施形態およびそれを形成するための方法が本明細書において開示される。
一例において、3Dメモリデバイスを形成するための方法が開示されている。基板の第1の側における第2の半導体層よりも上にある犠牲層、および犠牲層上の誘電体スタックが、その後に形成される。誘電体スタックおよび犠牲層を垂直方向に貫通し、第2の半導体層内に貫入するチャネル構造が形成される。犠牲層は、第2の半導体層と接触している第1の半導体層に置き換えられる。誘電体スタックは、メモリスタックに置き換えられ、それにより、チャネル構造は、メモリスタックおよび第1の半導体層を垂直方向に貫通し、第2の半導体層内に貫入する。ソースコンタクトが、第2の半導体層と接触するように基板の第1の側と反対の第2の側に形成される。
別の例では、3Dメモリデバイスを形成するための方法が開示されている。基板の第1の側においてメモリスタックを垂直方向に貫通し、N型ドープ半導体層に貫入するチャネル構造が形成される。メモリスタックは、交互配置されたスタック導電体層およびスタック誘電体層を含む。絶縁構造が、メモリスタックを垂直方向に貫通する開口部内に形成される。ソースコンタクトが、N型ドープ半導体層と接触し、絶縁構造に整列されるように基板の第1の側と反対の第2の側に形成される。
さらに別の例では、3Dメモリデバイスを形成するための方法が開示されている。周辺回路が、第1の基板上に形成される。メモリスタックおよび第1の半導体層を垂直方向に貫通し、第2の基板上の第2の半導体層内に貫入するチャネル構造が形成される。第1の基板および第2の基板が向かい合わせに接合され、それによりメモリスタックは周辺回路より上にある。第2の基板は、薄化されて、第2の半導体層を露出させる。ソースコンタクトが、メモリスタックより上に形成され、第2の半導体層と接触している。
本明細書に組み込まれ、本明細書の一部を成す、添付図面は、本開示の実施形態を例示し、説明と併せて、本開示の原理を説明し、当業者が本開示を作製し、使用することを可能にするのにさらに役立つ。
本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスの断面を例示する側面図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスの断面を例示する平面図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスの断面を例示する別の平面図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示する図である。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための方法のフローチャートである。 本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための方法のフローチャートである。
本開示の実施形態は、添付図面を参照しつつ説明される。
特定の構成および配列が説明されているが、これは、例示目的のためだけに説明されていることは理解されるであろう。当業者であれば、本開示の精神および範囲から逸脱することなく、他の構成および配置が使用され得ることを認識するであろう。本開示が、様々な他の用途でも採用され得ることは、当業者には明らかであろう。
「一実施形態」、「実施形態」、「例示的な一実施形態」、「いくつかの実施形態」などの、明細書における参照は、説明されている実施形態が、特定の特徴、構造、または特性を備え得るが、すべての実施形態が、特定の特徴、構造、または特性を必ずしも含み得ないことを示すことに留意されたい。さらに、そのような語句は、必ずしも同じ実施形態を指さない。さらに、特定の特徴、構造、または特性が一実施形態に関連して説明されているときに、明示的に説明されようとされまいと他の実施形態に関連してそのような特徴、構造、または特性に影響を及ぼすことは当業者の知識の範囲内にあるであろう。
一般に、用語は、少なくとも一部は文脈中での使い方から理解され得る。たとえば、少なくとも一部は文脈に応じて、本明細書において使用されているような「1つまたは(もしくは)複数」という言い回しは、単数形の意味で特徴、構造、もしくは特性を記述するために使用され得るか、または複数形の意味で特徴、構造、もしくは特性の組合せを記述するために使用され得る。同様に、ここでもまた、英文中の「a」、「an」、または「the」などの冠詞は、少なくとも一部は文脈に応じて単数形の使用を伝えるか、または複数形の使用を伝えるものとして理解されてよい。それに加えて、「~に基づく」という言い回しは、排他的な一連の要素を伝えることを必ずしも意図されていないと理解できるが、代わりに、ここでもまた少なくとも一部は文脈に応じて、必ずしも明示的に記述されていない追加の要素の存在を許容し得る。
本開示における「上」、「より上」、および「真上」の意味は、「上」が何かの「上に直にある」ことを意味するだけでなく、間に中間特徴物もしくは層が入って何かの「上にある」という意味も含み、「より上」もしくは「真上」が何かの「よりの上」もしくは何かの「真上」を意味するだけなく、それが間に中間特徴物も層も入ることなく何かの「より上」もしくは何かの「真上」に(すなわち、何かの上に直に)あるという意味も含み得るような最も広い意味で解釈されるべきであることは直ちに理解されるべきである。
「下」、「より下」、「下側」、「上」、「上側」、および同様の語などの空間的相対語は、図に例示されているように、一方の要素または特徴と他方の要素または特徴との関係を記述する際に記述を容易にするために本明細書で使用され得る。空間的相対語は、図に示されている向きに加えて使用されている、または動作しているデバイスの異なる向きを包含することを意図されている。装置は、他の何らかの方法で配向され(90度または他の向きに回転され)てよく、本明細書で使用される空間的相対的記述子も、同様に、しかるべく解釈されるものとしてよい。
本明細書で使用されているように、「基板」という語は、その後の材料層が加えられる材料を指す。基板それ自体にパターンを形成することができる。基板の上に加えられる材料は、パターン形成され得るか、またはパターンを形成せずそのままにすることができる。さらに、基板は、ケイ素、ゲルマニウム、ガリウムヒ素、リン化インジウムなどの、広範な半導体材料を含むことができる。代替的に、基板は、ガラス、プラスチック、またはサファイアウェハなどの、電気的に非導電材料から作ることができる。
本明細書で使用されているように、「層」という語は、厚さを有する領域を含む材料部分を指す。層は、下にあるもしくは上にある構造全体にわたって延在し得るか、または下にあるもしくは上にある構造の広がりより小さい広がりを有し得る。さらに、層が、連続構造の厚さより小さい厚さを有する均質または不均質連続構造の一領域であってよい。たとえば、層が、連続構造の頂面と底面との間、または頂面および底面のところの水平面の対の間に配置されてもよい。層は、水平、垂直、および/またはテーパー付き表面に沿って延在し得る。基板は層であってよく、1つもしくは複数の層を中に含んでいてもよく、および/またはその上に、それより上に、および/またはそれより下に1つもしくは複数の層を有することができる。層は、複数の層を含むこともできる。たとえば、相互接続層は、1つまたは複数の導電体層およびコンタクト層(相互接続線、および/または垂直相互接続アクセス(ビア)コンタクトが形成される)と1つまたは複数の誘電体層とを含むことができる。
本明細書で使用されているように、「公称的/公称的に」という言い回しは、所望の値より上および/または所望の値より下の値の範囲とともに、製品またはプロセスの設計段階において設定される、コンポーネントまたはプロセス操作に対する特性またはパラメータの所望の値もしくはターゲット値を指す。値の範囲は、製造プロセスまたは製造公差のわずかな変動によるものとしてよい。本明細書において使用されているように、「約」という語は、主題の半導体デバイスに関連付けられている特定の技術ノードに基づき変化し得る所与の量の値を示す。特定の技術ノードに基づき、「約」という語は、たとえば、値の10~30%以内(たとえば、値の±10%、±20%、または±30%)で変化する所与の量の値を示すことができる。
本明細書で使用されているように、「3Dメモリデバイス」という用語は、メモリストリングが基板に関して垂直方向に延在するように横配向基板上にメモリセルトランジスタの垂直配向ストリング(本明細書ではNANDメモリストリングなど「メモリストリング」と称される)を有する半導体デバイスを指す。本明細書で使用されているように、「垂直の/垂直に」という言い回しは、基板の外側表面に対して公称的に垂直であることを意味する。
3D NANDメモリデバイスなどのいくつかの3Dメモリデバイスでは、デバイスの前側から、アレイ共通ソース(array common source)(ACS)などのメモリアレイのソースに電気的に接続するためにスリット構造(たとえば、ゲート線スリット(gate line slit)(GLS))が使用される。しかしながら、フロントサイドソースコンタクト(front side source contact)は、間にスペーサが存在していても、ワードラインとソースコンタクトとの間にリーク電流および寄生容量の両方を導き入れることによって、3Dメモリデバイスの電気的性能に影響を及ぼし得る。スペーサの形成は、製作プロセスを複雑にもする。電気的な性能に影響を及ぼすだけでなく、スリット構造は、通常、壁面形状のポリシリコンおよび/または肉上がりを含み、これは局部応力をもたらし、ウェハの曲がりまたは反りを引き起こし、それによって生産歩留まりを低下させ得る。
さらに、いくつかの3D NANDメモリデバイスは、チャネル構造の底部のところに選択的に成長させた半導体プラグを含む。しかしながら、3D NANDメモリデバイスのレベル数が増えるにつれ、特にマルチデッキアーキテクチャでは、底部半導体プラグの製作に、オーバーレイ制御、エピタキシャル層形成、チャネルホールの底面でのメモリ膜および半導体チャネルのエッチング(「SONO」パンチとしても知られる)など、様々な問題がかかわってきて、製作プロセスをさらに複雑にし、歩留まりを低下させ得る。
本開示による様々な実施形態は、バックサイドソースコンタクト(backside source contact)を有する3Dメモリデバイスを提供する。ソースコンタクトをフロントサイドからバックサイドに移動することによって、有効メモリセルアレイ面積が増大し、スペーサ形成プロセスがスキップできるので、メモリセル当たりのコストは引き下げられ得る。その上、デバイス性能は、ワードラインとソースコンタクト間のリーク電流および寄生容量を回避することによって、またフロントサイドスリット構造(ソースコンタクトとして)によって引き起こされる局部応力を低減することによって改善され得る。いくつかの実施形態において、3Dメモリデバイスは、チャネル構造の底面に選択的に成長させた半導体プラグを含まず、チャネル構造の側壁を囲む半導体層(たとえば、Nウェル)で置き換えられ、消去動作のためのゲート誘導ドレインリーク(GIDL)支援ボディバイアシングを可能にすることができる。その結果、オーバーレイ制御、エピタキシャル層形成、およびSONOパンチなどの、ボトム半導体プラグ(bottom semiconductor plug)に関連する様々な問題が回避され、それによって生産歩留まりを向上させることができる。
図1は、本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイス100の断面を例示する側面図である。いくつかの実施形態において、3Dメモリデバイス100は、第1の半導体構造102と、第1の半導体構造102上に積層された第2の半導体構造104とを備えるボンデッドチップである。第1の半導体構造102および第2の半導体構造104は、いくつかの実施形態により、その間の接合界面106で連結される。図1に示されているように、第1の半導体構造102は、シリコン(たとえば、単結晶シリコン、c-Si)、シリコンゲルマニウム(SiGe)、ガリウムヒ素(GaAs)、ゲルマニウム(Ge)、シリコンオンインシュレータ(SOI)、または任意の他の好適な材料を含むことができる、基板101を含み得る。
3Dメモリデバイス100の第1の半導体構造102は、基板101上の周辺回路108を含むことができる。3Dメモリデバイス100内のコンポーネントの空間的関係を例示するために、x軸、y軸、およびz軸が図1に含まれていることに留意されたい。基板101は、x-y平面内で横方向に延在する2つの横方向の表面、すなわち、ウェハのフロントサイド上の表面と、ウェハのフロントサイドとは反対側のバックサイド上の背面とを含む。x方向およびy方向は、ウェハ平面内の2つの直交する方向である、すなわち、x方向はワード線方向であり、y方向はビット線方向である。z軸は、x軸およびy軸の両方に対して垂直である。本明細書で使用されるように、一方のコンポーネント(たとえば、層またはデバイス)が、半導体デバイス(たとえば、3Dメモリデバイス100)の別のコンポーネント(たとえば、層またはデバイス)の「上」、「よりも上」、または「より下」にあるかどうかは、基板がz方向で半導体デバイスの最下平面に位置決めされているときにz方向(x-y平面に垂直な垂直方向)で半導体デバイスの基板(たとえば、基板101)に関して決定される。空間的関係を記述するための同じ概念は、本開示全体にわたって適用される。
いくつかの実施形態において、周辺回路108は、3Dメモリデバイス100を制御し、感知するように構成される。周辺回路108は、限定はしないがページバッファ、デコーダ(たとえば、行デコーダおよび列デコーダ)、センスアンプ、ドライバ(たとえば、ワードラインドライバ)、チャージポンプ、電流または電圧リファレンス、または回路の任意の能動的または受動的コンポーネント(たとえば、トランジスタ、ダイオード、抵抗器、またはコンデンサ)を含む、3Dメモリデバイス100の動作を円滑にするために使用される任意の好適なデジタル、アナログ、および/または混合信号の制御および感知回路であり得る。周辺回路108は、基板101「上に」形成されたトランジスタを含むものとしてよく、トランジスタの全体または一部は、基板101内に(たとえば、基板101の頂面より下に)および/または基板101の直接上に形成される。分離領域(たとえば、浅いトレンチ分離(STI))およびドープ領域(たとえば、トランジスタのソース領域およびドレイン領域)も、基板101内に形成され得る。トランジスタは、いくつかの実施形態により、高度なロジックプロセス(たとえば、90nm、65nm、45nm、32nm、28nm、20nm、16nm、14nm、10nm、7nm、5nm、3nmなどの技術ノード)を使用し高速である。いくつかの実施形態において、周辺回路108は、プロセッサおよびプログラマブルロジックデバイス(PLD)などのロジック回路、またはスタティックランダムアクセスメモリ(SRAM)などのメモリ回路を含む、高度なロジックプロセスと互換性のある任意の他の回路をさらに含み得ることは理解される。
いくつかの実施形態において、3Dメモリデバイス100の第1の半導体構造102は、電気信号を周辺回路108との間でやり取りするために、周辺回路108より上に相互接続層(図示せず)をさらに含む。相互接続層は、横方向相互接続線および垂直相互接続アクセス(VIA)コンタクトを含む複数の相互接続(本明細書では「コンタクト」とも称される)を含むことができる。本明細書において使用されているように、「相互接続」という用語は、広い意味で、ミドルエンドオブライン(MEOL)相互接続およびバックエンドオブライン(BEOL)相互接続などの、任意の好適なタイプの相互接続を含むことができる。相互接続層は、相互接続線およびVIAコンタクトが形成することができる1つまたは複数の層間絶縁膜(ILD)層(「金属間誘電体(IMD)層」とも称される)をさらに含むことができる。すなわち、相互接続層は、複数のILD層内の相互接続線およびVIAコンタクトを含むことができる。相互接続層内の相互接続線およびVIAコンタクトは、限定はしないがタングステン(W)、コバルト(Co)、銅(Cu)、アルミニウム(Al)、シリサイド、またはこれらの任意の組合せを含む導電性材料を含むことができる。相互接続層内のILD層は、限定はしないが酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低誘電率(low-k)誘電体、またはこれらの任意の組合せを含む誘電体材料を含むことができる。
図1に示されているように、3Dメモリデバイス100の第1の半導体構造102は、接合界面106のところに、また相互接続層および周辺回路108より上に、接合層110をさらに備えることができる。接合層110は、複数の接合コンタクト111および接合コンタクト111を電気的に絶縁する誘電体を含むことができる。接合コンタクト111は、限定はしないがW、Co、Cu、Al、シリサイド、またはこれらの任意の組合せを含む導電性材料を含むことができる。接合層110の残りの領域は、限定はしないが酸化ケイ素、窒化ケイ素、酸窒化ケイ素、low-k誘電体、またはこれらの任意の組合せを含む誘電体により形成され得る。接合層110内の接合コンタクト111および周囲の誘電体は、ハイブリッド接合に使用され得る。
同様に、図1に示されているように、3Dメモリデバイス100の第2の半導体構造104は、接合界面106のところに、また第1の半導体構造102の接合層110より上に、接合層112も含むこともできる。接合層112は、複数の接合コンタクト113および接合コンタクト113を電気的に絶縁する誘電体を含むことができる。接合コンタクト113は、限定はしないがW、Co、Cu、Al、シリサイド、またはこれらの任意の組合せを含む導電性材料を含むことができる。接合層112の残りの領域は、限定はしないが酸化ケイ素、窒化ケイ素、酸窒化ケイ素、low-k誘電体、またはこれらの任意の組合せを含む誘電体により形成され得る。接合層112内の接合コンタクト113および周囲の誘電体は、ハイブリッド接合に使用され得る。接合コンタクト113は、いくつかの実施形態により、接合界面106のところで接合コンタクト111と接触している。
以下で詳細に説明されているように、第2の半導体構造104は、接合界面106のところで向かい合わせに第1の半導体構造102の上で接合され得る。いくつかの実施形態において、接合界面106は、ハイブリッド接合(「金属/誘電体ハイブリッド接合」とも呼ばれる)の結果、接合層110と112との間に配設され、これは、直接接合技術(たとえば、ハンダまたは接着剤などの中間層を使用することなく表面と表面との間に接合を形成する)であり、金属金属間接合および誘電体誘電体間接合を同時に得ることができる。いくつかの実施形態において、接合界面106は、接合層112および110が接触して接合される場所である。実際には、接合界面106は、第1の半導体構造102の接合層110の頂面と、第2の半導体構造104の接合層112の底面とを含む特定の厚さを有する層であり得る。
いくつかの実施形態において、3Dメモリデバイス100の第2の半導体構造104は、電気信号を転送するために、接合層112より上に相互接続層(図示せず)をさらに含む。相互接続層は、MEOL相互接続およびBEOL相互接続などの、複数の相互接続を含むことができる。相互接続層は、相互接続線およびVIAコンタクトが形成することができる1つまたは複数のILD層をさらに含むことができる。相互接続層内の相互接続線およびVIAコンタクトは、限定はしないがW、Co、Cu、Al、シリサイド、またはこれらの任意の組合せを含む導電性材料を含むことができる。相互接続層内のILD層は、限定はしないが酸化ケイ素、窒化ケイ素、酸窒化ケイ素、low-k誘電体、またはこれらの任意の組合せを含む誘電体材料を含むことができる。
いくつかの実施形態において、3Dメモリデバイス100は、メモリセルがNANDメモリストリングのアレイの形態で提供されるNANDフラッシュメモリデバイスである。図1に示されているように、3Dメモリデバイス100の第2の半導体構造104は、NANDメモリストリングのアレイとして機能するチャネル構造124のアレイを含むことができる。図1に示されているように、各チャネル構造124は、各々が導電体層116および誘電体層118を含む複数の対を垂直方向に貫通することができる。交互配置された導電体層116および誘電体層118は、メモリスタック114の一部である。メモリスタック114内の導電体層116および誘電体層118の対の数(たとえば、32、64、96、128、160、192、224、256、またはそれ以上)は、3Dメモリデバイス100内のメモリセルの数を決定する。いくつかの実施形態において、メモリスタック114は、互いの上に積み重ねられた複数のメモリデッキを含む、マルチデッキアーキテクチャ(図示せず)を有し得ることは理解される。各メモリデッキ内の導電体層116および誘電体層118の対の数は、同じであっても異なっていてもよい。
メモリスタック114は、交互配置された複数の導電体層116および誘電体層118を含むことができる。メモリスタック114内の導電体層116および誘電体層118は、垂直方向に交互になっていてもよい。言い換えると、メモリスタック114の頂部または底部にあるものを除き、各導電体層116は両側の2つの誘電体層118に隣接することができ、各誘電体層118は両側の2つの導電体層116に隣接することができる。導電体層116は、限定はしないがW、Co、Cu、Al、ポリシリコン、ドープシリコン、シリサイド、またはこれらの任意の組合せを含む導電性材料を含むことができる。各導電体層116は、接着剤層およびゲート誘電体層によって囲まれているゲート電極(ゲート線)を含むことができる。導電体層116のゲート電極は、ワード線として横方向に延在し、メモリスタック114の1つまたは複数の階段構造で終わることができる。誘電体層118は、限定はしないが酸化ケイ素、窒化ケイ素、酸窒化ケイ素、またはこれらの任意の組合せを含む誘電体材料を含むことができる。
図1に示されているように、3Dメモリデバイス100の第2の半導体構造104は、メモリスタック114よりも上にある第1の半導体層120と、第1の半導体層120よりも上にあり、第1の半導体層120と接触している第2の半導体層122とを含むこともできる。いくつかの実施形態において、第1の半導体層120および第2の半導体層122の各々は、N型ドープ半導体層、たとえば、リン(P)またはヒ素(As)などのN型ドーパントをドープされたシリコン層である。それらの場合において、第1の半導体層120および第2の半導体層122は、メモリスタック114よりも上のN型ドープ半導体層120/122としてまとめてみなされ得る。いくつかの実施形態において、第1の半導体層120および第2の半導体層122の各々は、Nウェルを含む。すなわち、第1の半導体層120および第2の半導体層122の各々は、PまたはAsなどの、N型ドーパントをドープされたP型基板内の一領域であってよい。第1の半導体層120および第2の半導体層122内のドーピング濃度は、同じであるか、または異なり得ることが理解される。第1の半導体層120は、いくつかの実施形態により、ポリシリコン、たとえば、N型ドープポリシリコンを含む。以下で詳しく説明されているように、第1の半導体層120は、薄膜堆積および/またはエピタキシャル成長によってP型シリコン基板よりも上に形成され得る。対照的に、第2の半導体層122は、いくつかの実施形態により、単結晶シリコン、たとえば、N型ドープ単結晶シリコンを含む。以下で詳しく説明されているように、第2の半導体層122は、N型ドーパントを単結晶シリコンを有するP型シリコン基板内に注入することによって形成され得る。いくつかの実施形態において、x方向(たとえば、ワード線方向)における第2の半導体層122の横方向寸法は、x方向における第1の半導体層120の横方向寸法よりも大きい。
いくつかの実施形態において、各チャネル構造124は、半導体層(たとえば、半導体チャネル128として)および複合誘電体層(たとえば、メモリ膜126として)を満たされたチャネルホールを含む。いくつかの実施形態では、半導体チャネル128は、アモルファスシリコン、ポリシリコン、または単結晶シリコンなどのシリコンを含む。いくつかの実施形態において、メモリ膜126は、トンネル層、ストレージ層(「電荷トラップ層」とも呼ばれる)、およびブロッキング層を含む複合層である。チャネル構造124の残りの空間は、酸化ケイ素などの誘電体材料を含むキャッピング層、および/または空隙で部分的または完全に充填され得る。チャネル構造124は、円筒形状(たとえば、柱形状)を有することができる。メモリ膜126のキャッピング層、半導体チャネル128、トンネル層、ストレージ層、およびブロッキング層は、いくつかの実施形態により、中心から柱の外面に向かって、この順序で放射状に配置構成される。トンネル層は、酸化ケイ素、酸窒化ケイ素、またはこれらの任意の組合せを含むことができる。ストレージ層は、窒化ケイ素、酸窒化ケイ素、シリコン、またはこれらの任意の組合せを含むことができる。ブロッキング層は、酸化ケイ素、酸窒化ケイ素、high-k誘電体、またはこれらの任意の組合せを含むことができる。一例において、メモリ膜126は、酸化ケイ素/酸窒化ケイ素/酸化ケイ素(ONO)の複合層を含むことができる。
いくつかの実施形態において、チャネル構造124は、チャネル構造124の底部(たとえば、下側端部)にチャネルプラグ129をさらに含む。本明細書において使用されているように、コンポーネント(たとえば、チャネル構造124)の「上側端部」は、基板101からz方向に遠い端部であり、コンポーネント(たとえば、チャネル構造124)の「下側端部」は、基板101が3Dメモリデバイス100の最下平面内に位置決めされたときにz方向で基板101に近い端部である。チャネルプラグ129は、半導体材料(たとえば、ポリシリコン)を含むことができる。いくつかの実施形態において、チャネルプラグ129は、NANDメモリストリングのドレインとして機能する。
図1に示されているように、各チャネル構造124は、垂直方向にメモリスタック114および第1の半導体層120、たとえば、N型ドープポリシリコン層の交互配置された導電体層116および誘電体層118を貫通することができる。いくつかの実施形態において、第1の半導体層120は、チャネル構造124の一部を囲み、ポリシリコンを含む半導体チャネル128と接触している。すなわち、メモリ膜126は、いくつかの実施形態により、第1の半導体層120に当接するチャネル構造124の一部で断絶し、周囲の第1の半導体層120と接触すべき半導体チャネル128を露出させる。その結果、半導体チャネル128を囲み、接触している第1の半導体層120は、上で説明されているような「ボトム半導体プラグ」を置き換えるようにチャネル構造124の「サイドウォール半導体プラグ」として働くことができ、これは、オーバーレイ制御、エピタキシャル層形成、およびSONOパンチなどの問題を軽減することができる。
いくつかの実施形態において、各チャネル構造124は、第2の半導体層122、たとえば、N型ドープ単結晶シリコン層の中に垂直方向にさらに貫入することができる。すなわち、各チャネル構造124は、いくつかの実施形態により、垂直方向にメモリスタック114を通ってN型ドープ半導体層(第1の半導体層120および第2の半導体層122を含む)内に貫入することができる。図1に示されているように、チャネル構造124の頂部(たとえば、上側端部)は、いくつかの実施形態により、第2の半導体層122内にある。いくつかの実施形態において、第1の半導体層120および第2の半導体層122の各々は、Pウェルバルク消去動作とは反対に、消去動作のためのGIDL支援ボディバイアシングを可能にするN型ドープ半導体層、たとえば、Nウェルである。NANDメモリストリングのソースセレクトゲートの周りのGIDLは、NANDメモリストリング内に正孔電流を発生し、消去動作のためのボディ電位を上昇させることができる。
図1に示されているように、3Dメモリデバイス100の第2の半導体構造104は、各々メモリスタック114の交互配置された導電体層116および誘電体層118を垂直方向に貫通する絶縁構造130をさらに含むことができる。第1の半導体層120をさらに貫通するチャネル構造124とは異なり、絶縁構造130は、いくつかの実施形態により、第1の半導体層120で停止する、すなわち、N型ドープ半導体層の中に垂直には延在しない。すなわち、絶縁構造130の頂面は、第1の半導体層120の底面と同一平面上にあってよい。各絶縁構造130は、横方向に延在し、チャネル構造124を複数のブロックに分離することもできる。すなわち、メモリスタック114は、絶縁構造130によって複数のメモリブロックに分割され、それにより、チャネル構造124のアレイは、各メモリブロックに分離され得る。フロントサイドACSコンタクトを含む、上で説明されている既存の3D NANDメモリデバイスのスリット構造とは異なり、絶縁構造130は、中にコンタクトを含まず(すなわち、ソースコンタクトとして機能しておらず)、したがって、いくつかの実施形態により、導電体層116(ワード線を含む)による寄生容量およびリーク電流を導き入れることがない。いくつかの実施形態において、各絶縁構造130は、限定はしないが酸化ケイ素、窒化ケイ素、酸窒化ケイ素、またはこれらの任意の組合せを含む、1つまたは複数の誘電体材料を充填された開口部(たとえば、スリット)を備える。一例において、各絶縁構造130は、酸化ケイ素を充填され得る。
フロントサイドソースコンタクトの代わりに、3Dメモリデバイス100は、図1に示されているように、メモリスタック114よりも上にあって第2の半導体層122、たとえば、N型ドープ半導体層と接触しているバックサイドソースコンタクト132を含むことができる。ソースコンタクト132およびメモリスタック114(およびそれを通る絶縁構造130)は、半導体層122(薄化された基板)の反対側に配設されてよく、したがって、「バックサイド」ソースコンタクトとみなされ得る。いくつかの実施形態において、ソースコンタクト132は、第2の半導体層122内にさらに貫入し、第2の半導体層122を通して、第1の半導体層120、およびチャネル構造124の半導体チャネル128に電気的に接続される。ソースコンタクト132が第2の半導体層122内に貫入する深さは、異なる例では異なる可能性があることは理解される。第2の半導体層122がNウェルを含むいくつかの実施形態では、ソースコンタクト132は、本明細書において「Nウェルピックアップ」とも称される。いくつかの実施形態において、ソースコンタクト132は、絶縁構造130に整列される。ソースコンタクト132は、絶縁構造130に横方向で整列される、すなわち、少なくとも1つの横方向に整列され得る。一例において、ソースコンタクト132および絶縁構造130は、y方向(たとえば、ビット線方向)に整列され得る。別の例では、ソースコンタクト132および絶縁構造130は、x方向(たとえば、ワード線方向)に整列され得る。ソースコンタクト132は、任意の好適なタイプのコンタクトを含むことができる。いくつかの実施形態において、ソースコンタクト132は、VIAコンタクトを含む。いくつかの実施形態において、ソースコンタクト132は、横方向に延在する壁面形状コンタクトを含む。ソースコンタクト132は、金属層(たとえば、W、Co、Cu、またはAl)または接着剤層(たとえば、窒化チタン(TiN))によって囲まれているシリサイド層などの、1つまたは複数の導電体層を含むことができる。
図1に示されているように、3Dメモリデバイス100は、パッドアウトのための、たとえば、3Dメモリデバイス100と外部回路との間で電気信号を転送するための、ソースコンタクト132の上にあり、接触しているBEOL相互接続層133をさらに含むことができる。いくつかの実施形態において、相互接続層133は、第2の半導体層122上の1つまたは複数のILD層134と、ILD層134上の再配線層136とを含む。ソースコンタクト132の上側端部は、いくつかの実施形態により、ILD層134の頂面、および再配線層136の底面と同一平面上にあり、ソースコンタクト132は垂直方向にILD層134を通って第2の半導体層122内に貫入する。相互接続層133内のILD層134は、限定はしないが酸化ケイ素、窒化ケイ素、酸窒化ケイ素、low-k誘電体、またはこれらの任意の組合せを含む誘電体材料を含むことができる。相互接続層133内の再配線層136は、限定はしないがW、Co、Cu、Al、シリサイド、またはこれらの任意の組合せを含む導電性材料を含むことができる。一例において、再配線層136はAlを含む。いくつかの実施形態において、相互接続層133は、3Dメモリデバイス100のパッシベーションおよび保護のための最外層としてのパッシベーション層138をさらに含む。再配線層136の一部は、コンタクトパッド140としてパッシベーション層138から露出され得る。すなわち、3Dメモリデバイス100の相互接続層133は、ワイヤ接合および/またはインターポーザーとの接合のためのコンタクトパッド140も含むことができる。
いくつかの実施形態において、3Dメモリデバイス100の第2の半導体構造104は、第2の半導体層122を通るコンタクト142および144をさらに含む。第2の半導体層122は、薄化された基板、たとえば、P型シリコン基板のNウェルであってよいので、いくつかの実施形態により、コンタクト142および144は、スルーシリコンコンタクト(TSC)である。いくつかの実施形態において、コンタクト142は、第2の半導体層122およびILD層134を貫通して再配線層136と接触し、これにより、第1の半導体層120は相互接続層133の第2の半導体層122、ソースコンタクト132、および再配線層136を通してコンタクト142に電気的に接続される。いくつかの実施形態において、コンタクト144は、第2の半導体層122およびILD層134を貫通してコンタクトパッド140と接触する。コンタクト142および144は各々、金属層(たとえば、W、Co、Cu、またはAl)または接着剤層(たとえば、TiN)によって囲まれているシリサイド層などの、1つまたは複数の導電体層を含むことができる。いくつかの実施形態では、少なくともコンタクト144は、コンタクト144を第2の半導体層122から電気的に絶縁するためのスペーサ(たとえば、誘電体層)をさらに含む。
いくつかの実施形態において、3Dメモリデバイス100は、各々メモリスタック114の外側で第2の半導体層122(たとえば、P型シリコン基板のNウェル)に対して垂直に延在する周辺コンタクト146および148をさらに備える。各周辺コンタクト146または148は、メモリスタック114の外側にある周辺領域内で接合層112から第2の半導体層122まで垂直に延在するようにメモリスタック114の深さよりも大きい深さを有することができる。いくつかの実施形態において、周辺コンタクト146は、コンタクト142よりも下にあり、コンタクト142と接触し、それにより、第1の半導体層120は、少なくとも第2の半導体層122、ソースコンタクト132、相互接続層133、コンタクト142、および周辺コンタクト146を通して第1の半導体構造102内の周辺回路108に電気的に接続される。いくつかの実施形態において、周辺コンタクト148は、コンタクト144よりも下にあり、コンタクト144と接触し、それにより、第1の半導体構造102内の周辺回路108は、少なくともコンタクト144および周辺コンタクト148を通してパッドアウト用のコンタクトパッド140に電気的に接続される。周辺コンタクト146および148は各々、金属層(たとえば、W、Co、Cu、またはAl)または接着剤層(たとえば、TiN)によって囲まれているシリサイド層などの、1つまたは複数の導電体層を含むことができる。
図1に示されているように、3Dメモリデバイス100は、相互接続構造の一部として、多様なローカルコンタクト(「C1」とも呼ばれる)も含み、メモリスタック114内の構造と直接的に接触している。いくつかの実施形態において、ローカルコンタクトは、各々それぞれのチャネル構造124の下側端部より下にあり、それと接触しているチャネルローカルコンタクト150を含む。各チャネルローカルコンタクト150は、ビット線ファンアウトのためにビット線コンタクト(図示せず)に電気的に接続され得る。いくつかの実施形態において、ローカルコンタクトは、各々ワード線ファンアウトのためにメモリスタック114の階段構造におけるそれぞれの導電体層116(ワード線を含む)よりも下にあり、それと接触しているワード線ローカルコンタクト152をさらに含む。チャネルローカルコンタクト150およびワード線ローカルコンタクト152などのローカルコンタクトは、少なくとも接合層112および110を通して第1の半導体構造102の周辺回路108に電気的に接続され得る。チャネルローカルコンタクト150およびワード線ローカルコンタクト152などのローカルコンタクトは、各々、金属層(たとえば、W、Co、Cu、またはAl)または接着剤層(たとえば、TiN)に囲まれたシリサイド層などの、1つまたは複数の導電体層を含むことができる。
図2Aは、本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイス200の断面を例示する平面図である。3Dメモリデバイス200は、図1の3Dメモリデバイス100の一例であってよく、図2Aは、いくつかの実施形態により、図1の3Dメモリデバイス100のAA平面内の断面の平面図を例示しているものとしてよい。すなわち、図2Aは、3Dメモリデバイス100の第2の半導体構造104のフロントサイドにおける平面図の一例を示している。
図2Aに示されているように、3Dメモリデバイス200は、いくつかの実施形態により、メモリスタックをx方向(たとえば、ワード線方向)で2つの部分に、すなわち、第1のコアアレイ領域206Aと第2のコアアレイ領域206Bとに横方向に分離する中心階段領域204を備え、それらのコアアレイ領域の各々は、チャネル構造210(図1のチャネル構造124に対応する)のアレイを含む。階段領域およびコアアレイ領域のレイアウトは、図2Aの例に限定されず、メモリスタックのエッジのところにサイド階段領域を有することなど、任意の他の好適なレイアウトを含み得ることは理解される。3Dメモリデバイス200は、また、いくつかの実施形態により、y方向(たとえば、ビット線方向)の平行な絶縁構造208(図1の絶縁構造130に対応する)も備え、各々x方向で横方向に延在してコアアレイ領域206Aおよび206ならびにその中のチャネル構造210のアレイをブロック202に分離する。3Dメモリデバイス200は、ブロック202においてy方向の平行なドレインセレクトゲートカット212をさらに備え、ブロック202をフィンガーにさらに分離することができる。特定のチャネル構造210(たとえば、領域214内)のフロントサイドビット線ファンアウトを中断する、絶縁構造208の対向部に配設されているフロントサイドソースコンタクト(たとえば、フロントサイドACSコンタクト)を有する既存の3Dメモリデバイスとは異なり、フロントサイドソースコンタクトなしの3Dメモリデバイス200内の領域214内のものを含むチャネル構造210は、すべてフロントサイドからの対応するビット線ファンアウトを有することができる。その結果、コアアレイ領域206Aおよび206Bの有効面積は、ソースコンタクトを3Dメモリデバイス200のバックサイドに移動することによって増やすことができる。
図2Bは、本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスの断面を例示する別の平面図である。3Dメモリデバイス200は、図1の3Dメモリデバイス100の一例であってよく、図2Bは、いくつかの実施形態により、図1の3Dメモリデバイス100のBB平面内の断面の平面図を例示している。すなわち、図2Bは、3Dメモリデバイス100の第2の半導体構造104のバックサイドにおける平面図の一例を示している。
図2Bに示されているように、3Dメモリデバイス200は、メモリスタックをx方向(たとえば、ワード線方向)で2つの部分に、すなわち、第1のコアアレイ領域206Aと第2のコアアレイ領域206Bとに横方向に分離する中心階段領域204を備える。階段領域およびコアアレイ領域のレイアウトは、図2Bの例に限定されず、メモリスタックのエッジのところにサイド階段領域を有することなど、任意の他の好適なレイアウトを含み得ることは理解される。いくつかの実施形態において、3Dメモリデバイス200は、コアアレイ領域206Aおよび206B内でバックサイドソースコンタクト215(たとえば、図1のソースコンタクト132に対応する、VIAコンタクトの形態)を含む。たとえば、ソースコンタクト215は、コアアレイ領域206Aまたは206Bに均等に分配され得る。3Dメモリデバイス200は、複数のソースコンタクト215を電気的に接続するバックサイドソース線209(たとえば、図1の再配線層136に対応する、ソース線メッシュの形態)を含むことができる。いくつかの例では、複数のソースVIAコンタクトは、1つまたは複数のソース壁面形状コンタクト、すなわち、相互接続線で置き換えられてもよいことは理解される。いくつかの実施形態において、3Dメモリデバイス200は、パッドアウト用の階段領域204内にパッドアウトコンタクト213(たとえば、図1のコンタクトパッド140、コンタクト144、および周辺コンタクト148に対応する)をさらに含み、階段領域204ならびにコアアレイ領域206Aおよび206B内にNウェルピックアップコンタクト211(たとえば、図1のコンタクト142および周辺コンタクト146に対応する)を含む。パッドアウトコンタクト213およびNウェルピックアップコンタクト211のレイアウトは、図2Bの例に限定されず、電気的性能の仕様(たとえば、電圧および抵抗)などの、3Dメモリデバイスの設計に応じた任意の好適なレイアウトを含み得ることはさらに理解される。一例において、追加のパッドアウトコンタクト213は、メモリスタックの外側に追加され得る。
図3A~図3Mは、本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための製作プロセスを例示している。図4Aおよび図4Bは、本開示のいくつかの実施形態による、バックサイドソースコンタクトを備える例示的な3Dメモリデバイスを形成するための方法400のフローチャートを例示している。図3A~図3M、図4A、および図4Bに示されている3Dメモリデバイスの例は、図1に示されている3Dメモリデバイス100を含む。図3A~図3M、図4A、および図4Bについては、まとめて説明することにする。方法400に示されている動作は網羅されておらず、例示されている動作のいずれかの前、後、または間に他の動作も同様に実行され得ることは理解される。さらに、これらの動作のうちのいくつかは、同時に、または図4Aおよび図4Bに示されているのと異なる順序で、実行されてよい。
図4Aを参照すると、方法400は、周辺回路が第1の基板上に形成される動作402から始まる。第1の基板は、シリコン基板であってよい。図3Iに例示されているように、複数のトランジスタが、限定はしないがフォトリソグラフィ、エッチング、薄膜堆積、熱成長、注入、化学機械研磨(CMP)、および任意の他の好適なプロセスを含む複数のプロセスを使用してシリコン基板350上に形成される。いくつかの実施形態において、ドープ領域(図示せず)は、イオン注入および/または熱拡散によってシリコン基板350内に形成され、これらは、たとえば、トランジスタのソース領域および/またはドレイン領域として機能する。いくつかの実施形態において、分離領域(たとえば、STI)も、ウェットエッチングおよび/またはドライエッチングならびに薄膜堆積によってシリコン基板350内に形成される。トランジスタは、シリコン基板350上に周辺回路352を形成することができる。
図3Iに例示されているように、接合層348が周辺回路352よりも上に形成される。接合層348は、周辺回路352に電気的に接続されている接合コンタクトを含む。接合層348を形成するために、ILD層が、化学的気相成長(CVD)、物理的気相成長(PVD)、原子層成長(ALD)、またはこれらの任意の組合せなどの、1つもしくは複数の薄膜堆積プロセスを使用して堆積され、接合コンタクトは、ウェットエッチングおよび/もしくはドライエッチング、たとえばRIEを使用し、その後、ALD、CVD、PVD、他の任意の好適なプロセスなどの、1つもしくは複数の薄膜堆積プロセス、またはこれらの任意の組合せを使用して、ILD層を通して形成される。
方法400は、図4Aに例示されているように、動作404に進み、第2の基板の一部にN型ドーパントがドープされ、第2の半導体層を形成する。第2の基板は、P型シリコン基板であってよい。いくつかの実施形態において、第2の基板の第1のサイド(たとえば、半導体デバイスが形成されるフロントサイド)は、Nウェルを形成するようにドープされる。図3Aに例示されているように、N型ドープ半導体層304がシリコン基板302上に形成される。N型ドープ半導体層304は、P型シリコン基板302のNウェルを含み、単結晶シリコンを含むことができる。N型ドープ半導体層304は、イオン注入および/または熱拡散を使用して、PまたはAsなどのN型ドーパントをP型シリコン基板302内にドープすることによって形成され得る。
方法400は、図4Aに例示されているように、動作406に進み、第2の半導体層よりも上にある犠牲層および犠牲層上の誘電体スタックがその後形成される。誘電体スタックは、交互配置されているスタック犠牲層およびスタック誘電体層を含むことができる。いくつかの実施形態において、その後犠牲層および誘電体スタックを形成するために、ポリシリコンが第2の半導体層上に堆積されて犠牲層を形成し、スタック誘電体層およびスタック犠牲層が犠牲層上に交互に堆積されて誘電体スタックを形成する。
図3Aに示されているように、犠牲層306はN型ドープ半導体層304上に形成される。犠牲層306は、ポリシリコンまたは、限定はしないが、CVD、PVD、ALD、またはそれらの任意の組合せを含む、1つ以上の薄膜堆積プロセスを使用して後から選択的に除去することができる任意の他の好適な犠牲材料(たとえば、カーボン)を堆積することによって形成され得る。いくつかの実施形態において、パッド酸化物層305は、N型ドープ半導体層304の形成の前に、シリコン基板302上で、酸化ケイ素などの誘電体材料を堆積するか、または熱酸化させることによって、犠牲層306とN型ドープ半導体層304との間に形成される。
図3Aに例示されているように、第1の誘電体層(本明細書では「スタック犠牲層」312と称される)および第2の誘電体層(本明細書では「スタック誘電体層」310と称され、合わせて本明細書では「誘電体層対」と称される)の複数の対を含む誘電体スタック308は、犠牲層306上に形成される。誘電体スタック308は、いくつかの実施形態により、交互配置されたスタック犠牲層312およびスタック誘電体層310を含む。スタック誘電体層310およびスタック犠牲層312は、シリコン基板302よりも上の犠牲層306上に交互に堆積され、誘電体スタック308を形成することができる。いくつかの実施形態において、各スタック誘電体層310は、酸化ケイ素の層を含み、各スタック犠牲層312は、窒化ケイ素の層を含む。誘電体スタック308は、限定はしないがCVD、PVD、ALD、またはこれらの任意の組合せを含む1つまたは複数の薄膜堆積プロセスによって形成され得る。図3Aに例示されるように、階段構造は、誘電体スタック308のエッジに形成することができる。階段構造は、シリコン基板302の方へ誘電体スタック308の誘電体層対に対して複数のいわゆる「トリムエッチ」サイクルを実行することによって形成され得る。繰り返しトリムエッチサイクルが誘電体スタック308の誘電体層対に適用されることで、誘電体スタック308は、図3Aに示されているように、1つまたは複数の傾いたエッジと、底部の誘電体層対よりも短い頂部の誘電体層対とを有することができる。
方法400は、図4Aに例示されているように、動作408に進み、誘電体スタックおよび犠牲層を垂直方向に貫通して第2の半導体層内に貫入するチャネル構造が形成される。いくつかの実施形態において、チャネル構造を形成するために、誘電体スタックおよび犠牲層を垂直方向に貫通して第2の半導体層内に貫入するチャネルホールが形成され、その後、メモリ膜および半導体チャネルがチャネルホールの側壁の上に形成され、チャネルプラグが半導体チャネルよりも上に形成され、接触する。
図3Aに例示されているように、チャネルホールは、誘電体スタック308および犠牲層306を垂直方向に貫通してN型ドープ半導体層304内に貫入する開口部である。いくつかの実施形態において、複数の開口部が形成され、各開口部は後のプロセスで個別のチャネル構造314を成長させるための場所となる。いくつかの実施形態において、チャネル構造314のチャネルホールを形成するための製作プロセスは、ウェットエッチング、および/または深掘り反応性イオンエッチング(DRIE)などのドライエッチングを含む。いくつかの実施形態において、チャネル構造314のチャネルホールは、N型ドープ半導体層304の頂部にさらに貫通する。誘電体スタック308および犠牲層306を通したエッチングプロセスは、N型ドープ半導体層304の一部をエッチングし続け得る。いくつかの実施形態において、別個のエッチングプロセスが、誘電体スタック308および犠牲層306を通してのエッチングの後にN型ドープ半導体層304の一部をエッチングするために使用される。
図3Aに例示されているように、(ブロッキング層、ストレージ層、およびトンネル層を含む)メモリ膜316、ならびに半導体チャネル318は、その後、チャネルホールの側壁および底面に沿ってこの順序で形成される。いくつかの実施形態において、メモリ膜316が、最初に、チャネルホールの側壁および底面に沿って堆積され、次いで、半導体チャネル318が、メモリ膜316の上に堆積される。ブロッキング層、ストレージ層、およびトンネル層は、その後、ALD、CVD、PVD、任意の他の好適なプロセス、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用して、この順序で堆積され、メモリ膜316を形成することができる。次いで、半導体チャネル318は、ALD、CVD、PVD、任意の他の好適なプロセス、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用してポリシリコンなどの半導体材料をメモリ膜316のトンネル層の上に堆積することによって形成され得る。いくつかの実施形態において、第1の酸化ケイ素層、窒化ケイ素物層、第2の酸化ケイ素層、およびポリシリコン層(「SONO」構造)がその後堆積され、メモリ膜316および半導体チャネル318を形成する。
図3Aに例示されているように、キャッピング層は、チャネルホール内および半導体チャネル318の上に形成され、チャネルホールを完全にまたは部分的に(たとえば、空隙なしで、または空隙ありで)充填する。キャッピング層は、ALD、CVD、PVD、任意の他の好適なプロセス、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用して、酸化ケイ素などの誘電体材料を堆積することによって形成され得る。次いで、チャネルプラグが、チャネルホールの頂部に形成され得る。いくつかの実施形態において、誘電体スタック308の頂面上にあるメモリ膜316、半導体チャネル318、およびキャッピング層の一部は、CMP、ウェットエッチング、および/またはドライエッチングによって除去され、平坦化される。次いで、陥凹部が、チャネルホールの頂部にある半導体チャネル318およびキャッピング層の一部をウェットエッチングおよび/またはドライエッチングすることによってチャネルホールの頂部に形成され得る。次いで、チャネルプラグが、ポリシリコンなどの半導体材料を、CVD、PVD、ALD、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスによって陥凹部内に堆積することによって形成され得る。それによって、チャネル構造314は、誘電体スタック308および犠牲層306を通りN型ドープ半導体層304内に形成される。
方法400は、図4Aに例示されているように、動作410に進み、犠牲層は、N型ドープ半導体層で置き換えられ、第1の半導体層を形成する。いくつかの実施形態において、犠牲層を第1の半導体層で置き換えるために、誘電体スタックを垂直方向に貫通する開口部が形成されて、犠牲層の一部を露出させ、犠牲層は、開口部を通してエッチングされてキャビティを形成し、N型ドープポリシリコンは、開口部を通してキャビティ内に堆積され、第1の半導体層を形成する。
図3Aに例示されているように、スリット320は、誘電体スタック308を垂直方向に貫通し、犠牲層306の一部を露出させる開口部である。いくつかの実施形態において、スリット320を形成するための製作プロセスは、DRIEなどの、ウェットエッチングおよび/またはドライエッチングを含む。いくつかの実施形態において、スリット320は、犠牲層306の頂部内にさらに貫入する。誘電体スタック308を通るエッチングプロセスは、犠牲層306の頂面で停止し得ず、犠牲層306の一部をエッチングし続け得る。
図3Bに例示されているように、犠牲層306(図3Aに示されている)は、ウェットエッチングおよび/またはドライエッチングによって除去され、キャビティ322を形成する。いくつかの実施形態において、犠牲層306はポリシリコンを含み、このポリシリコンは、スリット320を通して水酸化テトラメチルアンモニウム(TMAH)エッチャントを塗布することによってエッチングされ、このエッチングは、犠牲層306とN型ドープ半導体層304との間のパッド酸化物層305によって停止させることができる。すなわち、犠牲層306の除去は、いくつかの実施形態により、N型ドープ半導体層304に影響を及ぼさない。いくつかの実施形態において、犠牲層306を除去する前に、スペーサ324がスリット320の側壁に沿って形成される。スペーサ324は、窒化ケイ素、酸化ケイ素、および窒化ケイ素などの、誘電体材料を、CVD、PVD、ALD、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用してスリット320内に堆積することによって形成され得る。
図3Cに例示されているように、キャビティ322内に露出されているチャネル構造314のメモリ膜316の一部が除去されて、キャビティ322に当接するチャネル構造314の半導体チャネル318の一部を露出する。いくつかの実施形態において、ブロッキング層(たとえば、酸化ケイ素を含む)、ストレージ層(たとえば、窒化ケイ素を含む)、およびトンネル層(たとえば、酸化ケイ素を含む)の一部は、スリット320およびキャビティ322を通してエッチャント、たとえば、窒化ケイ素をエッチングするためのリン酸および酸化シリコンをエッチングするためのフッ化水素酸を塗布することによってエッチングされる。エッチングは、チャネル構造314の半導体チャネル318によって停止させることができる。誘電体材料を含むスペーサ324(図3Bに示されている)は、また、メモリ膜316のエッチングから誘電体スタック308を保護することができ、メモリ膜316の一部を除去するのと同じステップでエッチャントによって除去され得る。同様に、N型ドープ半導体層304上のパッド酸化物層305(図3Bに示されている)も、メモリ膜316の一部を除去するのと同じステップで同様に除去することができる。
図3Dに例示されているように、N型ドープ半導体層326が、N型ドープ半導体層304よりも上に形成され、接触する。いくつかの実施形態では、N型ドープ半導体層326は、CVD、PVD、ALD、またはそれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用して、スリット320を通してポリシリコンをキャビティ322(図3Cに示されている)内に堆積させることによって形成される。いくつかの実施形態において、N型ドープ半導体層326は、半導体チャネル318の露出部分(ポリシリコンを含む)からエピタキシャル成長させたポリシリコンをキャビティ322に選択的に充填することによって形成される。N型ドープ半導体層326をエピタキシャル成長させるための製作プロセスは、キャビティ322の事前洗浄を行い、次いで、たとえば気相エピタキシー(VPE)、液相エピタキシー(LPE)、分子線エピタキシー(MPE)、またはこれらの任意の組合せを行うことを含むことができる。いくつかの実施形態において、N型ドープポリシリコン層をN型ドープ半導体層326として形成するためにポリシリコンを堆積するか、またはエピタキシャル成長させるときに、PまたはAsなどの、N型ドーパントのin-situドーピングが実行される。N型ドープ半導体層326は、チャネル構造314の半導体チャネル318の露出部分と接触するようにキャビティ322を充填することができる。
方法400は、図4Aに例示されているように、動作412に進み、誘電体スタックは、たとえば、いわゆる「ゲート置換」プロセスを使用して、メモリスタックと置き換えられ、それにより、チャネル構造は、メモリスタックおよび第1の半導体層を垂直方向に貫通して、第2の半導体層内に貫入する。いくつかの実施形態において、誘電体スタックをメモリスタックに置き換えるために、スタック犠牲層は、開口部を通してスタック導電体層に置き換えられる。いくつかの実施形態において、メモリスタックは、交互に配置されたスタック導電体層およびスタック誘電体層を含む。
図3Eに例示されているように、スタック犠牲層312(図3Aに示されている)は、スタック導電体層328で置き換えられ、交互配置されたスタック導電体層328およびスタック誘電体層310を含むメモリスタック330は、それによって形成され、誘電体スタック308(図3Aに示されている)を置き換える。いくつかの実施形態において、外側陥凹部(図示せず)は、最初に、スリット320を通してスタック犠牲層312を除去することによって形成される。いくつかの実施形態において、スタック犠牲層312は、スリット320を通してエッチャントを施すことによって除去され、スタック誘電体層310の間に交互配置された外側陥凹部を形成する。エッチャントは、スタック誘電体層310に対して選択的にスタック犠牲層312をエッチングする任意の好適なエッチャントを含むことができる。図3Eに例示されているように、スタック導電体層328(ゲート電極および接着剤層を含む)は、スリット320を通して外側陥凹部に堆積される。いくつかの実施形態において、ゲート誘電体層332が、スタック導電体層328の前の外側陥凹部に堆積され、スタック導電体層328は、ゲート誘電体層上に堆積される。金属層などの、スタック導電体層328は、ALD、CVD、PVD、任意の他の好適なプロセス、またはこれらの任意の組合せなど、1つまたは複数の薄膜堆積プロセスを使用して堆積され得る。いくつかの実施形態において、high-k誘電体層などのゲート誘電体層332は、側壁に沿って、またスリット320の底部にも形成される。
方法400は、図4Bに例示されているように、動作414に進み、メモリスタックを垂直方向に貫通する絶縁構造が形成される。いくつかの実施形態において、絶縁構造を形成するために、メモリスタックを形成した後に、1つまたは複数の誘電体材料が、開口部に堆積され、開口部を充填する。図3Fに例示されているように、メモリスタック330を垂直方向に貫通する絶縁構造336が形成され、N型ドープ半導体層326の頂面上に停止する。絶縁構造336は、ALD、CVD、PVD、他の任意の好適なプロセス、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用して、酸化ケイ素などの、1つまたは複数の誘電体材料をスリット320内に堆積して、スリット320を完全にまたは部分的に充填する(空隙ありで、または空隙なしで)ことによって形成され得る。いくつかの実施形態において、絶縁構造336は、ゲート誘電体層332(たとえば、high-k誘電体を含む)および誘電体キャッピング層334(たとえば、酸化ケイ素を含む)を含む。
図3Gに例示されているように、絶縁構造336の形成後に、チャネルローカルコンタクト344およびワード線ローカルコンタクト342を含むローカルコンタクト、ならびに周辺コンタクト338および340が形成される。ローカル誘電層は、CVD、PVD、ALD、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用して、酸化ケイ素または窒化ケイ素などの、誘電体材料をメモリスタック330の上に堆積することによってメモリスタック330上に形成され得る。チャネルローカルコンタクト344、ワード線ローカルコンタクト342、ならびに周辺コンタクト338および340は、ウェットエッチングおよび/またはドライエッチング、たとえばRIEを使用して、ローカル誘電体層(および任意の他のILD層)を通してコンタクト開口部をエッチングし、その後、ALD、CVD、PVDなどの、1つまたは複数の薄膜堆積プロセス、任意の他の好適なプロセス、またはこれらの任意の組合せを使用して、コンタクト開口部に導電性材料を充填することによって形成され得る。
図3Hに例示されているように、接合層346が、チャネルローカルコンタクト344、ワード線ローカルコンタクト342、ならびに周辺コンタクト338および340よりも上に形成される。接合層346は、チャネルローカルコンタクト344、ワード線ローカルコンタクト342、ならびに周辺コンタクト338および340に電気的に接続されている接合コンタクトを含む。接合層346を形成するために、ILD層が、CVD、PVD、ALD、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用して堆積され、接合コンタクトは、ウェットエッチングおよび/またはドライエッチング、たとえばRIEを使用し、その後、ALD、CVD、PVD、他の任意の好適なプロセスなどの、1つもしくは複数の薄膜堆積プロセス、またはそれらの任意の組合せを使用して、ILD層を通して形成される。
方法400は、図4Bに例示されているように、動作416に進み、第1の基板および第2の基板は、向かい合わせに接合され、メモリスタックは周辺回路よりも上にある。接合は、ハイブリッド接合にすることができる。図3Iに例示されているように、シリコン基板302およびその上に形成されたコンポーネント(たとえば、メモリスタック330およびそれを通って形成されたチャネル構造314)は、上下反転される。下向きの接合層346は、上向きの接合層348と接合され、すなわち、向かい合わせで接合され、それによって、いくつかの実施形態により、シリコン基板302と350との間に接合界面354を形成する。いくつかの実施形態において、処理プロセス、たとえば、プラズマ処理、湿式処理、および/または熱処理が、接合の前に接合面に施される。接合後、接合層346内の接合コンタクトおよび接合層348内の接合コンタクトは、互いに整列され、接触し、それにより、メモリスタック330およびそれを通って形成されたチャネル構造314が周辺回路352に電気的に接続されるものとしてよく、周辺回路352よりも上にある。
方法400は、図4Bに例示されているように、動作418に進み、第2の基板は薄化され、第2の半導体層を露出させる。薄化は、第2の基板の第1の側と反対の第2の側(たとえば、バックサイド)から実行される。図3Jに例示されているように、シリコン基板302(図3Iに示されている)は、バックサイドから薄化されて、N型ドープ半導体層304を露出させる。シリコン基板302は、CMP、研削、ドライエッチング、および/またはウェットエッチングを使用して薄化され得る。いくつかの実施形態において、CMPプロセスは、N型ドープ半導体層304の頂面に達するまでシリコン基板302を薄化するために実行される。
方法400は、図4Bに例示されているように、動作420に進み、ソースコンタクトがメモリスタックよりも上に形成され、第2の半導体層と接触する。いくつかの実施形態において、ソースコンタクトは、第2の基板の第1の側と反対の第2の側(たとえば、バックサイド)に形成される(たとえば、薄化後の第2の半導体層)。いくつかの実施形態において、ソースコンタクトは、絶縁構造に整列される。
図3Kに例示されているように、1つまたは複数のILD層356がN型ドープ半導体層304上に形成される。ILD層356は、ALD、CVD、PVD、任意の他の好適なプロセス、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用してN型ドープ半導体層304の頂面上に誘電体材料を堆積することによって形成され得る。図3Kに例示されているように、ソースコンタクト開口部358は、ILD層356を通してN型ドープ半導体層304内に形成される。いくつかの実施形態において、ソースコンタクト開口部358は、RIEなどの、ウェットエッチングおよび/またはドライエッチングを使用して形成される。いくつかの実施形態において、ソースコンタクト開口部358は、N型ドープ半導体層304の頂部内にさらに貫入する。ILD層356を通したエッチングプロセスは、N型ドープ半導体層304の一部をエッチングし続け得る。いくつかの実施形態において、別個のエッチングプロセスが、ILD層356を通してのエッチングの後にN型ドープ半導体層304の一部をエッチングするために使用される。いくつかの実施形態において、ソースコンタクト開口部358は、N型ドープ半導体層304の反対側で絶縁構造336に整列するようにリソグラフィを使用してパターン形成される。
図3Lに例示されているように、ソースコンタクト364が、N型ドープ半導体層304のバックサイドのソースコンタクト開口部358(図3Kに示されている)内に形成される。ソースコンタクト364は、いくつかの実施形態により、メモリスタック330よりも上にあり、N型ドープ半導体層304と接触している。いくつかの実施形態において、1つまたは複数の導電性材料が、ALD、CVD、PVD、任意の他の好適なプロセス、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用して、ソースコンタクト開口部358内に堆積され、ソースコンタクト開口部358に接着剤層(たとえば、TiN)および導電体層(たとえば、W)を充填する。次いで、CMPなどの、平坦化プロセスが実行され、それにより、過剰な導電性材料を除去し、ソースコンタクト364の頂面がILD層356の頂面と同一平面になるようにできる。いくつかの実施形態において、ソースコンタクト開口部358が絶縁構造336に整列されると、バックサイドソースコンタクト364は、絶縁構造336にも整列される。
方法400は、図4Bに例示されているように、動作422に進み、相互接続層がソースコンタクトよりも上に形成され、ソースコンタクトと接触する。図3Mに例示されているように、再配線層370は、ソースコンタクト364よりも上に形成され、ソースコンタクト364と接触している。いくつかの実施形態において、再配線層370は、ALD、CVD、PVD、任意の他の好適なプロセス、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用して、N型ドープ半導体層304およびソースコンタクト364の頂面に、Alなどの導電性材料を堆積することによって形成される。図3Mに例示されているように、パッシベーション層372は、再配線層370上に形成される。いくつかの実施形態において、パッシベーション層372は、ALD、CVD、PVD、任意の他の好適なプロセス、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用して、窒化ケイ素などの誘電体材料を堆積することによって形成される。それによって、ILD層356、再配線層370、およびパッシベーション層372を含む相互接続層376は、いくつかの実施形態により、形成される。
方法400は、図4Bに例示されているように、動作424に進み、コンタクトが、第2の半導体層を通して形成されて、相互接続層と接触し、それにより第1の半導体層は、第2の半導体層、ソースコンタクト、および相互接続層を通してコンタクトに電気的に接続される。図3Kに例示されているように、各々ILD層356およびN型ドープ半導体層304を貫通するコンタクト開口部360および361が形成される。コンタクト開口部360および361ならびにソースコンタクト開口部358は、同じエッチングプロセスを使用して形成され、それによりエッチングプロセスの数を減らすことができる。いくつかの実施形態において、コンタクト開口部360および361は、ILD層356およびN型ドープ半導体層304を通して、RIEなどのウェットエッチングおよび/またはドライエッチングを使用して形成される。いくつかの実施形態において、コンタクト開口部360および361は、周辺コンタクト338および340とそれぞれ整列されるようにリソグラフィを使用してパターン形成される。コンタクト開口部360および361のエッチングは、周辺コンタクト338および340の上側端部で停止し、周辺コンタクト338および340を露出させることができる。図3Kに例示されているように、ALD、CVD、PVD、任意の他の好適なプロセス、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用して、N型ドープ半導体層304を電気的に分離するために、コンタクト開口部360および361の側壁に沿ってスペーサ362が形成される。
図3Lに例示されているように、コンタクト366および368は、N型ドープ半導体層304のバックサイドのコンタクト開口部360および361(図3Kに示されている)にそれぞれ形成される。コンタクト366および368は、いくつかの実施形態により、ILD層356およびN型ドープ半導体層304を垂直方向に貫通する。コンタクト366および368ならびにソースコンタクト364は、同じ堆積プロセスを使用して形成され、それにより堆積プロセスの数を減らすことができる。いくつかの実施形態において、1つまたは複数の導電性材料が、ALD、CVD、PVD、任意の他の好適なプロセス、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用して、コンタクト開口部360および361内に堆積され、コンタクト開口部360および361に接着剤層(たとえば、TiN)および導電体層(たとえば、W)を充填する。次いで、CMPなどの、平坦化プロセスが実行され、それにより、過剰な導電性材料を除去し、コンタクト366および368の頂面がILD層356の頂面と同一平面になるようにできる。いくつかの実施形態において、コンタクト開口部360および361は、それぞれ、周辺コンタクト338および340と整列されているので、コンタクト366および368は、同様に、それぞれ周辺コンタクト338および340よりも上にあり、それらに接触している。
図3Mに例示されているように、再配線層370も、コンタクト366よりも上に形成され、コンタクト366と接触している。その結果、N型ドープ半導体層326は、N型ドープ半導体層304、ソースコンタクト364、相互接続層376の再配線層370、およびコンタクト366を通して周辺コンタクト338に電気的に接続され得る。いくつかの実施形態において、N型ドープ半導体層326および304は、ソースコンタクト364、相互接続層376、コンタクト366、周辺コンタクト338、ならびに接合層346および348を通して周辺回路352に電気的に接続される。
図3Mに例示されているように、コンタクトパッド374は、コンタクト368よりも上に形成され、コンタクト368と接触している。いくつかの実施形態において、コンタクト368を覆うパッシベーション層372の一部は、ウェットエッチングおよびドライエッチングによって除去され、それにより、下にある再配線層370の一部を露出させてコンタクトパッド374を形成する。その結果、パッドアウト用のコンタクトパッド374は、コンタクト368、周辺コンタクト340、ならびに接合層346および348を通して周辺回路352に電気的に接続され得る。
本開示の一態様により、3Dメモリデバイスを形成するための方法が開示されている。基板の第1の側における第2の半導体層よりも上にある犠牲層、および犠牲層上の誘電体スタックが、その後に形成される。誘電体スタックおよび犠牲層を垂直方向に貫通し、第2の半導体層内に貫入するチャネル構造が形成される。犠牲層は、第2の半導体層と接触している第1の半導体層に置き換えられる。誘電体スタックは、メモリスタックに置き換えられ、それにより、チャネル構造は、メモリスタックおよび第1の半導体層を垂直方向に貫通し、第2の半導体層内に貫入する。ソースコンタクトが、第2の半導体層と接触するように基板の第1の側と反対の第2の側に形成される。
いくつかの実施形態において、犠牲層を形成する前に、基板の一部は、第1の側において、N型ドーパントをドープされ、第2の半導体層を形成する。
いくつかの実施形態において、犠牲層を第1の半導体層に置き換えるために、誘電体スタックを垂直方向に貫通する開口部が形成されて、犠牲層の一部を露出させ、犠牲層は、開口部を通してエッチングされてキャビティを形成し、N型ドープポリシリコンは、開口部を通してキャビティ内に堆積され、第1の半導体層を形成する。
いくつかの実施形態において、その後に犠牲層および誘電体スタックを形成するために、ポリシリコンが第2の半導体層上に堆積されて犠牲層を形成し、スタック誘電体層およびスタック犠牲層が犠牲層上に交互に堆積されて誘電体スタックを形成する。
いくつかの実施形態において、誘電体スタックをメモリスタックに置き換えるために、スタック犠牲層は、開口部を通してスタック導電体層に置き換えられる。
いくつかの実施形態において、メモリスタックを形成した後に、1つまたは複数の誘電体材料が、開口部内に堆積され、メモリスタックを垂直方向に貫通する絶縁構造を形成する。
いくつかの実施形態において、ソースコンタクトは、絶縁構造に整列される。
いくつかの実施形態において、ソースコンタクトを形成する前に、基板は、第2の側から、薄化され、第2の半導体層を露出させる。
いくつかの実施形態において、相互接続層が、ソースコンタクトよりも上に形成され、ソースコンタクトに電気的に接続される。
いくつかの実施形態において、第2の半導体層を通り、相互接続層と接触しているコンタクトが形成され、それにより第1の半導体層は、第2の半導体層、ソースコンタクト、および相互接続層を通してコンタクトに電気的に接続される。
本開示の別の態様により、3Dメモリデバイスを形成するための方法が開示されている。基板の第1の側においてメモリスタックを垂直方向に貫通し、N型ドープ半導体層に貫入するチャネル構造が形成される。メモリスタックは、交互配置されたスタック導電体層およびスタック誘電体層を含む。絶縁構造が、メモリスタックを垂直方向に貫通する開口部内に形成される。ソースコンタクトが、N型ドープ半導体層と接触し、絶縁構造に整列されるように基板の第1の側と反対の第2の側に形成される。
いくつかの実施形態において、チャネル構造を形成するために、基板の一部は、第1の側において、N型ドーパントをドープされて、第2のN型ドープ半導体層を形成し、第2のN型ドープ半導体層よりも上にある犠牲層、および犠牲層上の誘電体スタックがその後に形成され、誘電体層は、交互配置されたスタック犠牲層およびスタック誘電体層を含み、誘電体スタックおよび犠牲層を垂直方向に貫通し、第2のN型ドープ半導体層内に貫入するチャネル構造が形成され、犠牲層は、開口部を通して第1のN型ドープ半導体層に置き換えられる。
いくつかの実施形態において、チャネル構造を形成するために、スタック犠牲層はスタック導電体層に置き換えられて、メモリスタックを形成する。
いくつかの実施形態において、絶縁構造を形成するために、スタック犠牲層をスタック導電体層に置き換えた後に、開口部は、1つまたは複数の誘電体材料を充填される。
いくつかの実施形態において、ソースコンタクトを形成する前に、基板は、第2の側から、薄化され、第2のN型ドープ半導体層を露出させる。
本開示のさらに別の態様により、3Dメモリデバイスを形成するための方法が開示されている。周辺回路が、第1の基板上に形成される。メモリスタックおよび第1の半導体層を垂直方向に貫通し、第2の基板上の第2の半導体層内に貫入するチャネル構造が形成される。第1の基板および第2の基板が向かい合わせに接合され、それによりメモリスタックは周辺回路より上にある。第2の基板は、薄化されて、第2の半導体層を露出させる。ソースコンタクトが、メモリスタックより上に形成され、第2の半導体層と接触している。
いくつかの実施形態において、チャネル構造を形成するために、第2の基板の一部は、N型ドーパントをドープされて、第2の半導体層を形成し、第2の半導体層よりも上にある犠牲層、および犠牲層上の誘電体スタックがその後に形成され、誘電体スタックおよび犠牲層を垂直方向に貫通し第2の半導体層内に貫入するチャネル構造が形成され、犠牲層は、開口部を通してN型ドープ半導体層に置き換えられて、第1の半導体層を形成する。
いくつかの実施形態において、チャネル構造をさらに形成するために、誘電体スタックは、メモリスタックに置き換えられる。
いくつかの実施形態において、第1の基板と第2の基板とを接合する前に、メモリスタックを垂直方向に貫通する絶縁構造が形成される。ソースコンタクトは、いくつかの実施形態に従って、絶縁構造に整列される。
いくつかの実施形態において、相互接続層が、ソースコンタクトよりも上に形成され、ソースコンタクトに接触する。
いくつかの実施形態において、第2の半導体層を通り、相互接続層と接触しているコンタクトが形成され、それにより第1の半導体層は、第2の半導体層、ソースコンタクト、および相互接続層を通してコンタクトに電気的に接続される。
特定の実施形態の前述の説明は、当技術の範囲内の知識を応用することによって、本開示の一般的な概念から逸脱することなく、必要以上の実験を行うことなく、そのような特定の実施形態を様々な用途に容易に修正および/または適応させることができるように、本開示の一般的性質を明らかにするであろう。したがって、そのような適応および修正は、本明細書に提示されている教示および指導に基づき、開示されている実施形態の等価物の意味および範囲内に収まることを意図されている。本明細書の言い回しまたは用語は説明を目的としたものであり、したがって本明細書の用語または言い回しは教示および指導に照らして当業者によって解釈されるべきであることは理解されるであろう。
本開示の実施形態は、指定された機能の実装形態およびその関係を例示する機能構成ブロックの助けを借りて上で説明された。これらの機能構成ブロックの境界は、説明の便宜のために本明細書において任意に定義されている。代替的境界は、指定された機能およびその関係が適切に実行される限り定義され得る。
発明の概要および要約書の項は、本発明者によって企図されるような本開示の1つまたは複数の、ただしすべてではない、例示的な実施形態を規定するものとしてよく、したがって、本開示および付属の請求項をいかなる形でも制限することを意図されていない。
本開示の程度および範囲は、上述の例示的な実施形態により制限されるのではなく、請求項およびその等価物によってのみ定義されるべきである。
100 3Dメモリデバイス
101 基板
102 第1の半導体構造
104 第2の半導体構造
106 接合界面
108 周辺回路
110 接合層
111 接合コンタクト
112 接合層
113 接合コンタクト
114 メモリスタック
116 導電体層
118 誘電体層
120 第1の半導体層
122 第2の半導体層
124 チャネル構造
126 メモリ膜
128 半導体チャネル
129 チャネルプラグ
130 絶縁構造
132 ソースコンタクト、バックサイドソースコンタクト
133 相互接続層
134 ILD層
136 再配線層
138 パッシベーション層
140 コンタクトパッド
142、144 コンタクト
146、148 周辺コンタクト
150 チャネルローカルコンタクト
152 ワード線ローカルコンタクト
200 3Dメモリデバイス
202 ブロック
204 階段領域
206 コアアレイ領域
206A 第1のコアアレイ領域
206B 第2のコアアレイ領域
208 絶縁構造
209 バックサイドソース線
210 チャネル構造
211 Nウェルピックアップコンタクト
212 ドレインセレクトゲートカット
213 パッドアウトコンタクト
214 領域
215 ソースコンタクト
302 シリコン基板
304 N型ドープ半導体層
305 パッド酸化物層
306 犠牲層
308 誘電体スタック
310 スタック誘電体層
312 スタック犠牲層
314 チャネル構造
316 メモリ膜
318 半導体チャネル
320 スリット
322 キャビティ
324 スペーサ
326 N型ドープ半導体層
328 スタック導電体層
330 メモリスタック
332 ゲート誘電体層
334 誘電体キャッピング層
336 絶縁構造
338、340 周辺コンタクト
342 ワード線ローカルコンタクト
344 チャネルローカルコンタクト
346 接合層
348 接合層
350 シリコン基板
352 周辺回路
354 接合界面
356 ILD層
358 ソースコンタクト開口部
360、361 コンタクト開口部
362 スペーサ
364 ソースコンタクト、バックサイドソースコンタクト
366、368 コンタクト
370 再配線層
372 パッシベーション層
374 コンタクトパッド
376 相互接続層
400 方法

Claims (21)

  1. 3次元(3D)メモリデバイスを形成するための方法であって、
    続いて、犠牲層を基板の第1の側における第2の半導体層よりも上に、誘電体スタックを前記犠牲層上に形成するステップと、
    前記誘電体スタックおよび前記犠牲層を垂直方向に貫通し、前記第2の半導体層内に貫入するチャネル構造を形成するステップと、
    前記犠牲層を、前記第2の半導体層と接触している第1の半導体層に置き換えるステップと、
    前記誘電体スタックをメモリスタックに置き換え、それにより、前記チャネル構造は、前記メモリスタックおよび前記第1の半導体層を垂直方向に貫通し、前記第2の半導体層内に貫入する、ステップと、
    ソースコンタクトを、前記第2の半導体層と接触するように前記基板の前記第1の側と反対の第2の側に形成するステップとを含む方法。
  2. 前記犠牲層を形成する前に、前記第1の側において、前記基板の一部にN型ドーパントをドープして、前記第2の半導体層を形成するステップをさらに含む、請求項1に記載の方法。
  3. 前記犠牲層を前記第1の半導体層に置き換えるステップは、
    前記誘電体スタックを垂直方向に貫通する開口部を形成して前記犠牲層の一部を露出させるステップと、
    前記開口部を通して前記犠牲層をエッチングしてキャビティを形成するステップと、
    N型ドープポリシリコンを前記開口部を通して前記キャビティ内に堆積して前記第1の半導体層を形成するステップとを含む、請求項1または2に記載の方法。
  4. 続いて前記犠牲層および前記誘電体スタックを形成するステップは、
    ポリシリコンを前記第2の半導体層上に堆積して、前記犠牲層を形成するステップと、
    代替的に、スタック誘電体層およびスタック犠牲層を前記犠牲層上に堆積して前記誘電体スタックを形成するステップとを含む、請求項3に記載の方法。
  5. 前記誘電体スタックを前記メモリスタックに置き換えるステップは、前記スタック犠牲層を前記開口部を通してスタック導電体層に置き換えるステップを含む、請求項4に記載の方法。
  6. 前記メモリスタックを形成した後に、1つまたは複数の誘電体材料を前記開口部内に堆積して、前記メモリスタックを垂直方向に貫通する絶縁構造を形成するステップをさらに含む、請求項3から5のいずれか一項に記載の方法。
  7. 前記ソースコンタクトは、前記絶縁構造に整列される、請求項6に記載の方法。
  8. 前記ソースコンタクトを形成する前に、前記第2の側から、前記基板を薄化して、前記第2の半導体層を露出させるステップをさらに含む、請求項1から7のいずれか一項に記載の方法。
  9. 前記ソースコンタクトよりも上にあり、前記ソースコンタクトに電気的に接続されている相互接続層を形成するステップをさらに含む、請求項1から8のいずれか一項に記載の方法。
  10. 前記第2の半導体層を通り、前記相互接続層と接触する、コンタクトを形成するステップをさらに含み、それにより前記第1の半導体層は、前記第2の半導体層、前記ソースコンタクト、および前記相互接続層を通して前記コンタクトに電気的に接続される、請求項9に記載の方法。
  11. 3次元(3D)メモリデバイスを形成するための方法であって、
    基板の第1の側においてメモリスタックを垂直方向に貫通し、N型ドープ半導体層に貫入するチャネル構造を形成するステップであって、前記メモリスタックは、交互配置されたスタック導電体層およびスタック誘電体層を含む、ステップと、
    垂直方向に前記メモリスタックを貫通する開口部内に絶縁構造を形成するステップと、
    前記N型ドープ半導体層と接触し、前記絶縁構造に整列されるように前記基板の前記第1の側と反対の第2の側にソースコンタクトを形成するステップとを含む方法。
  12. 前記チャネル構造を形成するステップは、
    前記第1の側において、前記基板の一部にN型ドーパントをドープして、第2のN型ドープ半導体層を形成するステップと、
    続いて前記第2のN型ドープ半導体層よりも上に犠牲層を、前記犠牲層上に誘電体スタックを形成するステップであって、前記誘電体層は、交互配置されたスタック犠牲層および前記スタック誘電体層を含む、ステップと、
    前記誘電体スタックおよび前記犠牲層を垂直方向に貫通し、前記第2のN型ドープ半導体層内に貫入する前記チャネル構造を形成するステップと、
    前記犠牲層を、前記開口部を通して第1のN型ドープ半導体層で置き換えるステップとを含む、請求項11に記載の方法。
  13. 前記チャネル構造を形成するステップは、前記スタック犠牲層を前記スタック導電体層で置き換えて、前記メモリスタックを形成するステップをさらに含む、請求項12に記載の方法。
  14. 前記絶縁構造を形成するステップは、前記スタック犠牲層を前記スタック導電体層で置き換えた後に、前記開口部に1つまたは複数の誘電体材料を充填するステップを含む、請求項13に記載の方法。
  15. 前記ソースコンタクトを形成する前に、前記第2の側から、前記基板を薄化して、前記第2のN型ドープ半導体層を露出させるステップをさらに含む、請求項12から14のいずれか一項に記載の方法。
  16. 3次元(3D)メモリデバイスを形成するための方法であって、
    第1の基板上に周辺回路を形成するステップと、
    メモリスタックおよび第1の半導体層を垂直方向に貫通し、第2の基板上の第2の半導体層内に貫入するチャネル構造を形成するステップと、
    前記第1の基板と前記第2の基板とを向かい合わせに接合し、それにより前記メモリスタックが前記周辺回路よりも上に来るようにする、ステップと、
    前記第2の基板を薄化して、前記第2の半導体層を露出させるステップと、
    前記メモリスタックより上にあり、前記第2の半導体層と接触しているソースコンタクトを形成するステップとを含む方法。
  17. 前記チャネル構造を形成するステップは、
    前記第2の基板の一部にN型ドーパントをドープして前記第2の半導体層を形成するステップと、
    続いて前記第2の半導体層よりも上に犠牲層を、前記犠牲層上に誘電体スタックを形成するステップと、
    前記誘電体スタックおよび前記犠牲層を垂直方向に貫通し、前記第2の半導体層内に貫入する前記チャネル構造を形成するステップと、
    前記犠牲層をN型ドープ半導体層で置き換えて前記第1の半導体層を形成するステップとを含む、請求項16に記載の方法。
  18. 前記チャネル構造を形成するステップは、前記誘電体スタックを前記メモリスタックで置き換えるステップをさらに含む、請求項17に記載の方法。
  19. 前記第1の基板と前記第2の基板とを接合する前に、前記メモリスタックを垂直方向に貫通する絶縁構造を形成するステップであって、前記ソースコンタクトは、前記絶縁構造に整列される、ステップをさらに含む、請求項16から18のいずれか一項に記載の方法。
  20. 前記ソースコンタクトよりも上にあり、前記ソースコンタクトに接触している相互接続層を形成するステップをさらに含む、請求項16から19のいずれか一項に記載の方法。
  21. 前記第2の半導体層を通り、前記相互接続層と接触する、コンタクトを形成するステップをさらに含み、それにより前記第1の半導体層は、前記第2の半導体層、前記ソースコンタクト、および前記相互接続層を通して前記コンタクトに電気的に接続される、請求項20に記載の方法。
JP2022549345A 2020-04-14 2020-04-14 バックサイドソースコンタクトを備える3次元メモリデバイスを形成するための方法 Pending JP2023514283A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2020/084603 WO2021207912A1 (en) 2020-04-14 2020-04-14 Method for forming three-dimensional memory device with backside source contact

Publications (1)

Publication Number Publication Date
JP2023514283A true JP2023514283A (ja) 2023-04-05

Family

ID=72074023

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022549345A Pending JP2023514283A (ja) 2020-04-14 2020-04-14 バックサイドソースコンタクトを備える3次元メモリデバイスを形成するための方法

Country Status (7)

Country Link
US (1) US11626416B2 (ja)
EP (1) EP4136675A4 (ja)
JP (1) JP2023514283A (ja)
KR (1) KR20220129607A (ja)
CN (2) CN113506809B (ja)
TW (1) TWI805929B (ja)
WO (1) WO2021207912A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112041986B (zh) * 2020-07-31 2024-04-30 长江存储科技有限责任公司 用于形成具有用于阶梯区域的支持结构的三维存储器件的方法
KR20220016714A (ko) 2020-08-03 2022-02-10 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조방법
JP2022040975A (ja) * 2020-08-31 2022-03-11 キオクシア株式会社 半導体装置およびその製造方法
KR20230012623A (ko) * 2020-09-02 2023-01-26 양쯔 메모리 테크놀로지스 씨오., 엘티디. 반도체 디바이스에서 온칩 커패시터 구조를 형성하기 위한 방법
KR20230012639A (ko) * 2020-09-02 2023-01-26 양쯔 메모리 테크놀로지스 씨오., 엘티디. 반도체 디바이스의 온칩 커패시터 구조
CN116171045A (zh) 2020-09-04 2023-05-26 长江存储科技有限责任公司 具有用于源选择栅极线的隔离结构的三维存储器件及用于形成其的方法
CN112185980B (zh) * 2020-09-09 2022-10-11 长江存储科技有限责任公司 一种三维存储器及其制作方法
CN112185977B (zh) * 2020-09-27 2021-10-19 长江存储科技有限责任公司 一种三维存储器及其制作方法
CN113078164B (zh) * 2021-03-26 2022-04-15 长江存储科技有限责任公司 一种半导体器件
CN115206989A (zh) * 2021-05-27 2022-10-18 长江存储科技有限责任公司 三维存储器及其制备方法
CN113410252B (zh) * 2021-05-27 2022-03-25 长江存储科技有限责任公司 三维存储器及其制备方法
WO2022256949A1 (en) * 2021-06-07 2022-12-15 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
CN113437075B (zh) * 2021-06-21 2022-07-29 长江存储科技有限责任公司 一种三维存储器及其制造方法
CN115602556A (zh) * 2021-07-09 2023-01-13 长鑫存储技术有限公司(Cn) 一种芯片键合方法及半导体芯片结构
KR20230136222A (ko) * 2021-08-30 2023-09-26 양쯔 메모리 테크놀로지스 씨오., 엘티디. 접촉 구조 및 이를 형성하는 방법
KR20230143182A (ko) * 2021-08-31 2023-10-11 양쯔 메모리 테크놀로지스 씨오., 엘티디. 반도체 장치용 패드 구조
CN117979688A (zh) * 2022-10-25 2024-05-03 长鑫存储技术有限公司 一种半导体结构及其制造方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5147330B2 (ja) * 2006-08-25 2013-02-20 株式会社半導体エネルギー研究所 半導体装置の作製方法
US8829646B2 (en) 2009-04-27 2014-09-09 Macronix International Co., Ltd. Integrated circuit 3D memory array and manufacturing method
US8173987B2 (en) 2009-04-27 2012-05-08 Macronix International Co., Ltd. Integrated circuit 3D phase change memory array and manufacturing method
US8866123B2 (en) 2010-11-22 2014-10-21 Hitachi, Ltd. Non-volatile memory device and production method thereof
KR102061694B1 (ko) * 2013-10-14 2020-01-02 삼성전자주식회사 3차원 크로스 포인트 어레이를 갖는 반도체 메모리 소자
US9553146B2 (en) * 2014-06-05 2017-01-24 Sandisk Technologies Llc Three dimensional NAND device having a wavy charge storage layer
KR20160020210A (ko) * 2014-08-13 2016-02-23 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
JP6203152B2 (ja) 2014-09-12 2017-09-27 東芝メモリ株式会社 半導体記憶装置の製造方法
US9530781B2 (en) * 2014-12-22 2016-12-27 Sandisk Technologies Llc Three dimensional NAND memory having improved connection between source line and in-hole channel material as well as reduced damage to in-hole layers
US9917100B2 (en) * 2015-11-20 2018-03-13 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9853047B2 (en) 2016-01-26 2017-12-26 SK Hynix Inc. Semiconductor device and method of manufacturing the same
KR102607833B1 (ko) * 2016-05-23 2023-11-30 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US10103161B2 (en) * 2016-06-28 2018-10-16 Sandisk Technologies Llc Offset backside contact via structures for a three-dimensional memory device
KR20180137272A (ko) * 2017-06-16 2018-12-27 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
CN110121779B (zh) 2017-08-21 2020-09-25 长江存储科技有限责任公司 三维存储器器件及用于形成其的方法
US10283452B2 (en) 2017-09-15 2019-05-07 Yangtze Memory Technology Co., Ltd. Three-dimensional memory devices having a plurality of NAND strings
KR102442214B1 (ko) 2017-10-12 2022-09-13 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
KR102549967B1 (ko) * 2017-11-21 2023-06-30 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
WO2020051731A1 (en) 2018-09-10 2020-03-19 Yangtze Memory Technologies Co., Ltd. Memory device using comb-like routing structure for reduced metal line loading
CN109686739A (zh) 2018-12-27 2019-04-26 长江存储科技有限责任公司 3d存储器件及其制造方法
CN109742081B (zh) * 2019-01-02 2021-09-21 长江存储科技有限责任公司 存储器及其形成方法
CN109786387B (zh) * 2019-01-09 2023-10-17 长江存储科技有限责任公司 存储器及其形成方法、存储器的存储单元的选择方法
US11355486B2 (en) * 2019-02-13 2022-06-07 Sandisk Technologies Llc Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
CN109860197B (zh) 2019-02-27 2020-04-21 长江存储科技有限责任公司 三维存储器及形成三维存储器的方法
CN110246846A (zh) * 2019-06-18 2019-09-17 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
CN111384062B (zh) * 2020-03-23 2022-12-02 长江存储科技有限责任公司 三维存储器及三维存储器制造方法

Also Published As

Publication number Publication date
CN111566816B (zh) 2021-06-08
TWI805929B (zh) 2023-06-21
TW202139367A (zh) 2021-10-16
CN111566816A (zh) 2020-08-21
EP4136675A4 (en) 2024-01-17
US20210320118A1 (en) 2021-10-14
KR20220129607A (ko) 2022-09-23
CN113506809A (zh) 2021-10-15
EP4136675A1 (en) 2023-02-22
US11626416B2 (en) 2023-04-11
CN113506809B (zh) 2023-05-19
WO2021207912A1 (en) 2021-10-21

Similar Documents

Publication Publication Date Title
JP7328349B2 (ja) バックサイドソースコンタクトを備える3次元メモリデバイス
US11626416B2 (en) Method for forming three-dimensional memory device with backside source contact
JP7297923B2 (ja) 3次元メモリデバイス及び方法
US11901313B2 (en) Methods for forming three-dimensional memory devices with supporting structure for staircase region
JP7273183B2 (ja) 3次元メモリデバイスを形成するための方法
JP7305774B2 (ja) 3次元メモリデバイス
US11508750B2 (en) Three-dimensional memory device including a peripheral circuit and a memory stack
US11621275B2 (en) Three-dimensional memory device with hydrogen-rich semiconductor channels
US11647632B2 (en) Three-dimensional memory devices with supporting structure for staircase region
US20220052062A1 (en) Three-dimensional memory devices with stabilization structures between memory blocks and methods for forming the same
WO2021208193A1 (en) Three-dimensional memory device and method for forming the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220816

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230919

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240226