JP2018523914A - 予備パターン化された底部電極及び酸化障壁上に強誘電体ランダムアクセスメモリを製造する方法 - Google Patents

予備パターン化された底部電極及び酸化障壁上に強誘電体ランダムアクセスメモリを製造する方法 Download PDF

Info

Publication number
JP2018523914A
JP2018523914A JP2017562051A JP2017562051A JP2018523914A JP 2018523914 A JP2018523914 A JP 2018523914A JP 2017562051 A JP2017562051 A JP 2017562051A JP 2017562051 A JP2017562051 A JP 2017562051A JP 2018523914 A JP2018523914 A JP 2018523914A
Authority
JP
Japan
Prior art keywords
layer
barrier
patterned
bottom electrode
barrier structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017562051A
Other languages
English (en)
Other versions
JP6510678B2 (ja
Inventor
スン シャン
スン シャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cypress Semiconductor Corp
Original Assignee
Cypress Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cypress Semiconductor Corp filed Critical Cypress Semiconductor Corp
Publication of JP2018523914A publication Critical patent/JP2018523914A/ja
Application granted granted Critical
Publication of JP6510678B2 publication Critical patent/JP6510678B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/57Capacitors with a dielectric comprising a perovskite structure material comprising a barrier layer to prevent diffusion of hydrogen or oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

F−RAMセルの構造及び製造方法を開示する。このF−RAMセルは化学的に及び/又は機械的に研磨された研磨上面を有する予備パターン化された障壁構造の上に形成された強誘電体キャパシタを含む。予備パターン化された障壁構造は酸素障壁層の上に底部電極層を有する構造の複数の酸素障壁を含む。底部電極層はその上に形成される強誘電体キャパシタの底部電極の一部分を形成する。
【選択図】図3R

Description

[関連出願の相互参照]
本出願は、2015年8月31日に出願された米国仮特許出願第62/212,273号の優先権の利益を主張して2016年3月9日に出願された米国特許出願第15/065,410号の国際出願であり、これらの出願のすべては引用することにより本明細書に組み込まれるものとする。
本発明は、概して、半導体デバイスに関し、特に埋め込み型又は一体形成型強誘電体キャパシタ及び相補型金属酸化膜半導体(CMOS)トランジスタを含む強誘電体ランダムアクセスメモリ(F−RAM)、及びその製造方法に関する。
強誘電体ランダムアクセスメモリ(F−RAM)は不揮発性(NV)メモリとみなされ、グリッド状又はアレイ状に配列された記憶素子又はセルを含み、各記憶素子又はセルはNV素子、例えば少なくとも一つの強誘電体キャパシタを含み得る。F−RAM回路は、セルを選択しNV素子に対する読み出し又は書き込みを制御する1つ以上の関連するトランジスタも含み得る。
外部電界がセル中の強誘電体キャパシタの強誘電体材料間に印加されると、その材料中の双極子は外部電界の方向に整列する。外部電界を取り除いた後も、双極子は分極状態を保持する。データは各々のデータ記憶セルにおいて2つの可能な電気分極状態の一つとしてセルに記憶される。例えば、1つのトランジスタと1つのキャパシタよりなるセル(1T1Cセル)では、「1」は負の残留分極を用いて符号化され、「0」は正の残留分極を用いて符号化され、その逆も可能である。
本発明の方法に従って形成された相補型金属酸化膜半導体(CMOS)トランジスタ及び埋め込み型強誘電体キャパシタを含む不揮発性メモリセルはCMOSプロセスフローへの変更を最小限に抑え、強誘電体ランダムアクセスメモリ(F−RAM)の製造コストを低減し、欠陥密度を下げるとともに、より厳しい設計ルールを可能にする。
一実施形態において、本方法は基板の表面上の第1の誘電体層を貫通するコンタクトを形成するステップを含む。そのコンタクトの上に障壁構造を形成する。一般に、この障壁構造を形成するステップは、(i)酸素障壁層の上及び第1の誘電体層及びコンタクトの上面の上に底部電極層を堆積するステップ、(ii)前記底部電極層と前記酸素障壁層の両層をパターン化してコンタクトの上に障壁構造を形成するステップ、(iii)前記パターン化された障壁層及び第1の誘電体層の上に第2の誘電体層を堆積するステップ、及び(iv)第2の誘電体層を平坦化して前記障壁構造の上面を露出させる。前記障壁構造の上に強誘電体スタック(フェロスタック)を堆積する。フェロスタックは前記障壁構造上に堆積された底部電極遷移層、前記底部電極層上に堆積された強誘電体層及び前記強誘電体層上に堆積された上部電極を含む。最後に、フェロスタックをパターン化して前記障壁構造の底部電極層を有する強誘電体キャパシタを形成する。この強誘電体キャパシタにおいて、その障壁層は導電性であり、その底部電極はこの障壁層を介してコンタクトに電気的に結合される。
別の実施形態において、前記障壁構造を形成するステップは更に、前記第2の誘電体層を堆積する前に、前記パターン化された底部電極層及び障壁層を水素(H)障壁層で封入するステップ、及び前記障壁構造の上面上の前記H障壁層を除去して前記パターン化された障壁層を露出させる。
必要に応じ、前記底部電極層及び障壁層をパターン化するステップは、ローカルインターコネクト(LI)及びランディングパッドを同時に形成するように前記底部電極層及び障壁層をパターン化してもよい。
本発明の実施形態は、以下の詳細な説明、以下で与えられる添付の図面及び添付の請求の範囲からより完全に理解される。
一実施形態に従って製造された強誘電体ランダムアクセスメモリ(F−RAM)セルの一部分の断面図を示すブロック図である。 図2A及び2Bは、少なくとも一つの埋め込み型強誘電体キャパシタ及び金属酸化膜半導体電界効果トランジスタ(MOSFET)を含む強誘電体ランダムアクセスメモリ(F−RAM)セルを製造する方法の一実施形態を示すフローチャートである。 図3A−3Qは図2A及び2Bの方法に従って製造中のF−RAMセルの一部分の断面図を示すブロック図であり、図3Rは図2A及び2Bの方法に従って製造されたF−RAMセルの一部分の断面図を示すブロック図である。 図2A及び2Bの方法の一代替実施形態に従って製造されたF−RAMセルの一部分の断面図を示すブロック図である。 図2A及び2Bの方法の別の実施形態に従って製造されたF−RAMセルの一部分の断面図を示すブロック図である。
埋め込み型又は一体形成型強誘電体キャパシタ及び相補型金属酸化膜半導体(CMOS)トランジスタを含むF−RAM及びその製造方法の実施形態が図面を参照して本明細書に説明される。しかしながら、特定の実施形態はこれらの具体的な詳細を一つ以上欠いても実施することができ、また他の既知の方法、材料及び装置と組み合わせて実施することもできる。以下の説明では、本発明の完全な理解を提供するために、具体的な材料、寸法及びプロセスパラメータ等の多数の具体的な詳細について記載される。他の例では、本発明を不必要に不明瞭にすることを避けるため、周知の半導体設計及び製造技術については特に詳細には記載しない。本明細書中において、「一実施形態」とは、その実施形態と関連して説明される特定の特徴、構造、材料又は特性が本発明の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書中の様々な箇所に出現する「一実施形態において」とは、必ずしも本発明の同じ実施形態を指すとは限らない。さらに、特定の特徴、構造、材料、又は特性は、一つ以上の実施形態において任意の適切な態様で組み合わせることができる。
本明細書で用いられる「の上に」、「の下に」、「の間に」及び「上に」という用語は、一つの層の他の層に対する相対的位置を示す。よって、例えば、別の層の上に又は下に堆積され又は配置された一つの層は、他の層と直接的に接触している場合もあれば、一つ以上の層が介在している場合もある。さらに、層の間に堆積され又は配置された一つの層は、それらの層と直接的に接触している場合もあれば、一つ以上の層が介在している場合もある。対照的に、第2の層「上に」ある第1の層はその第2の層と接触している。加えて、一つの層の他の層に対する相対位置は、膜の堆積、修正及び除去操作を基板の絶対方位を考慮せずに基板の出発状態に対して行うという仮定のもとで与えられる。
図1は、一実施形態に従って製造されたF−RAMセル100の一部分の断面図を示す。図1に最もよく示されるように、F−RAMセル100はキャパシタオンプラグ構造を有するセルと称することができ、このセルでは強誘電体キャパシタ102はコンタクト118(プラグ)上に配置される。図1を参照するに、強誘電体キャパシタ102は頂部又は上部電極106と底部又は下部電極108との間に強誘電体材料層104を含んでよい。F−−RAMセル100内のトランジスタ110は標準の又は基本的な相補型金属酸化膜半導体(CMOS)プロセスフローを用いて基板112上に製造されたMOSFET又はFETであってよく、そのプロセスフローは、導電性、半導電性及び/又は誘電体材料の形成及びパターン化を含む。これらの材料の組成並びにこのようなCMOSプロセスフローで使用される処理試薬の組成及び濃度及び温度は、得られるMOSトランジスタの機能を適切に保証するために、システム設計要件に従って各処理ごとに厳重に制御される。従って、F−RAM100の幾つかの製造方法では、強誘電体キャパシタ102はCMOS層106を覆う別のF−RAM層114内に製造され、CMOS層116内にはMOSFET110が製造され、1つ以上のコンタクト又はプラグ118によって強誘電体キャパシタ102に接続される。これらのコンタクトは基板112内のMOSトランジスタ110の拡散領域120まで延在し、及び/又はF−RAM層114を覆う別の誘電体層124内に製造された別の配線層122は追加のコンタクト126を介してMOSFET110及び強誘電体キャパシタ102に接続される。
MOSFET110、コンタクト118及び配線層122の製造に使用される材料及びプロセスは、強誘電体キャパシタプロセスフローと適合し得ず、それらの性能に悪影響を与え得る。例えば、強誘電体キャパシタ102をCMOS層116内のコンタクト118の上に製造するとき、強誘電体キャパシタ102の製造に使用されるプロセス及び/又は材料はコンタクト118の製造に使用される金属元素、例えばタングステン、を酸化し得る。従って、このようなコンタクト118の上に形成される強誘電体キャパシタ102は一般的にコンタクト118と底部電極108との間に酸化又は酸素障壁128を含む。一実施形態では、強誘電体キャパシタ102スタックを単一の工程で形成するために、上部電極106、強誘電体材料層104、底部電極108及び酸素障壁128は同時に又は単一の製造工程でパターン化してよい。
当業者であれば理解されるように、F−RAMセル100を製造する上記の方法、特に単一の製造工程で強誘電体キャパシタ102スタックを形成するパターン化方法は、強誘電体キャパシタ102のアスペクト比(スタックの高さ/スタック間のギャップ(空間))並びにF−RAMセル100の外形寸法又は全高)を増加し得る。更に、いくつかの余分のマスク及び処理ステップが必要とされ、それらの全てが製造時間、コスト及び欠陥密度を増加し、正常動作メモリの歩留まりを低減し得る。
F−RAMを製造する標準の又は基本的なCMOSプロセスフローに強誘電体キャパシタを組み込む又は埋め込む別の実施形態をこれから図2A及び2B及び図3A−3Qを参照して詳細に説明する。図2A及び2Bは、少なくとも1つのプラグオン型埋め込み強誘電体キャパシタと金属酸化膜半導体(MOS)トランジスタを含む強誘電体ランダムアクセスメモリ(F−RAM)を製造する方法の一実施形態を示す複合フローチャートである。図3A−3Qは図2A及び2Bの方法によるその製造中のF−RAMセル300の一部分の断面図を示すブロック図である。図3Rは図2A及び2Bの方法に従って製造された強誘電体キャパシタを含む完成F−RAMセル300の一部分の断面図を示すブロック図である。
図2A及び図3Aを参照するに、本プロセスは、基板又はウェハ310の表面306上にCMOS回路を形成した後に、第1のコンタクトプラグ又はコンタクト302及び第1の誘電体層304又は相補型金属酸化膜半導体(CMOS)層の表面を平坦化することから始まる。CMOS回路は浅いトレンチ分離(STI)などの1つ以上の絶縁構造314により分離された1つ以上のMOSトランジスタ312を含んでよい。第1の誘電体層304はMOSトランジスタ312の上にその少なくとも一部分を封入するように配置される。第1のコンタクト302は第1の誘電体層304の上面316から該層を貫通して基板310上のMOSトランジスタ312の拡散領域318、例えばソース又はドレイン、まで延在する。
ソース及びドレインに加えて、拡散領域318はチャネル領域を含んでよい。概して、基板310、従って、拡散領域318は半導体デバイスの製造に適した任意の材料で構成してよい。一実施形態では、基板310はシリコン、ゲルマニウム、シリコン‐ゲルマニウム、又はIII‐V族の化合物半導体材料を含むがこれらに限定されない材料の単結晶からなるバルク基板である。他の実施形態では、基板310は頂部エピタキシャル層を有するバルク層を含んでよい。特定の実施形態では、バルク層はシリコン、ゲルマニウム、シリコン‐ゲルマニウム、III‐V族の化合物半導体材料及び石英を含むがこれらに限定されない材料の単結晶からなるが、頂部エピタキシャル層はシリコン、ゲルマニウム、シリコン‐ゲルマニウム、及びIII‐V族の化合物半導体材料を含むがこれらに限定されない単結晶層からなる。頂部エピタキシャル層はシリコン(すなわち、シリコン・オン・インシュレータ(SOI)半導体基板を形成するためのシリコン)、ゲルマニウム、シリコン‐ゲルマニウム、及びIII‐V族の化合物半導体材料を含むがこれらには限定されない単結晶層からなる。
基板310、従ってチャネル領域はドーパント不純物原子を含んでよい。一実施形態では、チャネル領域はP型にドープされ、代替実施形態では、チャネル領域はN型にドープされる。基板310内のソース及びドレイン拡散領域318はチャネル領域とは反対の導電型(即ちP型又はN型)を有してよい。例えば、一実施形態では、基板310、従ってチャネル領域は1×1015〜1×1019atoms/cm3の範囲のボロン濃度を有するボロンドープ(P型ドープ)単結晶シリコンからなる。ソース及びドレイン拡散領域318は5×1016〜5×1019atoms/cm3の範囲のN型ドーパント濃度を有するリン又はヒ素ドープ領域からなり、これによりMOSトランジスタ312はN型チャネルMOS(NMOS)にすることができる。一実施形態では、ソース及びドレイン拡散領域318は基板310内に80〜200ナノメートル(nm)の範囲の深さを有してよい。本発明の代替実施形態によれば、ソース及びドレイン拡散領域318がP型ドープ領域であり、基板310及びチャネル領域がN型ドープ領域であり、これによりMOSトランジスタ312はP型チャネルMOS(PMOS)にすることができる。
各MOSトランジスタ312は、基板310の表面306上に形成されたゲート酸化物322と、ゲート酸化物322上に形成されたゲート層324と、ゲート層324を第1の誘電体層304から分離する1つ以上の側壁スペーサ326とを含み得る。加えて、図に示されていないが、当業者であれば、ゲート層324は概して、以下でより詳細に説明される上部ローカルインターコネクト(LI)又は金属化層に電気的に結合されることは理解されよう。
第1の誘電体層304は、図3Aに最もよく示される実施形態のように、誘電体材料の単層又は誘電体材料の多層を含んでよい。例えば、一実施形態では、第1の誘電体層304は、化学気相成長(CVD)、例えばプラズマ、減圧又は大気圧CVD等、によって形成又は堆積されたリン珪酸ガラス(PSG)を含む第1の誘電体層の下部又は底部層304aと、オルトケイ酸テトラエチル(TEOS)系プロセスガス又は前駆体を用いる減圧CVD(LPCVD)装置によって堆積される酸化ケイ素を含む第1の誘電体層の上部又は頂部層304bを含む。
第1のコンタクト302は、最初にコンタクト開口エッチング工程を実行することによって第1の誘電体層304をその下の拡散領域318が露出するまでエッチングしてコンタクト開口部を形成し、続いて形成された開口部に耐熱金属のような導電性材料を充填することによって形成される。このコンタクト開口エッチング工程は、標準のフォトリトグラフィ技術及び酸化ケイ素層及び/又はPSG層をエッチングするための任意の適切なウエット又はドライ化学エッチングを用いて達成することができる。適切なコンタクト開口化学エッチングは、例えば、フッ化水素酸(HF)を用いるウエットエッチング、又はHFとメタノール又はメチルアルコール(CHOH)を含む反応性イオンエッチング(RIE)プロセスガスを用いる気相エッチング(GPE)を含んでよい。第1の誘電体層304に形成されたコンタクト開口部は耐熱金属によって充填される。耐熱金属は、一般にチタン(Ti)、タンタル(Ta)、タングステン(W)、及びそれらの窒化物又は合金を含む、周期表の4、5、及び6族の金属元素であり、高温耐性を示す。耐熱金属は、例えば、スパッタリング又は蒸発等の物理気相蒸着又はCVD及び無電解メッキによって堆積することができる。
図2Aのステップ又はブロック202に示されるように、形成後に、第1のコンタクト302及び第1の誘電体層304の表面は、例えば、化学機械研磨(CMP)プロセスを用いて、平坦化又は研磨される。CMPプロセスの結果は、図3Aに最もよく示されるように、共平面上面になる。
図2A及び図3Bを参照するに、予備パターン化された障壁構造400(この図には示されていない)を形成するステップは、第1のコンタクト302及び第1の誘電体層304の共平面上面316の上に酸素障壁層328を体積又は形成することから始まる(ブロック204)。次に、強誘電体キャパシタ(この図には示されていない)がその上に形成されるコンタクト302の1つ以上の上に障壁構造(この図には示されていない)が形成される。この障壁構造は水分、鉛、酸素(O)及び/又は水素(H)に対する障壁を形成するために選択される材料を含む。一般的に、選択される材料は導電性である。一実施形態では、O障壁層328は、第1の誘電体層304及びコンタクト302の共平面上面316の上に堆積された約0.05μmから約0.5μmの厚さ又は他の適切な厚さを有する窒化チタンアルミニウム(TiAlN)等の材料の単層を含んでよい。
他の実施形態、例えば図3Bに示される実施形態では、O障壁層328は、第1の誘電体層304及びコンタクト302の共平面上面316の上に堆積された約0.03μmから約0.2μmの厚さの窒化チタン(TiN)を含む第1のO障壁層328aと、第1のO障壁層328aの上に堆積された約0.03μmから約0.1μmの厚さ又は他の適切な厚さの窒化チタンアルミニウム(TiAlN)を含む第2のO障壁層328bとを含んでよい。第1及び第2のO障壁層のいずれか一方及び両方は任意の適切な堆積方法、例えばCVD、原子層堆積(ALD)又は物理気相成長(PVD)を用いて堆積してよい。別の実施形態では、O障壁層328は、CVDによって共平面上面316の上に堆積されたオキシ窒化チタンアルミニウム(TiAlO)の単層を含んでもよい。TiAlOよりなるO障壁層328の一部分はその上面近傍で酸素リッチに及びその底面近傍で窒素リッチにしてよい。
図2A及び図3Cを参照するに、この製造プロセスは底部電極層329の堆積を続ける。底部電極層329はCVD、ALD又はPVDを用いて堆積又は形成された約60nから360nmの厚さ又は他の適切な厚さを有するイリジウムの単層を含んでよい。代わりに又は加えて、底部電極329はCVD、ALD又はPVDを用いて堆積又は形成されたプラチナ(Pt)を含んでもよい。一実施形態では、底部電極層329はその上に形成される強誘電体キャパシタ(この図には示されていない)の底部電極として最終的に機能し得る。
図2A及び図3Dを参照するに、O障壁層328及び底部電極層329の上にマスク(図示せず)が形成される。その後、O障壁層328及び底部電極層329の両層が図3Dに示すような1つ以上のパターン化された障壁スタック331を形成するためにエッチングされる(ブロック208)。一実施形態では、デバイス設計及び要件に応じて、多数のパターン化されたO障壁スタック331を第1のコンタクト302及び第1の誘電体層304の共平面上面316上の様々な位置に形成することができる。そして、それらの位置、その後の接続及び/又は寸法に応じて、各パターン化されたO障壁スタック331は、最終的には(i)コンタクト302の上に形成された強誘電体キャパシタ(この図には示されていない)用のO障壁/底部電極構造330、(ii)ローカルインターコネクト(LI)332、又は(iii)コンタクト302の上に形成されたランディングパッド333として構成されるそれらの一部分をなす。一実施形態では、LI332は、第1の誘電体層304の上のデバイスをコンタクト302を通してCMOS又は第1の誘電体層304内に形成されたデバイスに接続する、及び/又はLIが形成された層の上に形成されるデバイスに図3Rに示されるように第2の又はフェロコンタクト356を通して接続する。ランディングパッド333は下位の拡散領域318に至るコンタクト302の幾つかを覆い、図3Rに示されるように追加のコンタクト356のためのランディングパッドとして作用する。幾つかの実施形態では、2つの隣接するO障壁/底部電極構造330の間にランディングパッド333が存在してよい。
一実施形態では、O障壁スタック331を形成するために使用するマスク(図示せず)はハードマスク又はフォトレジストマスクとしてよく、O障壁層328及び底部電極層329は標準のフォトリトグラフィ及びエッチング技術を用いてエッチングすることができる。例えば、TiN又はTiAlN又はTiAlOからなるO障壁層328は、例えば六フッ化硫黄(SF)、三フッ化窒素(NF)、又はテトラフルオロメタン(CF)等のフッ素系ガスと、塩素(Cl)又は三塩化ホウ素(BCl)等の塩素系ガスと、必要に応じスパッタリングによるエッチング速度を増加させるアルゴンガスとを含む混合ガスを用いてドライエッチングすることができる。一実施形態では、Ir又はPtを含む底部電極層329をエッチングする適切な化学エッチング及び技術は、標準の金属エッチング技術、例えば高密度プラズマ(HDP)エッチング及び腐食欠陥を防止するための様々なポスト金属エッチングプロセスなどがある。
次に、図2A及び図3Eを参照するに、予備パターン化された障壁構造400の形成ステップ(この図には示されていない)は更に、O障壁/底部電極構造331の上に水素(H)障壁層334を堆積し、封入するステップを含む(ブロック210)。第1の誘電体層304内又はその下のCMOS回路の上に形成される強誘電体キャパシタ及び/又はデバイス又はトランジスタが導入される水素に曝されると、CMOS回路の上のデバイス、例えば強誘電体デバイス等、の特性が大幅に悪化し得ることが観測されている。幾つかの実施形態では、図に示されるように、H障壁層334は、ALDによって既にパターン化されたO障壁スタック331及び共平上面316の上に堆積された、約100Åから約300Åの厚さ又は他の適切な厚さを有する酸化アルミニウム(Al)の第1のH障壁層334aと、CVD又はALDによって堆積された、約200Åから約1000Åの厚さ又は他の適切な厚さを有する窒化シリコン(Si)の上部又は第2のH障壁層334bとを含んでよい。一つの代替実施形態では、H障壁層334は窒化シリコン(Si)のみを含む単層としてもよい。
図2A及び図3Fを参照するに、必要に応じ、第2のコンフォーマル誘電体層336をH障壁層334の上に堆積してよい(ブロック212)。代替実施形態では、第1の誘電体層304と同様に、第2の誘電体層336は、H障壁層334の材料及び強誘電体キャパシタ及び第2のコンタクト(この図には示されていない)を製造するために使用される材料と適合する適切な誘電体材料の1つ以上の層を含んでよい。第2の誘電体層336の適切な材料は、珪燐酸ガラス(PSG)及び酸化ケイ素を含んでよい。例えば、図3Fに最もよく示されるように、第2の誘電体層336は、2層のH障壁層334(上部Si層334b及び下部Al層)の上に、例えばプラズマ、減圧又は大気圧CVD等のCVDプロセスによって、オルトケイ酸テトラエチル(TEOS)系プロセスガス又は前駆体を用いて、化学機械研磨(CMP)のために十分に堆積された酸化ケイ素の単層を含む。代わりに、第2の誘電体層336はSiの単一のH障壁層334の上に堆積された酸化ケイ素の単層を含む。
一つの代替実施形態では、図3Gに最もよく示されるように、第2の誘電体層336は堆積されず、除外される。代わりに、SiからなるH障壁層334bが従来知られているCVD又は他の適切な堆積方法によってAlからなる底部H障壁層334aの上に堆積される。
別の代替実施形態では、図3Hに最もよく示されるように、Alからなる底部H障壁層334aが省略され、SiからなるH障壁層334bのみが、第1のコンタクト302及び第1の誘電体層304の共平面上面306及びパターン化されたO障壁スタック331の上面の上に直接堆積される。
次に、図2A及び図3Iを参照するに、H障壁構造337及びパターン化されたO障壁スタック331の上面が研磨又は平坦化されて平坦化された上部障壁表面402が形成されたとき、予備パターン化された障壁構造400の形成は完了する。平坦化は、例えば、パターン化されたO障壁スタック331の底部電極層329の上面を露出させるCMPプロセスを含んでよい(ブロック214)。一実施形態では、平坦化プロセスは、第2の誘電体層336及び/又はH障壁層334を少なくとも1つのO障壁スタック331の底部電極層329の上面が露出されるまで除去するステップを含む。先に説明したように、各パターン化されたO障壁スタック331は、(i)コンタクト302の上に形成された強誘電体キャパシタ(この図には示されていない)用のO障壁/底部電極構造330、(ii)ローカルインターコネクト(LI)332、又は(iii)コンタクト302の上に形成されたランディングパッド333の何れかの重要部分を形成し、それらの何れかとして機能する。従って、図示の実施形態では、第2の誘電体層336及び/又はH障壁層334が複数のパターン化されたO障壁スタック331の上面を露出させるために除去されると、様々な個数のO障壁/底部電極構造330、LI332及びランディングパッド333が形成され、複数の新たに形成されるH障壁構造337によって互いに分離される。
図3Jを参照するに、図3A−3Iにつき説明した実施形態に従って製造される予備パターン化された障壁構造の代表的な断面図が示されている。一実施形態では、予備パターン化された障壁構造400は平坦化された障壁上面402を含み、この障壁上面402は図2Aのブロック214に記載するプロセスステップの結果であり得る。デバイス設計及び要件に従って予備パターン化された障壁構造400内の様々な位置に形成された多数のO障壁/底部電極構造330、LI332、及びランディングパッド333が存在し、それらはブロック208で使用されるマスクの構成によって調整することができる。図3Jに最もよく示されるように、O障壁/底部電極構造330、LI332、及びランディングパッド333の各々はH障壁構造337により分離され且つパターン化されたO障壁層328上にパターン化された底部電極層329が堆積された同様の構造を含み得る。
図3Jに最もよく示されるように、パターン化されたO障壁スタック331を形成するために使用するマスク(図示せず)はO障壁/底部電極構造330、LI332及びランディングパッド333の長さを設定するために調整してよい。例えば、O障壁/底部電極構造330は長さL1を有し、この長さはその上にその後形成する又はその前に形成する強誘電体キャパシタ(この図には示されない)に従って設定可能である。
図3Jに更に示されるように、H障壁構造337a−dの4つの代替実施形態が可能である。H障壁構造337aの第1の代替実施形態は図3Hで述べたプロセスに従って製造することができ、Siからなる上部H障壁層334bのみが堆積される。H障壁構造337bの第2の代替実施形態は図3Gで述べたプロセスに従って製造することができ、Alからなる底部H障壁層334aの上にSiからなる上部H障壁層334bが堆積される。H障壁構造337c及びH障壁構造337dの第3及び第4の代替実施形態は図3Fで述べたプロセスに従って製造することができ、H障壁層334の上に第2の誘電体層336が堆積される。H障壁構造337cの第3の代替実施形態は単層の上部H障壁層334bを含み、H障壁構造337dの第4の代替実施形態は2層のH障壁層334(上部及び底部H障壁層334b及び334a)を含む。
図2A及び図3Kを参照するに、強誘電体キャパシタの少なくとも一部分を形成するフェロスタックの層が、予備成形された又は予備パターン化された障壁構造400の平坦化された障壁上面の上に堆積又は形成される。一実施形態では、フェロスタック層は、強誘電体材料の層、例えば上部電極340と薄い底部電極(BE)遷移層342との間に配置されたチタン酸ジルコン酸鉛(PZT)強誘電体層338を含む。一実施形態では、薄いBE遷移層342は、O障壁/底部電極構330の底部電極329及びO障壁層328の導電材料を通してその下の第1のコンタクト302の1つ以上と電気的に接触又は電気的結合され、第1のコンタクト302を通してMOSトランジスタ312の拡散領域318に電気的に結合される。
一実施形態では、上部電極340は、約0.005μmから約0.20μmの総合厚さ又は他の適切な厚さを有する、CVD、ALD又はPVDによって堆積されたイリジウム又は酸化イリジウムの1つ以上の層を含んでよい。図3Kに示されるように、上部電極340は多層上部電極、例えば、PZT強誘電体層338と接触する酸化イリジウム(IrO)の下層と該下層を覆うイリジウム(Ir)の上層を含む多層上部電極としてよい。PZT強誘電体層338は、CVD、ALD又はPVDによって、薄いBE遷移層342上に約0.04μmから約0.10μmの厚さ又は他の適切な厚さに形成してよい。薄いBE遷移層342は予備パターン化された障壁構造400の平坦化された上面402上に堆積してよい。一実施形態では、薄いBE遷移層342はCVD、ALD又はPVDによって堆積又は形成されるIrO又はIrの薄い層を含んでよい。薄い遷移層342は、その下にあるO障壁/底部電極構造330内の約60nmから約360nmの厚さ又は他の適切な厚さを有する厚い底部電極層329と比較して、約5nmから約30nmの厚さ又は他の適切な厚さを有する。従って、薄いBE遷移層342と底部電極層329との厚さ比は約1:12である。薄いBE遷移層342と底部電極層329は少なくとも2つの別個のステップで別々に形成又はパターン化され、底部電極層329の上面は薄いBE遷移層342の堆積前にパターン化又は研磨されるため、2つの層342及び329の間に底部電極界面410が存在し得る。一実施形態では、たとえ薄いBE遷移層342と底部電極層329が同じ形成材料、例えばイリジウム、を含んでも、底部電極界面410は依然として存在し得る。薄いBE遷移層342と底部電極層329は異なる材料で構成してもよい。
開示の製造プロセスにおける薄いBE遷移層329の機能の一つは、フェロスタックの形成中、PZT強誘電体層338とH障壁構造337との間に物理的障壁を提供することにある。PZT強誘電体層338はH障壁構造337内に存在し得る誘電体、例えば酸化物、と化学反応する可能性があり、このような化学反応はPZT強誘電体層338の完全性に影響を与え得る。加えて、薄いBE遷移層342は、底部電極層329の研磨/平坦化された上面と対照的に、研磨されてないフレッシュな上面を提供し、PZT強誘電体層338のよりよい堆積をもたらす。薄いBE遷移層342が除外された実施形態では、CMPプロセスが行われた予備パターン化障壁構造400の平坦化上面402は粗面を含み、PZT強誘電体層338の堆積に悪影響を与え得る。
図2A及び図3Lを参照するに、フェロスタック層338、340、342の上にパターン化されたハードマスク344が標準の堆積、フォトリトグラフィ及びエッチング技術によって形成される(ブロック218)。幾つかの実施形態では、ハードマスク344は多数の層を含んでよく、ハードマスクの材料は障壁、例えば水素(H)障壁、を形成するために選択し、この障壁は強誘電体キャパシタ(図示せず)を形成するエッチング後にフェロスタック層に残存させてよい。ハードマスク344は、例えば、堆積又はPVDプロセスを用いて堆積又は形成された、約0.15μmから約0.30μmの厚さ又は他の適切な厚さを有する窒化チタンアルミニウム(TiAlN)の層を含んでよい。ハードマスク344をエッチングする適切な化学反応及び技術は、六フッ化硫黄(SF)、三フッ化窒素(NF)、又はテトラフルオロメタン(CF)等のフッ素系ガスと、塩素(Cl)又は三塩化ホウ素(BCl)等の塩素系ガスと、必要に応じ、スパッタリングによるエッチング速度を増加させるアルゴンガスを混合した混合ガスを用いることができる
次に、図2B及び図3Mを参照するに、フェロスタック層338、340、342はハードマスク344及び標準のエッチング技術を用いてパターン化され、既に製造されたO障壁/底部電極構造330の上に強誘電体キャパシタ346を形成する(ブロック220)。一実施形態では、各強誘電体キャパシタ346はパターン化されたハードマスク344、上部電極340、PZT強誘電体層338、及び底部電極341を含んでよい。図3Mに示されるように、底部電極341は薄いBE遷移層342と底部電極層329とにより形成される複合構造であり、それらの間に潜在的な底部電極界面410が存在する。一実施形態では、薄いBE遷移層342と底部電極層329の厚さの比はほぼ1:12の範囲である。比較的大きな厚さの差は、強誘電体キャパシタ346の電極の一つとしての底部電極341の機能が主に底部電極層329により実行されることを示す。加えて、一部の従来の実施形態と対照的に、底部電極329と強誘電体キャパシタ346の残部を少なくとも2つの分離したステップでパターン化又はエッチング又は形成されることが理解されよう。
フェロスタック層338、340、342をエッチングする適切な化学及び技術は、例えば高密度プラズマ(HDP)エッチング等の標準の金属化学エッチング、及び腐食欠陥を防止するための様々なポスト金属エッチングクリーニングプロセスを含み得る。本開示の方法の重要な利点は、強誘電体キャパシタ346が予備パターン化されたO障壁/底部電極構造330の上に形成されるため、予備パターン化されたO障壁/底部電極構造330を形成するための層、特にIr又はPtからなる底部電極層329、のエッチング又はパターン化中に形成される導電性残留物が強誘電体キャパシタ346の側壁に再堆積されないことは理解されよう。相対的に薄いIrOからなる薄いBE遷移層342のパターン化又はエッチングは導電性残留物に関する問題が少ない。強誘電体キャパシタ346の側壁上の導電性残留物は、底部電極341と上部電極340との間に高い漏れ経路を形成し、強誘電体キャパシタの動作を損なう可能性があり、導電性残留物が過剰になると、底部電極341と上部電極340を短絡し、強誘電体キャパシタ346を動作不能にする可能性がある。Ir又はIrOのような導電性残留物を強誘電体キャパシタの側壁からなくすことは困難であるため、エッチングプロセスにおける難関とみなせる。BE遷移層342は相対的に薄いため、フェロスタック層338、340、342のエッチング時間を従来の実施形態と比較して大きく低減することができることは理解されよう。一実施形態では、エッチングにより生じるPZT強誘電体層338の推定されるダメージはより短いエッチング時間によって低減され得る。フェロスタック層338、340、342のパターン化は障壁構造の上面で停止するように行われる。
一実施形態では、長さL2の強誘電体キャパシタ346の2つの別個のステップでのパターン化は、パターン化されたハードマスク344の寸法を調整することによって予備パターン化されたO障壁/底部電極構造330の長さL1に対応するように行ってよい。幾つかの実施形態では、フェロスタック層338、340、342は同じ長さにしなくてもよい。これらの実施形態では、強誘電体キャパシタ346の長さL2は薄いBE遷移層342の長さを示すものとしてよい。
図2B及び図3Nを参照するに、H障壁又はH封入層348が、強誘電体キャパシタ346の上面及び側壁の上及びLI332、ランディングパッド333の上面の上、H障壁構造337を含む予備パターン化された障壁構造400のあらゆる露出表面の上に堆積され、強誘電体キャパシタ346を実質的に封入する(ブロック222)。強誘電体キャパシタ346の何れかが、例えば後続の処理中に導入される水素に曝されると、その強誘電体キャパシタ346の特性は大幅に低下し得ることが観測されている。H封入層348は単材料層又は多材料層を含んでよい。一実施形態では、図に示されるように、H封入層348は、約100Åから約300Åの厚さ又は他の適切な厚さを有するALDによって堆積された酸化アルミニウム(Al)の下部又は第1の水素封入層348aと、約200Åから約1000Åの厚さ又は他の適切な厚さを有するCVD又はALDによって堆積された窒化ケイ素(Si)の上部又は第2の水素封入層348bとを含んでよい。
図2B及び図3Oを参照するに、第3の誘電体層350又は中間層誘電体(ILD)がH封入層348の上に堆積又は形成され、次いで平坦化される(ブロック224)。第3の誘電体層350は、アンドープ酸化物、例えば酸化ケイ素(SiO)、窒化物、例えば窒化シリコン(Si)、オキシ窒化ケイ素(Si)、又は上述した第1の誘電体層304と同様にリン珪酸ガラス(PSG)のような酸化物の1つ以上の層を含んでよい。例えば、一実施形態では、第3の誘電体層350は、H封入層348の上面から約0.1〜0.3μmのCMP後の最終厚さ又は他の適切な厚さを有する、テトラシリケートオルト酸塩Si(OC(TEOS)を用いてLPCVDによって堆積されたSiOを含んでよい。
次に、図2B及び図3Pを参照するに、パターン化されたマスク層352が第3の誘電体層350上に形成される(ブロック26)。ここで図2B及び図3Qを参照するに、第3の誘電体層350に、ハードマスク344を貫通して強誘電体キャパシタ346の上部電極340、LI332及び/又はランディングパッド333に電気的に結合する第2のコンタクトのための第2のコンタクト開口354が標準のフォトリトグラフィ及びエッチング技術によりエッチングされる(ブロック228)。SiOからなる第3の誘電体層350に対して、適切なマスキング及びエッチング技術は、パターン化されたフォトレジスト層を形成し、一酸化炭素(CO)、アルゴン(Ar)、オクタフルオロシクロブタン(C4F8)又はFreon(R)C318及び必要に応じ窒素(N)を含む化学エッチングによって第3の誘電体層350をエッチングしてよい。
図2b及び図3Rを参照するに、フェロコンタクト開口354は第2の又はフェロコンタクト356を形成するために充填されてよい(ブロック230)。上述した第1のコンタクト302と同様に、フェロコンタクト356は、コンタクト開口にスパッタリング、蒸着等の物理気相成又はCVDにより耐熱金属、例えばチタン(Ti)、タンタル(Ta)、タングステン(W)及び窒化物又はそれらの合金等、を充填することによって形成してよい。第2のコンタクト開口354の充填後に、フェロコンタクト356及び第3の誘電体層350は、例えばCMPプロセスによって平坦化される。図3Rは、図2A及び2Bの方法に従って製造された、強誘電体キャパシタ346を含むF−RAMセル300のほぼ完成した部分の断面を示すブロック図である。
図2B及び図3Rを再度参照するに、金属層が第3の誘電体層350の上に堆積され、マスクされ、エッチングされて複数の第1の金属化(M1)層358が形成される(ブロック232)。一般的に、M1層358はアルミニウム、銅又はそれらの合金又は混合物であってもよく、スパッタリング、蒸着又は化学メッキなどのPVDによって約1000Åから約5000Åの厚さ又は他の適切な厚さに堆積される。一実施形態では、この金属層は、M1層358を形成するために、標準のフォトリトグラフィ及び金属エッチング技術、例えば高密度プラズマ(HDP)エッチング等及び腐食欠陥を防止するための様々なポストメタルエッチクリーニングプロセスを用いてパターン化される。
当業者であれば、上述した埋め込み型又は一体形成型強誘電体キャパシタ及びCMOSトランジスタを含むF−RAMセルを製造又は製作する方法の実施形態は、有利に標準の相補型金属酸化膜半導体(CMOS)プロセスフローへの変更を2つのマスク工程の追加だけに抑えることができ、それによって強誘電体ランダムアクセスメモリ(F−RAM)の製造コストが減少することは理解されよう。一例として、図3Rに示す実施形態では、ランディングパッド333は2つの強誘電体キャパシタ346の間に配置される。
更に、完成強誘電体キャパシタ346の層数は、少なくとも1つの層、即ち通常は従来の方法で形成されるフェロスタック層で形成されパターン化されるO障壁及び底部電極層329、だけ少なくなり、強誘電体キャパシタのスタック高さは従来の方法で形成されるものより約50%超低減されることは理解されよう。
加えて、フェロスタック層338、340、342のエッチングの課題はアスペクト比の変更によって軽減され、強誘電体キャパシタ346の側壁をより垂直にすることができ、同じ設計レイアウトに対してより大きなキャパシタサイズ(強誘電体キャパシタ346の上面)又は2つの強誘電体キャパシタ346間のより小さいギャップ/ピッチ間隔をもたらし、同じ上面サイズの強誘電体キャパシタ346を互いにより近接して配置することができる。例えば、130nmプロセス要件に基づいて、強誘電体キャパシタ346間の間隔/ピッチは180nmから145nmに低減することができ、これはF−RAMセルサイズの約5%の縮小、又は全メモリセルサイズの約15%の縮小に貢献する。以上に加えて、より薄い第3の誘電体層350は第2の又はフェロコンタクト356のより低いアスペクト比を可能にし、コンタクトエッチング及び充填を容易にし、正常動作デバイスの歩留まりを高める。最後に、LI332及びランディングパッド333をパターン化されたO障壁スタック331から形成することによって、その下の第1のコンタクト302で使用された金属が次の処理中に酸化されることを実質的になくすことができる。更に、第3の誘電体層350及び第1の誘電体層304貫通して拡散層318まで達する深いビアをエッチングする必要をなくすこともできる。
図4は図2A−2B及び図3A−3Rの方法の代替実施形態に従って製造された完成F−RAM400の一部分の断面図を示すブロック図である。図4を参照するに、この実施形態では、既に製造されたO障壁/底部電極構造330の上に強誘電体キャパシタ346を形成するためのフェロスタックのパターン化(ブロック220)は、O障壁/底部電極構造330の長さL1より大きい長さL2を有する薄い底部電極342を形成するようにフェロスタックをパターン化する。一実施形態では、薄いBE遷移層342は部分的に堆積し、H障壁構造337と直接接触させてよい。当業者であれば、O障壁/底部電極構造330が強誘電体キャパシタ346の薄いBE遷移層342と較べて小さい寸法を有するこの実施形態は、ミスアライメント公差を改善し、製造プロセスを容易にし、正常動作デバイスの歩留まりを増加することを理解されよう。更に、図4に示されるように、F−RAM400は、第1及び第2の水素障壁層334a、334bと第2の誘電体層336を含む図3Jの337dの実施形態を採用するH障壁構造337を含んでよい。他の実施形態、例えば図3Jに示されるような実施形態337a、337b、337c及び337dをF−RAMに採用してもよいことは理解されよう。
図5は、図2A−2B及び図3A−3Rの方法の別の代替実施形態に従って製造された完成F−RAM500の一部分の断面図を示すブロック図である。図5を参照するに、この実施形態では、既に製造されたO障壁/底部電極構造330の上に強誘電体キャパシタ346を形成するためのフェロスタックのパターン化(ブロック220)は、O障壁/底部電極構造330の長さL1と同等の長さL2を有する薄い底部電極342を形成するようにフェロスタックをパターン化する。更に、薄いBE遷移層342は既に形成されたO障壁/底部電極構造330と整列するようにパターン化される。一実施形態では、O障壁/底部電極構造330が1700Åの厚さ又は他の適切な厚さを有する場合には、薄いBE遷移層342とO障壁/底部電極構造330との間のミスアライメント公差は約296Åから約590Åである。
このように、埋め込み型又は一体形成型F−RAMキャパシタ及びCMOSトランジスタを含む強誘電体ランダムアクセスメモリ及びその製造方法の実施形態について説明した。本開示は特定の例示的な実施形態につき説明してきたが、本開示のより広い精神及び範囲から逸脱することなくこれらの実施形態に対して様々な修正及び変更ができることは明らかである。従って、明細書及び図面は限定的な意味ではなく例示的な意味で解釈されるべきである。
開示の要約は、読者が技術的開示の1つまたは複数の実施形態の本質を即座に把握することができるような要約を求める37C.F.R.§1.72(b)に準拠して提供されている。それは、請求項の範囲または意味を解釈または限定するためには用いられないという理解で提出されている。加えて、上記の詳細な説明において、開示を効率化する目的で、種々の特徴が一緒に単一の実施形態にまとめられている。この開示の方法は、特許請求されている実施形態が、各請求項に明確に述べられているよりも多くの特徴を必要とするという意図を反映していると解釈すべきではない。むしろ、以下の特許請求の範囲が反映するように、発明の主題は、開示されている単一の実施形態の全特徴よりも少ない特徴に存在する。このように、以下の特許請求の範囲は、この結果、詳細な説明に組み込まれ、各請求項はそれ自体で別個の実施形態である。
本明細書における一実施形態またはある実施形態への言及は、実施形態に関連して記載した特定の特徴、構造、または特性が、回路または方法の少なくとも1つの実施形態に含まれることを意味する。明細書の種々の箇所における一実施形態という句の登場は、すべて同一の実施形態を指すとは限らない。

Claims (20)

  1. 第1の誘電体層の上に予備パターン化された障壁構造を形成するステップであって、
    前記第1の誘電体層の上に酸素障壁層(O障壁層)を配置するステップ、
    前記O障壁層の上に底部電極層(BE層)を配置するステップ、
    前記BE層及び前記O障壁層をパターン化して、少なくとも1つのBE/O障壁構造を形成するステップ、
    前記少なくとも1つのBE/O障壁構造の上に第1の水素障壁層(第1のH障壁層)を配置するステップ、及び
    前記H障壁層を平坦化して、前記予備パターン化された障壁構造の平坦化された上面を形成し、前記少なくとも1つのBE/O障壁構造の上面を露出させるステップ、
    を含むステップと、
    前記予備パターン化された障壁構造の上に強誘電体スタック(フェロスタック)を形成するステップと、
    前記フェロスタックをパターン化して、前記少なくとも1つのBE/O障壁構造の各々を有する強誘電体キャパシタを形成するステップと、
    を備える、方法。
  2. 前記予備パターン化された障壁構造の上に前記フェロスタックを形成するステップは、
    前記予備パターン化された障壁構造の前記平坦化された上面の上に底部電極遷移層(BE遷移層)を配置し、前記BE遷移層の上に強誘電体層を配置し、前記強誘電体層の上に上部電極を配置するステップ、
    を含む、請求項1記載の方法。
  3. 前記O障壁層を配置するステップは、
    窒化チタン(TiN)を含む第1のO障壁層を配置するステップ、及び
    前記第1のO障壁層の上に窒化チタンアルミニウム(TiAlN)を含む第2のO障壁層を配置するステップ、
    を含む、請求項1記載の方法。
  4. 前記O障壁層はオキシ窒化チタンアルミニウム層(TiAlO層)を含み、前記TiAlO層は前記O障壁層の上面の近くで酸素リッチであり、前記O障壁層の底面の近くで窒素リッチである、請求項1記載の方法。
  5. 前記BE層はイリジウム(Ir)又はプラチナ(Pt)の少なくとも1つを含む、請求項1記載の方法。
  6. 前記第1のH障壁層は複数の層を含み、前記第1のH障壁層を配置するステップは、
    前記少なくとも1つのBE/O障壁構造の上に酸化アルミニウム(Al)の層を配置するステップ、及び
    前記Alの層の上に窒化ケイ素の層を配置するステップ、
    を含む、請求項1記載の方法。
  7. 前記予備パターン化された障壁構造を形成するステップは更に、
    前記第1のH障壁層の上に第2の誘電体層を配置するステップ、及び
    前記第2の誘電体層の一部分を前記第1のH障壁層の平坦化と同時に除去するステップであって、前記少なくとも1つのBE/O障壁構造の上面の上に配置された前記第2の誘電体層の前記一部分と前記第1のH障壁層の一部分が除去されるステップ、
    を含む、請求項1記載の方法。
  8. 前記BE遷移層は略5nm〜30nmの範囲内の厚さを有し、前記BE遷移層は更にイリジウム又は酸化イリジウムの少なくとも1つを含み、前記BE遷移層の前記厚さと前記BE層の厚さの比は1:12である、請求項1記載の方法。
  9. 前記フェロスタックをパターン化して前記少なくとも1つのBE/O障壁構造の各々を有する前記強誘電体キャパシタを形成するステップは更に、
    前記フェロスタックのパターン化されるBE遷移層と、前記少なくとも1つのBE/O障壁構造の予備パターン化されたBE層とを、前記パターン化されるBE遷移層が前記予備パターン化されたBE層と直接接触して、前記強誘電体キャパシタの底部電極(BE)を合同で形成するように整列させるステップ、
    を含む、請求項1記載の方法。
  10. 前記少なくとも1つのBE/O障壁構造の前記予備パターン化されたBE層は第1の長さ(L1)を有し、前記フェロスタックの前記パターン化されたBE遷移層は第2の長さ(L2)を有し、L2がL1より大きい、請求項9記載の方法。
  11. 前記少なくとも1つのBE/O障壁構造の前記予備パターン化されたBE層は第1の長さ(L1)を有し、前記フェロスタックの前記パターン化されたBE遷移層は第2の長さ(L2)を有し、L2がL1に略等しい、請求項9記載の方法。
  12. 更に、前記第1の誘電体層を貫通して基板の表面まで達する複数の第1のコンタクトを形成するステップであって、前記予備パターン化された障壁構造は前記複数の第1のコンタクト及び前記第1の誘電体層の上面の上に配置されるステップを含む、請求項1記載の方法。
  13. 前記予備パターン化された障壁構造を形成するステップは更に、
    ローカルインターコネクト(LI)及びランディングパッドを前記複数の第1のコンタクト及び前記第1の誘電体層の前記上面の上に形成するステップを含み、前記LI及び前記ランディングパッドは前記O障壁層の上に前記BE層を備える前記少なくとも1つのBE/O障壁構造に類似の構造を有し、前記ランディングパッド及び前記少なくとも1つのBE/O障壁構造は対応するコンタクトの各々と電気的に結合される、請求項12記載の方法。
  14. 更に、前記LI、前記ランディングパッド及び前記少なくとも1つの強誘電体キャパシタを封入するためにTiN又はTiAlNの少なくとも1つを含む第2のH障壁層を配置するステップと、
    前記第2のH障壁層の上に第3の誘電体層を配置するステップと、
    前記第3の誘電体層の平坦化された上面から前記LI、前記ランディングパッド又は前記少なくとも1つの強誘電体キャパシタの上部電極の少なくとも1つまで延在する複数の第2のコンタクトを形成するステップと、
    を含む、請求項13記載の方法。
  15. 基板上に形成された相補型金属酸化膜半導体(CMOS)回路の少なくとも一部分を封入するために第1の誘電体層を前記基板の上に配置するステップと、
    前記第1の誘電体層の上に予備パターン化された障壁構造を形成するステップであって、前記予備パターン化された障壁構造は複数の底部電極/酸素障壁構造(BE/O障壁構造)及び複数の水素障壁構造(H障壁構造)を備え、各BE/O障壁構造はO障壁層の上に堆積された底部電極層(BE層)を備え、且つ各BE/O障壁構造は2つの隣接するH障壁構造の間に形成されている、ステップと、
    前記複数のBE/O障壁構造の各々を有する強誘電体キャパシタを形成するために前記予備パターン化された障壁構造の上にフェロスタックを堆積しパターン化するステップと、
    を含む、方法。
  16. 前記フェロスタックを堆積しパターン化するステップは、
    強誘電体層及び底部電極遷移層(BE遷移層)の上に上部電極層(TE層)を堆積するステップ、及び
    前記TE層、前記強誘電体層及び前記BE遷移層を、パターン化されたBE遷移層の各々が前記複数のBE/O障壁構造の1つのBE層と整列するようにパターン化するステップ、
    を含み、前記パターン化されたBE遷移層の各々とその下の前記BE層が前記強誘電体キャパシタの底部電極を形成する、請求項15記載の方法。
  17. 前記パターン化されたBE遷移層の各々は第2の長さ(L2)を有し、その下の前記BE層は第1の長さ(L1)を有し、L2がL1より大きい、請求項16記載の方法。
  18. 前記パターン化されたBE遷移層の各々は第2の長さ(L2)を有し、その下の前記BE層は第1の長さ(L1)を有し、L2がL1に略等しい、請求項16記載の方法。
  19. 基板の表面上の第1の誘電体層を貫通して前記基板の前記表面に形成された金属酸化膜半導体(MOS)トランジスタの拡散領域まで達するコンタクトを形成するステップと、
    前記第1の誘電体層及び前記コンタクトの上に酸素障壁層(O障壁層)を堆積するステップと、
    前記O障壁層の上に底部電極層を堆積するステップと、
    前記コンタクトの上にO障壁構造を形成するために前記O障壁層及び前記底部電極層をパターン化するステップと、
    前記O障壁構造を水素障壁層(H障壁層)で封入するステップと、
    前記H障壁層の上に第2の誘電体層を堆積するステップと、
    前記第2の誘電体層及び前記H障壁層を平坦化して前記O障壁構造の上面を露出させるステップと、
    前記O障壁構造の上にフェロスタックを堆積するステップであって、前記フェロスタックは、前記O障壁構造の予備パターン化された底部電極層上に堆積された底部電極遷移層、前記底部電極遷移層上に堆積された強誘電体層及び前記強誘電体層上に堆積された上部電極を含む、ステップと、
    前記フェロスタックをパターン化して前記O障壁構造を有する強誘電体キャパシタを形成するステップであって、パターン化された底部電極遷移層と前記予備パターン化された底部電極層が前記強誘電体キャパシタの底部電極を形成し、前記底部電極は予備パターン化されたO障壁層を貫通する前記コンタクトに電気的に結合される、ステップと、
    を備える、方法。
  20. 前記O障壁層をパターン化するステップは、ローカルインターコネクト(LI)及びランディングパッドを同時に形成するように前記O障壁層をパターン化するステップを含み、前記O障壁構造を封入するステップは、前記LI及び前記ランディングパッドを前記H障壁層で封入するステップを含む、請求項19記載の方法。
JP2017562051A 2015-08-31 2016-07-18 予備パターン化された底部電極及び酸化障壁上に強誘電体ランダムアクセスメモリを製造する方法 Active JP6510678B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562212273P 2015-08-31 2015-08-31
US62/212,273 2015-08-31
US15/065,410 2016-03-09
US15/065,410 US9515075B1 (en) 2015-08-31 2016-03-09 Method for fabricating ferroelectric random-access memory on pre-patterned bottom electrode and oxidation barrier
PCT/US2016/042849 WO2017039854A1 (en) 2015-08-31 2016-07-18 Method for fabricating ferroelectric random-access memory on pre-patterned bottom electrode and oxidation barrier

Publications (2)

Publication Number Publication Date
JP2018523914A true JP2018523914A (ja) 2018-08-23
JP6510678B2 JP6510678B2 (ja) 2019-05-08

Family

ID=57399986

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017562051A Active JP6510678B2 (ja) 2015-08-31 2016-07-18 予備パターン化された底部電極及び酸化障壁上に強誘電体ランダムアクセスメモリを製造する方法

Country Status (5)

Country Link
US (2) US9515075B1 (ja)
JP (1) JP6510678B2 (ja)
CN (2) CN107710412B (ja)
DE (1) DE112016003929B9 (ja)
WO (1) WO2017039854A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9515075B1 (en) * 2015-08-31 2016-12-06 Cypress Semiconductor Corporation Method for fabricating ferroelectric random-access memory on pre-patterned bottom electrode and oxidation barrier
WO2018231210A1 (en) * 2017-06-14 2018-12-20 Intel Corporation Thin film ferroelectric materials and methods of fabrication thereof
US10607922B1 (en) * 2018-10-24 2020-03-31 International Business Machines Corporation Controlling via critical dimension during fabrication of a semiconductor wafer
CN111048404B (zh) * 2019-12-25 2022-10-18 中国科学院半导体研究所 一种缓冲层结构及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005044972A (ja) * 2003-07-28 2005-02-17 Toshiba Corp 半導体記憶装置及びその製造方法
JP2007053309A (ja) * 2005-08-19 2007-03-01 Matsushita Electric Ind Co Ltd データ記憶装置
JP2010192631A (ja) * 2009-02-18 2010-09-02 Panasonic Corp 半導体装置およびその製造方法
JP2010192620A (ja) * 2009-02-17 2010-09-02 Panasonic Corp 不揮発性半導体記憶装置の製造方法

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5506816A (en) 1994-09-06 1996-04-09 Nvx Corporation Memory cell array having compact word line arrangement
WO1996036050A1 (en) 1995-05-12 1996-11-14 Advanced Micro Devices, Inc. Sector architecture for flash memory device
JP3452763B2 (ja) 1996-12-06 2003-09-29 シャープ株式会社 半導体記憶装置および半導体記憶装置の製造方法
JP2002519809A (ja) 1998-06-30 2002-07-02 エスティマイクロエレクトロニクス・ソチエタ・ア・レスポンサビリタ・リミタータ 電気的にプログラム可能なメモリ・セル素子用のモノリシック集積セレクタ
US6509601B1 (en) * 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
US6066868A (en) * 1999-03-31 2000-05-23 Radiant Technologies, Inc. Ferroelectric based memory devices utilizing hydrogen barriers and getters
EP1067605A1 (en) 1999-07-05 2001-01-10 STMicroelectronics S.r.l. Ferroelectric memory cell and corresponding manufacturing method
US6333202B1 (en) 1999-08-26 2001-12-25 International Business Machines Corporation Flip FERAM cell and method to form same
US6097636A (en) 1999-09-03 2000-08-01 Silicon Storage Technology, Inc. Word line and source line driver circuitries
DE10131492B4 (de) 2001-06-29 2006-09-14 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterspeichervorrichtung
JP2003077283A (ja) 2001-08-31 2003-03-14 Hitachi Ltd 半導体集積回路、半導体不揮発性メモリ、メモリカード及びマイクロコンピュータ
US6809965B2 (en) 2001-09-19 2004-10-26 Virtual Silicon Technology, Inc. Control circuitry for a non-volatile memory
US6635498B2 (en) 2001-12-20 2003-10-21 Texas Instruments Incorporated Method of patterning a FeRAM capacitor with a sidewall during bottom electrode etch
US6828161B2 (en) 2001-12-31 2004-12-07 Texas Instruments Incorporated Method of forming an FeRAM having a multi-layer hard mask and patterning thereof
US6713342B2 (en) 2001-12-31 2004-03-30 Texas Instruments Incorporated FeRAM sidewall diffusion barrier etch
US6773930B2 (en) 2001-12-31 2004-08-10 Texas Instruments Incorporated Method of forming an FeRAM capacitor having a bottom electrode diffusion barrier
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
JP3957520B2 (ja) 2002-02-07 2007-08-15 富士通株式会社 電圧生成回路
US6570787B1 (en) 2002-04-19 2003-05-27 Advanced Micro Devices, Inc. Programming with floating source for low power, low leakage and high density flash memory devices
JP2004071932A (ja) * 2002-08-08 2004-03-04 Toshiba Corp 半導体装置
US6893912B2 (en) 2002-10-15 2005-05-17 Macronix International Co., Ltd. Ferroelectric capacitor memory device fabrication method
US6914282B2 (en) 2002-10-15 2005-07-05 Macronix International Co., Ltd. Ferroelectric device and method for making
US7042705B2 (en) 2003-01-30 2006-05-09 Infineon Technologies Ag Sidewall structure and method of fabrication for reducing oxygen diffusion to contact plugs during CW hole reactive ion etch processing
US7131089B2 (en) * 2003-06-20 2006-10-31 Texas Instruments Incorporated Computer program for programming an integrated circuit speed capability indicator
US6947328B1 (en) 2003-12-29 2005-09-20 Intel Corporation Voltage level shifter
JP4962828B2 (ja) 2004-08-25 2012-06-27 マイクロン テクノロジー, インク. ワード線ドライバ回路およびこれを利用する方法
US7110319B2 (en) 2004-08-27 2006-09-19 Micron Technology, Inc. Memory devices having reduced coupling noise between wordlines
US7345946B1 (en) 2004-09-24 2008-03-18 Cypress Semiconductor Corporation Dual-voltage wordline drive circuit with two stage discharge
US8093070B2 (en) 2004-12-17 2012-01-10 Texas Instruments Incorporated Method for leakage reduction in fabrication of high-density FRAM arrays
JP4167677B2 (ja) 2005-07-14 2008-10-15 株式会社東芝 半導体装置
US20070040198A1 (en) * 2005-08-17 2007-02-22 Fujitsu Limited Semiconductor device and manufacturing method thereof, and thin film device
WO2007135632A2 (en) 2006-05-19 2007-11-29 Nxp B.V. Sonos memory device and method of operating a sonos memory device
JP4967478B2 (ja) 2006-06-30 2012-07-04 富士通セミコンダクター株式会社 半導体装置とその製造方法
JP5064089B2 (ja) 2007-04-12 2012-10-31 パナソニック株式会社 半導体集積回路
JP4962206B2 (ja) 2007-08-10 2012-06-27 富士通セミコンダクター株式会社 半導体記憶装置及びワードデコーダ制御方法
JP2009071142A (ja) * 2007-09-14 2009-04-02 Seiko Epson Corp 強誘電体メモリ装置の製造方法
TWI373046B (en) 2008-04-01 2012-09-21 Nanya Technology Corp Wordline driver for dram and driving method thereof
US8139426B2 (en) 2008-08-15 2012-03-20 Qualcomm Incorporated Dual power scheme in memory circuit
ITTO20080645A1 (it) 2008-08-29 2010-02-28 St Microelectronics Srl Decodificatore di riga per dispositivi di memoria non volatili, in particolare del tipo a cambiamento di fase
US7940580B2 (en) 2008-12-19 2011-05-10 Advanced Micro Devices, Inc. Voltage shifting word-line driver and method therefor
JP2010177257A (ja) 2009-01-27 2010-08-12 Panasonic Corp 半導体装置及びその製造方法
JP5341590B2 (ja) 2009-03-25 2013-11-13 ルネサスエレクトロニクス株式会社 半導体記憶装置
JP2010278074A (ja) * 2009-05-26 2010-12-09 Fujitsu Semiconductor Ltd 電子装置およびその製造方法
JP5888802B2 (ja) * 2009-05-28 2016-03-22 株式会社半導体エネルギー研究所 トランジスタを有する装置
FR2970592B1 (fr) 2011-01-19 2013-02-15 Centre Nat Rech Scient Cellule mémoire volatile/non volatile programmable
US8867278B2 (en) 2011-02-28 2014-10-21 Samsung Electronics Co., Ltd. Nonvolatile memory device, memory system including the same, and method of operating nonvolatile memory device
TWI429062B (zh) 2011-06-15 2014-03-01 Ind Tech Res Inst 非揮發性靜態隨機存取式記憶胞以及記憶體電路
US8518792B2 (en) 2011-08-12 2013-08-27 Cypress Semiconductor Corporation Method for fabricating a damascene self-aligned ferroelectric random access memory (F-RAM) having a ferroelectric capacitor aligned with a three dimensional transistor structure
US8552515B2 (en) 2011-08-12 2013-10-08 Cypress Semiconductor Corporation Method for fabricating a damascene self-aligned ferroelectric random access memory (F-RAM) device structure employing reduced processing steps
US8755239B2 (en) 2011-11-17 2014-06-17 Texas Instruments Incorporated Read assist circuit for an SRAM
CN103137194A (zh) 2011-11-23 2013-06-05 上海华虹Nec电子有限公司 闪存存储器的存储单元电路结构
US8570809B2 (en) 2011-12-02 2013-10-29 Cypress Semiconductor Corp. Flash memory devices and systems
JP5845866B2 (ja) * 2011-12-07 2016-01-20 富士通セミコンダクター株式会社 半導体装置の製造方法
US8995219B2 (en) 2012-03-28 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Word line driver
US8916434B2 (en) * 2012-05-11 2014-12-23 Cypress Semiconductor Corporation Enhanced hydrogen barrier encapsulation method for the control of hydrogen induced degradation of ferroelectric capacitors in an F-RAM process
US8947970B2 (en) 2012-07-13 2015-02-03 Freescale Semiconductor, Inc. Word line driver circuits and methods for SRAM bit cell with reduced bit line pre-charge voltage
US9576949B2 (en) 2012-09-05 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Diode formed of PMOSFET and schottky diodes
US9177645B2 (en) 2012-10-19 2015-11-03 Aplus Flash Technology, Inc. 10T NVSRAM cell and cell operations
US8976588B2 (en) 2012-11-01 2015-03-10 Aplus Flash Technology, Inc. NVSRAM cells with voltage flash charger
US8872570B2 (en) 2012-12-28 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple power domain circuit and related method
KR102103544B1 (ko) 2013-01-22 2020-04-23 삼성전자주식회사 고전압 스위치 및 그것을 포함하는 불휘발성 메모리 장치
US8901970B2 (en) 2013-03-28 2014-12-02 Broadcom Corporation High voltage inverter utilizing low voltage oxide MOFSET devices
US9548348B2 (en) 2013-06-27 2017-01-17 Cypress Semiconductor Corporation Methods of fabricating an F-RAM
US9159735B2 (en) 2013-07-18 2015-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Architecture to improve cell size for compact array of split gate flash cell with buried common source structure
US9111944B2 (en) * 2013-09-09 2015-08-18 Cypress Semiconductor Corporation Method of fabricating a ferroelectric capacitor
US9105357B2 (en) 2013-09-09 2015-08-11 Kabushiki Kaisha Toshiba Semiconductor memory device and defective judging method thereof
US8953380B1 (en) 2013-12-02 2015-02-10 Cypress Semiconductor Corporation Systems, methods, and apparatus for memory cells with common source lines
US20150206893A1 (en) * 2014-01-20 2015-07-23 Cypress Semiconductor Corporation Damascene oxygen barrier and hydrogen barrier for ferroelectric random-access memory
JP6287278B2 (ja) * 2014-02-03 2018-03-07 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US9305995B1 (en) 2015-06-01 2016-04-05 Cypress Semiconductor Corporation Methods of fabricating an F-RAM
US9515075B1 (en) * 2015-08-31 2016-12-06 Cypress Semiconductor Corporation Method for fabricating ferroelectric random-access memory on pre-patterned bottom electrode and oxidation barrier
US9466347B1 (en) 2015-12-16 2016-10-11 Stmicroelectronics International N.V. Row decoder for non-volatile memory devices and related methods

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005044972A (ja) * 2003-07-28 2005-02-17 Toshiba Corp 半導体記憶装置及びその製造方法
JP2007053309A (ja) * 2005-08-19 2007-03-01 Matsushita Electric Ind Co Ltd データ記憶装置
JP2010192620A (ja) * 2009-02-17 2010-09-02 Panasonic Corp 不揮発性半導体記憶装置の製造方法
JP2010192631A (ja) * 2009-02-18 2010-09-02 Panasonic Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
CN110571218A (zh) 2019-12-13
US10079240B2 (en) 2018-09-18
DE112016003929T8 (de) 2018-07-19
CN110571218B (zh) 2020-11-06
DE112016003929B4 (de) 2021-08-12
CN107710412A (zh) 2018-02-16
DE112016003929B9 (de) 2022-03-24
JP6510678B2 (ja) 2019-05-08
US20170162249A1 (en) 2017-06-08
CN107710412B (zh) 2019-09-24
DE112016003929T5 (de) 2018-05-24
US9515075B1 (en) 2016-12-06
WO2017039854A1 (en) 2017-03-09

Similar Documents

Publication Publication Date Title
US10283493B1 (en) Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
JP6563390B2 (ja) F−ramの製造方法
US10644020B2 (en) Three-dimensional semiconductor memory device with a substrate contact region and method of manufacturing the same
US9646976B2 (en) Ferroelectric random-access memory with pre-patterned oxygen barrier
US7999309B2 (en) Semiconductor device
US11903191B2 (en) Embedded flash memory device with floating gate embedded in a substrate
KR101670451B1 (ko) 도전막 매립형 기판, 그 형성 방법, 반도체 소자 및 그 제조 방법
KR100703970B1 (ko) 반도체 집적 회로 장치 및 그 제조 방법
US10304731B2 (en) Damascene oxygen barrier and hydrogen barrier for ferroelectric random-access memory
US9006808B2 (en) Eliminating shorting between ferroelectric capacitors and metal contacts during ferroelectric random access memory fabrication
JP6510678B2 (ja) 予備パターン化された底部電極及び酸化障壁上に強誘電体ランダムアクセスメモリを製造する方法
JP2003086771A (ja) 容量素子、半導体記憶装置及びその製造方法
JP4427563B2 (ja) 半導体装置の製造方法
JP2008235815A (ja) 不揮発性記憶装置
TWI819648B (zh) 積體電路結構以及記憶體元件的製造方法
TWI759123B (zh) 鐵電記憶體裝置及其形成方法
JP2010141143A (ja) 半導体装置及びその製造方法
JP4053307B2 (ja) 半導体装置の製造方法
JP2004179497A (ja) 半導体装置および半導体装置の製造方法
JP2009081229A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180209

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180209

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20180209

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20180903

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180911

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190305

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190404

R150 Certificate of patent or registration of utility model

Ref document number: 6510678

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250