JP2018084644A - パターン形成方法 - Google Patents

パターン形成方法 Download PDF

Info

Publication number
JP2018084644A
JP2018084644A JP2016226896A JP2016226896A JP2018084644A JP 2018084644 A JP2018084644 A JP 2018084644A JP 2016226896 A JP2016226896 A JP 2016226896A JP 2016226896 A JP2016226896 A JP 2016226896A JP 2018084644 A JP2018084644 A JP 2018084644A
Authority
JP
Japan
Prior art keywords
film
core material
spacer
etching
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016226896A
Other languages
English (en)
Other versions
JP6994828B2 (ja
Inventor
英民 八重樫
Hidetami Yaegashi
英民 八重樫
小山 賢一
Kenichi Koyama
賢一 小山
克実 大森
Katsumi Omori
克実 大森
嘉崇 小室
Yoshitaka Komuro
嘉崇 小室
武広 瀬下
Takehiro Seshimo
武広 瀬下
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Ohka Kogyo Co Ltd
Tokyo Electron Ltd
Original Assignee
Tokyo Ohka Kogyo Co Ltd
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Ohka Kogyo Co Ltd, Tokyo Electron Ltd filed Critical Tokyo Ohka Kogyo Co Ltd
Priority to JP2016226896A priority Critical patent/JP6994828B2/ja
Priority to TW106138748A priority patent/TWI745482B/zh
Priority to US15/817,724 priority patent/US10317797B2/en
Priority to KR1020170155864A priority patent/KR102400925B1/ko
Publication of JP2018084644A publication Critical patent/JP2018084644A/ja
Application granted granted Critical
Publication of JP6994828B2 publication Critical patent/JP6994828B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • G03F7/2016Contact mask being integral part of the photosensitive element and subject to destructive removal during post-exposure processing
    • G03F7/202Masking pattern being obtained by thermal means, e.g. laser ablation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2012Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image using liquid photohardening compositions, e.g. for the production of reliefs such as flexographic plates or stamps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Optics & Photonics (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

【課題】1Dレイアウトを用いるパターン形成において、重ね合わせマークの読み取り精度を向上させることが可能なパターン形成方法を提供すること。【解決手段】下地膜の上に、所定間隔のスペースを隔てて整列されたラインを含むラインアンドスペース形状にパターニングされた第1の膜を形成する工程と、前記第1の膜の表面を覆うように第2の膜を形成する工程と、前記第1の膜の側面に前記第2の膜が残存するように、前記第1の膜の上面に形成された前記第2の膜を除去する工程と、前記第1の膜の表面及び前記第2の膜の表面を覆うように第3の膜を形成する工程と、前記第2の膜の側面に前記第3の膜が残存するように、前記第1の膜の上面及び前記第2の膜の上面に形成された前記第3の膜を除去する工程と、前記第3の膜を除去する工程の後、前記第3の膜を変質させる工程とを有し、前記第3の膜は、所定の処理を行うことでエッチング耐性が向上する有機系金属化合物により形成されている、パターン形成方法により上記課題を解決する。【選択図】図1

Description

本発明は、パターン形成方法に関する。
半導体装置の高集積化に伴って、製造プロセスに要求される配線や分離幅のパターンは、微細化される傾向にある。このような微細なパターンは、フォトリソグラフィ技術を用いてレジストパターンを形成し、レジストパターンをマスクパターンに用いて下地の各種薄膜をエッチングすることで形成される(例えば、特許文献1参照)。
微細なパターンを形成するためには、フォトリソグラフィ技術が重要であり、パターンの微細化は、フォトリソグラフィ技術の解像限界以下を要求するまでに至っている。このようなフォトリソグラフィ技術の解像限界以下の微細なパターンを形成する方法として、1次元(1D)レイアウトを用いる方法が知られている。
1Dレイアウトを用いる方法は、等倍ピッチの繰り返しラインアンドスペース形状のライン又はスペースを任意の箇所でカットパターンを用いてカットするカット工程を有する。カットパターンとしては、複数の開口部又は複数の遮光部を有するパターンが用いられている。
特開2014−056864号公報
ところで、10nmノード以細における1Dレイアウトを用いるパターン形成では、特にカットパターンの位置精度の確保や重ね合わせ精度の向上が求められる。しかしながら、従来の方法では、ラインアンドスペース形状(芯材)の側面にスペーサを形成した後、芯材をエッチングして除去するため、重ね合わせマークの読み取り精度が低下する。これは、芯材を除去することで、芯材により形成された重ね合わせマークがエッチングされ、重ね合わせマークの大きさが小さくなるためである。
そこで、本発明の一つの案では、1Dレイアウトを用いるパターン形成において、重ね合わせマークの読み取り精度を向上させることが可能なパターン形成方法を提供することを目的とする。
上記目的を達成するため、本発明の一態様に係るパターン形成方法は、
下地膜の上に、所定間隔のスペースを隔てて整列されたラインを含むラインアンドスペース形状にパターニングされた第1の膜を形成する工程と、
前記第1の膜の表面を覆うように第2の膜を形成する工程と、
前記第1の膜の側面に前記第2の膜が残存するように、前記第1の膜の上面に形成された前記第2の膜を除去する工程と、
前記第1の膜の表面及び前記第2の膜の表面を覆うように第3の膜を形成する工程と、
前記第2の膜の側面に前記第3の膜が残存するように、前記第1の膜の上面及び前記第2の膜の上面に形成された前記第3の膜を除去する工程と、
前記第3の膜を除去する工程の後、前記第3の膜を変質させる工程と
を有し、
前記第3の膜は、所定の処理を行うことでエッチング耐性が向上する有機系金属化合物により形成されている。
開示のパターン形成方法によれば、1Dレイアウトを用いるパターン形成において、重ね合わせマークの読み取り精度を向上させることができる。
第1実施形態のパターン形成方法を例示するフローチャート 第1実施形態のパターン形成方法の各工程を説明する図(1) 第1実施形態のパターン形成方法の各工程を説明する図(2) 第1実施形態のパターン形成方法の各工程を説明する図(3) 第1実施形態のパターン形成方法の各工程を説明する図(4) 第1実施形態のパターン形成方法の各工程を説明する図(5) 第1実施形態のパターン形成方法の各工程を説明する図(6) 第1実施形態のパターン形成方法の各工程を説明する図(7) 第1実施形態のパターン形成方法の各工程を説明する図(8) 第1実施形態のパターン形成方法の各工程を説明する図(9) 第1実施形態のパターン形成方法の各工程を説明する図(10) 第1実施形態のパターン形成方法の各工程を説明する図(11) 第1実施形態のパターン形成方法の各工程を説明する図(12) 第1実施形態のパターン形成方法の各工程を説明する図(13) 第1実施形態のパターン形成方法の各工程を説明する図(14) 第1実施形態のパターン形成方法の各工程を説明する図(15) 第1実施形態のパターン形成方法の各工程を説明する図(16) 第1実施形態のパターン形成方法の各工程を説明する図(17) 第1実施形態のパターン形成方法の各工程を説明する図(18) 第1実施形態のパターン形成方法の各工程を説明する図(19) 第1実施形態のパターン形成方法の各工程を説明する図(20) 第1実施形態のパターン形成方法の各工程を説明する図(21) 第1実施形態のパターン形成方法の各工程を説明する図(22) 第1実施形態のパターン形成方法の各工程を説明する図(23) 第1実施形態のパターン形成方法の各工程を説明する図(24) 第1犠牲膜のHBr、CF及びOに対するエッチングレートを説明する図 従来のパターン形成方法を例示するフローチャート 従来のパターン形成方法の各工程を説明する図(1) 従来のパターン形成方法の各工程を説明する図(2) 従来のパターン形成方法の各工程を説明する図(3) 従来のパターン形成方法の各工程を説明する図(4) 従来のパターン形成方法の各工程を説明する図(5) 従来のパターン形成方法の各工程を説明する図(6) 従来のパターン形成方法の各工程を説明する図(7) 従来のパターン形成方法の各工程を説明する図(8) 従来のパターン形成方法の各工程を説明する図(9) 従来のパターン形成方法の各工程を説明する図(10) 従来のパターン形成方法の各工程を説明する図(11) 従来のパターン形成方法の各工程を説明する図(12) 従来のパターン形成方法の各工程を説明する図(13) 従来のパターン形成方法の各工程を説明する図(14) 従来のパターン形成方法の各工程を説明する図(15) 従来のパターン形成方法の各工程を説明する図(16) 従来のパターン形成方法の各工程を説明する図(17) 従来のパターン形成方法の各工程を説明する図(18) 重ね合わせマークを説明する図 第2実施形態のパターン形成方法を例示するフローチャート 第2実施形態のパターン形成方法の各工程を説明する図(1) 第2実施形態のパターン形成方法の各工程を説明する図(2) 第2実施形態のパターン形成方法の各工程を説明する図(3) 第2実施形態のパターン形成方法の各工程を説明する図(4) 第2実施形態のパターン形成方法の各工程を説明する図(5) 第2実施形態のパターン形成方法の各工程を説明する図(6) 第2実施形態のパターン形成方法の各工程を説明する図(7) 第2実施形態のパターン形成方法の各工程を説明する図(8) 第2実施形態のパターン形成方法の各工程を説明する図(9) 第2実施形態のパターン形成方法の各工程を説明する図(10) 第2実施形態のパターン形成方法の各工程を説明する図(11) 第2実施形態のパターン形成方法の各工程を説明する図(12) 第2実施形態のパターン形成方法の各工程を説明する図(13) 第2実施形態のパターン形成方法の各工程を説明する図(14) 第2実施形態のパターン形成方法の各工程を説明する図(15) 第2実施形態のパターン形成方法の各工程を説明する図(16) 第2実施形態のパターン形成方法の各工程を説明する図(17) 第2実施形態のパターン形成方法の各工程を説明する図(18) 第3実施形態のパターン形成方法を例示するフローチャート 第3実施形態のパターン形成方法の各工程を説明する図(1) 第3実施形態のパターン形成方法の各工程を説明する図(2) 第3実施形態のパターン形成方法の各工程を説明する図(3) 第3実施形態のパターン形成方法の各工程を説明する図(4) 第3実施形態のパターン形成方法の各工程を説明する図(5) 第3実施形態のパターン形成方法の各工程を説明する図(6) 第3実施形態のパターン形成方法の各工程を説明する図(7) 第3実施形態のパターン形成方法の各工程を説明する図(8) 第3実施形態のパターン形成方法の各工程を説明する図(9) 第3実施形態のパターン形成方法の各工程を説明する図(10) 第3実施形態のパターン形成方法の各工程を説明する図(11) 第3実施形態のパターン形成方法の各工程を説明する図(12) 第3実施形態のパターン形成方法の各工程を説明する図(13) 第3実施形態のパターン形成方法の各工程を説明する図(14) 第3実施形態のパターン形成方法の各工程を説明する図(15) 第3実施形態のパターン形成方法の各工程を説明する図(16) 第3実施形態のパターン形成方法の各工程を説明する図(17) 第3実施形態のパターン形成方法の各工程を説明する図(18) 第3実施形態のパターン形成方法の各工程を説明する図(19) 第4実施形態のパターン形成方法を例示するフローチャート 第4実施形態のパターン形成方法の各工程を説明する図(1) 第4実施形態のパターン形成方法の各工程を説明する図(2) 第4実施形態のパターン形成方法の各工程を説明する図(3) 第4実施形態のパターン形成方法の各工程を説明する図(4) 第4実施形態のパターン形成方法の各工程を説明する図(5) 第4実施形態のパターン形成方法の各工程を説明する図(6) 第4実施形態のパターン形成方法の各工程を説明する図(7) 第4実施形態のパターン形成方法の各工程を説明する図(8) 第4実施形態のパターン形成方法の各工程を説明する図(9) 第4実施形態のパターン形成方法の各工程を説明する図(10) 第4実施形態のパターン形成方法の各工程を説明する図(11) 第4実施形態のパターン形成方法の各工程を説明する図(12) 第4実施形態のパターン形成方法の各工程を説明する図(13) 第4実施形態のパターン形成方法の各工程を説明する図(14)
以下、本発明の実施形態について添付の図面を参照しながら説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することによって重複した説明を省く。
本実施形態のパターン形成方法は、1Dレイアウトを用いて、特にフォトリソグラフィ技術の解像限界以下の微細なパターンを形成するものである。
本実施形態のパターン形成方法では、芯材により形成されたラインアンドスペース形状の側面にスペーサを形成した後、芯材を除去することなく、スペーサにより形成されたラインパターンのカットを行うことを特徴とする。これにより、芯材により形成された重ね合わせマークがエッチングされないため、重ね合わせマークの大きさが小さくなることがない。その結果、後の工程における重ね合わせマークの読み取り精度を向上させることができる。
以下では、1Dレイアウトを用いるパターン形成において、重ね合わせマークの読み取り精度を向上させることが可能な、第1実施形態から第4実施形態のパターン形成方法について説明する。
〔第1実施形態〕
第1実施形態のパターン形成方法について説明する。図1は、第1実施形態のパターン形成方法を例示するフローチャートである。
図1に示すように、第1実施形態のパターン形成方法は、芯材を形成する工程(ステップS101)、スペーサを形成する工程(ステップS102)、芯材を露出させる工程(ステップS103)、第1犠牲膜を形成する工程(ステップS104)、芯材・スペーサを露出させる工程(ステップS105)、第1犠牲膜を変質させる工程(ステップS106)、反射防止膜を形成する工程(ステップS107)、レジスト膜を形成する工程(ステップS108)、レジスト膜をパターニングする工程(ステップS109)、反射防止膜をエッチングする工程(ステップS110)、第1犠牲膜をエッチングする工程(ステップS111)、レジスト膜・反射防止膜を除去する工程(ステップS112)、反射防止膜を形成する工程(ステップS113)、レジスト膜を形成する工程(ステップS114)、レジスト膜をパターニングする工程(ステップS115)、反射防止膜をエッチングする工程(ステップS116)、芯材をエッチングする工程(ステップS117)、レジスト膜・反射防止膜を除去する工程(ステップS118)、パターン反転膜を形成する工程(ステップS119)、芯材・第1犠牲膜を露出させる工程(ステップS120)、芯材をエッチングする工程(ステップS121)、第1犠牲膜をエッチングする工程(ステップS122)、第2下地膜をエッチングする工程(ステップS123)及びパターン反転膜を除去する工程(ステップS124)を有する。
以下、各々の工程について、図2から図25に基づき説明する。図2から図25は、第1実施形態のパターン形成方法の各工程を説明するための概略図である。なお、図2から図25における(a)は各工程における上面図であり、(b)は(a)の一点鎖線A−Aにおいて切断したときの断面図であり、(c)は(a)の一点鎖線B−Bにおいて切断したときの断面図である。
ステップS101では、芯材を形成する。具体的には、図2に示すように、第1下地膜10の上に形成された第2下地膜11の上に、例えば化学気層成長(CVD:Chemical Vapor Deposition)により芯材12を形成する。次いで、例えば波長193nmのArFの露光及び現像により、所定間隔のスペースを隔てて整列されたラインを含むラインアンドスペース形状に芯材12をパターニングする。次いで、パターニングされた芯材12の線幅を細く形成する処理(以下「スリミング処理」という。)を行い、フォトリソグラフィ技術の解像限界以下の微細なパターンを形成する。第1下地膜10は例えば層間絶縁膜(ILD:Inter Level Dielectric)であり、第2下地膜11は例えば窒化チタン膜(TiN膜)である。芯材12は、第1の膜の一例であり、例えばポリシリコンである。
ステップS102では、スペーサを形成する。具体的には、図3に示すように、例えばCVD、原子層堆積(ALD:Atomic Layer Deposition)により、芯材12の表面(上面及び側面)を覆うように芯材12の線幅と同程度の膜厚のスペーサ13を形成する。スペーサ13は、第2の膜の一例であり、例えばシリコン酸化膜(SiO膜)である。
ステップS103では、芯材を露出させる。具体的には、図4に示すように、例えば反応性イオンエッチング(RIE:Reactive Ion Etching)等のドライエッチングにより、芯材12の上面が露出するまでスペーサ13をエッチングする。このとき、芯材12の側面にスペーサ13が残存するようにエッチングする。エッチングガスとしては、例えば四フッ化炭素(CF)を用いることができる。
ステップS104では、第1犠牲膜を形成する。具体的には、図5に示すように、第1犠牲膜14の材料を含む溶液をスピン塗布することにより、芯材12の表面及びスペーサ13の表面を覆うように第1犠牲膜14を形成する。スピン塗布により第1犠牲膜14を形成するため、第1犠牲膜14の上面を平滑化することができ、リソグラフィマージンを拡大することができる。第1犠牲膜14の材料を含む溶液をスピン塗布した後、必要な場合には低温(例えば100℃)で加熱し、乾燥させてもよい。第1犠牲膜14は、第3の膜の一例であり、所定の処理により半導体、絶縁膜及び有機膜をエッチングする際に用いられるエッチングガスに対するエッチング耐性が向上する有機系金属化合物により形成されている。
有機系金属化合物としては、金属錯体や有機金属錯体等の錯体が挙げられる。錯体としては、例えば下記一般式(0)で表される錯体が好ましい。
Figure 2018084644
[式中、Mはハフニウム(Hf)又はジルコニウム(Zr)である。Zは配位子である。]
「配位子」とは、リガンドとも称し、錯体の中心原子(Hf、Zr)に配位結合している原子あるいは原子団をいう。配位子Zは、特に限定されないが、酸解離定数(pKa)が3.8以下の酸の共役塩基からなる配位子であることが好ましく、例えば、スルホン酸基やカルボン酸基を含む化合物の共役塩基からなる配位子が挙げられる。なお、「酸解離定数(pKa)」とは、対象物質の酸強度を示す指標として一般的に用いられているものをいう。pKa値は、常法により測定して求めることもできる。また、「ACD/Labs」(商品名、Advanced Chemistry Development社製)等の公知のソフトウェアを用いたシミュレーションから算出することもできる。さらに、pKa値には、文献値を用いてもよい。共役塩基に対応する酸のpKaは、好ましくは、3.8以下であり、より好ましくは3.5以下であり、さらに好ましくは3.0以下である。下限は特に限定されないが、例えば−10以上である。該酸のpKaを前記の範囲とすることで、金属錯体の構造の安定化が図れる。
また、有機系金属化合物における配位子Zのうち少なくとも1つは、重合性基を有する配位子であってもよく、例えば下記一般式(1)で表される錯体が挙げられる。
Figure 2018084644
[式中、Mはハフニウム(Hf)又はジルコニウム(Zr)である。Xは重合性基を有する配位子である。Yは重合性基を有しない配位子である。nは1〜4の整数である。]
「重合性基」とは、重合性基を有する化合物がラジカル重合等により重合することを可能とする基であり、例えばエチレン性二重結合などの炭素原子間の多重結合を含む基をいう。
重合性基としては、例えば、ビニル基、アリル基、アクリロイル基、メタクリロイル基、アクリロイルオキシ基、メタクリロイルオキシ基、アクリルアミド基、メタクリルアミド基、アクリロイルアリール基、メタクリロイルアリール基、ノルボルニル基等が挙げられる。
前記式(1)中のXとしては、例えば、下記の一般式(2)又は一般式(3)で表される配位子が挙げられる。
Figure 2018084644
[式(2)中、Rは、下記の式(X−01)〜(X−07)でそれぞれ表される基からなる群より選択される基を含む重合性基である。Yは、2価の連結基又は単結合である。式(3)中、Rは、下記の式(X−01)〜(X−07)でそれぞれ表される基からなる群より選択される基を含む重合性基である。Yは、2価の連結基又は単結合である。]
Figure 2018084644
[式中、Rは水素原子、炭素数1〜5のアルキル基又は炭素数1〜5のフッ素化アルキル基である。Arは芳香族炭化水素基である。nは0〜2の整数である。*は結合手である。]
前記式(2)中、Rは、上記の式(X−01)〜(X−07)でそれぞれ表される基からなる群より選択される基を含む重合性基である。
上記の式(X−01)〜(X−04)中、Rは水素原子、炭素数1〜5のアルキル基又は炭素数1〜5のフッ素化アルキル基である。Rにおける「炭素数1〜5のアルキル基」は、炭素数1〜5の直鎖状又は分岐鎖状のアルキル基が好ましく、具体的には、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、イソブチル基、tert−ブチル基、ペンチル基、イソペンチル基、ネオペンチル基等が挙げられる。炭素数1〜5のフッ素化アルキル基は、前記「炭素数1〜5のアルキル基」の水素原子の一部又は全部がフッ素原子で置換された基である。
上記の式(X−04)中、Arにおける芳香族炭化水素基は、芳香環を少なくとも1つ有する2価の炭化水素基である。この芳香環は、4n+2個のπ電子をもつ環状共役系であれば特に限定されず、単環式でも多環式でもよい。芳香環の炭素数は5〜30であることが好ましく、5〜20がより好ましく、6〜15がさらに好ましく、6〜12が特に好ましい。芳香環として具体的には、ベンゼン、ナフタレン、アントラセン、フェナントレン等の芳香族炭化水素環;前記芳香族炭化水素環を構成する炭素原子の一部がヘテロ原子で置換された芳香族複素環等が挙げられる。芳香族複素環におけるヘテロ原子としては、酸素原子、硫黄原子、窒素原子等が挙げられる。芳香族複素環として具体的には、ピリジン環、チオフェン環等が挙げられる。Arにおける芳香族炭化水素基として具体的には、前記芳香族炭化水素環または芳香族複素環から水素原子2つを除いた基(アリーレン基またはヘテロアリーレン基);2以上の芳香環を含む芳香族化合物(たとえばビフェニル、フルオレン等)から水素原子2つを除いた基;前記芳香族炭化水素環または芳香族複素環の水素原子の1つがアルキレン基で置換された基(たとえば、ベンジル基、フェネチル基、1−ナフチルメチル基、2−ナフチルメチル基、1−ナフチルエチル基、2−ナフチルエチル基等のアリールアルキル基におけるアリール基から水素原子をさらに1つ除いた基)等が挙げられる。前記芳香族炭化水素環または芳香族複素環に結合するアルキレン基の炭素数は、1〜4であることが好ましく、1〜2であることがより好ましく、1であることが特に好ましい。
上記の式(X−07)中、nは0〜2の整数である。
前記式(2)中、Yは、2価の連結基又は単結合である。Yにおける2価の連結基としては、たとえば、アルキレン基、アリーレン基;エーテル結合を有するアルキレン基、チオエーテル結合を有するアルキレン基、エステル結合を有するアルキレン基、フッ素化アルキレン基などが挙げられる。
におけるアルキレン基は、炭素数1〜20が好ましく、1〜10がより好ましく、1〜5がさらに好ましく、具体的には、メチレン基[−CH−]、エチレン基[−(CH−]、トリメチレン基[−(CH−]等が挙げられる。
におけるアリーレン基は、上記式(X−04)中のArについての説明の中で例示した芳香族炭化水素環から水素原子2つを除いた基と同様である。
におけるエーテル結合を有するアルキレン基、チオエーテル結合を有するアルキレン基、又はエステル結合を有するアルキレン基についてのアルキレン基は、炭素数1〜20が好ましく、1〜10がより好ましい。
におけるフッ素化アルキレン基についてのアルキレン基は、炭素数1〜20が好ましく、1〜10がより好ましい。該フッ素化アルキレン基は、アルキレン基の水素原子の一部または全部がフッ素原子で置換されていてもよい。
上記のなかでも、Yとしては、メチレン基[−CH−]、エチレン基[−(CH−]、トリメチレン基[−(CH−]が特に好ましい。
一般式(2)で表される配位子の中でも、酸解離定数(pKa)が3.8以下の酸の共役塩基からなる配位子がより好ましく、例えば、3−(メタクリロイルオキシ)−1−プロパンスルホン酸(pKa1.53)の共役塩基、3−(アクリロイルオキシ)−1−プロパンスルホン酸(pKa1.53)の共役塩基、ビニルスルホン酸(pKa−1.32)の共役塩基、スチレンスルホン酸(pKa−2.8)の共役塩基が挙げられる。
前記式(3)中、Rは、上記の式(X−01)〜(X−07)でそれぞれ表される基からなる群より選択される基を含む重合性基であり、前記式(2)中のRと同様である。
前記式(3)中、Yは、2価の連結基又は単結合である。Yにおける2価の連結基としては、たとえば、メチレン基[−CH−]、アリーレン基;エーテル結合を有するアルキレン基、チオエーテル結合を有するアルキレン基、エステル結合を有するアルキレン基、フッ素化アルキレン基などが挙げられる。
におけるアリーレン基は、上記式(X−04)中のArについての説明の中で例示した芳香族炭化水素環から水素原子2つを除いた基と同様である。
におけるエーテル結合を有するアルキレン基、チオエーテル結合を有するアルキレン基、又はエステル結合を有するアルキレン基についてのアルキレン基は、炭素数1〜20が好ましく、1〜10がより好ましい。
におけるフッ素化アルキレン基についてのアルキレン基は、炭素数1〜20が好ましく、1〜10がより好ましい。該フッ素化アルキレン基は、アルキレン基の水素原子の一部または全部がフッ素原子で置換されていてもよい。
上記のなかでも、Yとしては、メチレン基[−CH−]が特に好ましい。
一般式(3)で表される配位子について、メタクリル酸カルボキシメチル(pKa2.53)の共役塩基、アクリル酸カルボキシメチル(pKa2.53)の共役塩基、ビシクロ[2.2.1]ヘプト−5−エン−2−カルボン酸(pKa4.63)の共役塩基、2−(アクリルオキシ)−5−オキソ−4−オキサトリシクロ[4.2.1.03,7]ノナン−9−カルボン酸(pKa4.9)の共役塩基、5−(ビシクロ[2.2.1]ヘプト−5−エン−2−イルチオ)ビシクロ[2.2.1]ヘプタン−2−カルボン酸(pKa4.83)の共役塩基、7−オキサビシクロ[4.1.0]ヘプタン−1−カルボン酸(pKa4.85)の共役塩基、7−オキサビシクロ[4.1.0]ヘプタン−3−カルボン酸(pKa4.82)の共役塩基、3−オキサトリシクロ[3.2.1.02,4]オクタン−6−カルボン酸(pKa4.82)の共役塩基、3,8−ジオキサトリシクロ[5.1.0.0.2,4]オクタン−5−カルボン酸(pKa4.82)の共役塩基等が挙げられる。中でも、酸解離定数(pKa)が3.8以下の酸の共役塩基からなる配位子がより好ましく、例えば、メタクリル酸カルボキシメチル(pKa2.53)の共役塩基、アクリル酸カルボキシメチル(pKa2.53)の共役塩基が挙げられる。
前記式(1)中のXは、1種でもよく、2種以上を含んでいてもよいが、上記の一般式(2)で表される共役塩基からなる配位子、及び一般式(3)で表される共役塩基からなる配位子より選択される1以上の配位子であることが好ましく、アクリル酸カルボキシメチル、メタクリル酸カルボキシメチル、3−(アクリロイルオキシ)−1−プロパンスルホン酸及び3−(メタクリロイルオキシ)−1−プロパンスルホン酸からなる群より選ばれる1以上の酸、の共役塩基からなる配位子であることがより好ましい。
前記式(1)中、Yは、重合性基を有しない配位子である。Yとしては、例えば、酸素原子、イオウ原子、窒素原子、塩素原子、メチル基、エチル基、エチレン基、メトキシ基、エトキシ基、プロポキシ基、ブトキシ基、イソプロポキシ基、2−メトキシエトキシ基、2−エチルヘキソキシ基、シクロヘキサノレート、ビシクロ[2.2.1]ヘプタン−2−オレート、フェノキシ基、ナフトキシ基、メタンチオレート、エタンチオレート、プロパンチオレート、ブタンチオレート、イソプロパンチオレート、2−エチルヘキサンチオレート、シクロヘキサンチオレート、ビシクロ[2.2.1]ヘプタンチオレート、ベンゼンチオレート、ナフタレンチオレート、アセテート、プロパノエート、ブタノエート、2−メチルプロパノエート、2−エチルヘキサノエート、2−ブロモ−5−オキソ−4−オキサトリシクロ[4.2.1.03,7]ノナン−9−カルボキシレート、6−(2−ネフチルチオ)ビシクロ[2.2.1]ヘプタン−2−カルボキシレート、エタンチオエート、プロパンチオエート、ブタンチオエート、2−メチルプロパンチオエート、2−エチルへキサンチオエート、メタンスルホネート、エタンスルホネート、プロパンスルホネート、ブタンスルホネート、シクロヘキサンスルホネート、[(1S,4R)−7,7−ジメチル−2−オキソビシクロ[2.2.1]ヘプト−1−イル]メタンスルホネート、4−メチルベンゼンスルホネート、オキサレート、アセトアセトネート、1,3−ジフェニルプロパン−1,3−ジオン、2,2,6,6−テトラメチルヘプタン−3,5−ジオン、2,2−ジメチル−4,6ジオキソ−1,3−ジオキサン−5−イド、ジシアノメタニド、シクロペンタ−2,4−ジエニド、フェニルエチニド、ニトロメタン、ニトロエチレン、硝酸メチル、フェニルアジド、メチルイソシアネート、アリルイシソシアネート、トリエチルアミン、エチレンジアミン、トリフェニルアルシン、トリフェニルホスフィン、t−ブチルホスフィン、トリメチルホスフィン等に由来する配位子が挙げられる。
前記式(1)中のYは、1種でもよく、2種以上を含んでいてもよい。
かかるYは、錯体の安定性や、溶剤溶解性、現像液溶解性、塗膜性等を考慮して適宜選択すればよい。
前記式(1)中、nは、1〜4の整数であり、好ましくは2〜4であり、特に好ましくは4である。
以下に、一般式(1)で表される錯体の好適な具体例を式(1−1)〜式(1−4)で示す。
Figure 2018084644
有機系金属化合物は有機溶剤により塗布液として調製しておいてもよい。有機溶剤としては、使用する各成分を溶解または分散し、均一な溶液とすることができるものであればよく、公知のものの中から任意のものを適宜選択して用いることができる。例えば、γ−ブチロラクトン等のラクトン類;アセトン、メチルエチルケトン、シクロヘキサノン、メチル−n−ペンチルケトン、メチルイソペンチルケトン、2−ヘプタノンなどのケトン類;エチレングリコール、ジエチレングリコール、プロピレングリコール、ジプロピレングリコールなどの多価アルコール類;エチレングリコールモノアセテート、ジエチレングリコールモノアセテート、プロピレングリコールモノアセテート、またはジプロピレングリコールモノアセテート等のエステル結合を有する化合物、前記多価アルコール類または前記エステル結合を有する化合物のモノメチルエーテル、モノエチルエーテル、モノプロピルエーテル、モノブチルエーテル等のモノアルキルエーテルまたはモノフェニルエーテル等のエーテル結合を有する化合物等の多価アルコール類の誘導体[これらの中では、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、プロピレングリコールモノメチルエーテル(PGME)が好ましい];ジオキサンのような環式エーテル類や、乳酸メチル、乳酸エチル(EL)、酢酸メチル、酢酸エチル、酢酸ブチル、ピルビン酸メチル、ピルビン酸エチル、メトキシプロピオン酸メチル、エトキシプロピオン酸エチルなどのエステル類;アニソール、エチルベンジルエーテル、クレジルメチルエーテル、ジフェニルエーテル、ジベンジルエーテル、フェネトール、ブチルフェニルエーテル、エチルベンゼン、ジエチルベンゼン、ペンチルベンゼン、イソプロピルベンゼン、トルエン、キシレン、シメン、メシチレン等の芳香族系有機溶剤、ジメチルスルホキシド等を挙げることができ、1種または2種以上を混合して用いてもよい。
有機溶剤の使用量は、塗布膜厚等に応じて適宜調製すればよく、例えば有機系金属化合物の濃度が0.1〜20質量%となる範囲であり、0.5〜5質量%が好ましく、1〜3質量%がより好ましい。
半導体をエッチングする際に用いられるエッチングガスとしては、例えば臭化水素(HBr)が挙げられる。絶縁膜をエッチングする際に用いられるエッチングガスとしては、例えばCFが挙げられる。有機膜をエッチングする際に用いられるエッチングガスとしては、酸素(O)が挙げられる。第1犠牲膜14としては、例えば図26に示すように、加熱することでHBr、CF及びOに対するエッチング耐性が向上する材料を用いることができる。なお、図26は200℃で加熱する前後の第1犠牲膜14のHBr、CF及びOに対するエッチングレート(nm/min)を示しており、エッチングレートが小さいほどエッチング耐性が高いことを表している。第1犠牲膜14の具体的な材料については後述する。
ステップS105では、芯材及びスペーサを露出させる。具体的には、図6に示すように、例えばウェットエッチングにより、芯材12の上面及びスペーサ13の上面が露出するまで第1犠牲膜14をエッチングする。このとき、スペーサ13の側面に第1犠牲膜14が残存するようにエッチングする。ウェットエッチングは、例えば有機溶媒を第1犠牲膜14に供給することにより行われる。有機溶媒は、第1犠牲膜14の材料に応じて選択することができ、有機系金属化合物の塗布液を調製する際に用いる溶媒として例示した有機溶剤から選択してもよい。ウェットエッチングの有機溶媒は、有機系金属化合物の塗布液に用いた有機溶剤と同じであってもよいし、種類や混合比率の異なる有機溶剤を用いてもよい。第1犠牲膜14のエッチング量を容易に制御できるという観点から、第1犠牲膜14の溶解速度が小さい溶媒を用いることが好ましい。
ステップS106では、第1犠牲膜を変質させる。具体的には、図7に示すように、第1犠牲膜14に対して所定の処理を行うことで、第1犠牲膜14のエッチング耐性を向上させる。以下、変質後のものを「第1犠牲膜14a」という。所定の処理は、第1犠牲膜14の材料に応じて定めることができる。例えば、第1犠牲膜14が高温(例えば300℃)に加熱するとエッチング耐性が向上する材料である場合、例えばヒータ加熱、輻射加熱により第1犠牲膜14を高温に加熱することで第1犠牲膜14を変質させる。また、例えば第1犠牲膜14が紫外線を照射されるとエッチング耐性が向上する材料である場合、第1犠牲膜14に紫外線を照射することで第1犠牲膜14を変質させる。
ステップS107では、反射防止膜を形成する。具体的には、図8に示すように、芯材12、スペーサ13及び第1犠牲膜14aの上に、例えばスピン塗布により反射防止膜15を形成する。反射防止膜15は、例えばシリコン含有反射防止膜(SiARC:Silicon-containing Anti-Reflective Coating)とスピンオンカーボン(SOC:Spin On Carbon)との積層膜である。
ステップS108では、レジスト膜を形成する。具体的には、図9に示すように、反射防止膜15の上にレジスト膜16を形成する。レジスト膜16の材料としては、例えば化学増幅型レジストを用いることができる。非化学増幅型レジストでもよい
ステップS109では、レジスト膜をパターニングする。具体的には、図10に示すように、例えば所定位置に開口部を有する暗視野マスクを露光マスクとして、波長193nmのArFもしくは波長13.5nmのEUVによりレジスト膜16を露光し、現像することにより、レジスト膜16をパターニングする。所定位置とは、後述するステップS111において第1犠牲膜14aを除去する位置と対応する位置、言い換えれば、平面視においてステップS111で除去する第1犠牲膜14aの位置と重なる位置である。ステップS109においては、所定位置のみに開口部が形成されるようにレジスト膜16をパターニングすることが好ましいが、露光マスクの位置合わせ精度等により所定の位置のみに開口部を形成することが困難な場合がある。即ち、図10に示すように、所定位置以外の位置、例えば芯材12やスペーサ13と対応する位置、言い換えれば、平面視において芯材12やスペーサ13が形成された位置と重なる位置に開口部が形成される場合がある。
ステップS110では、反射防止膜をエッチングする。具体的には、図11に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングにより、反射防止膜15をエッチングする。
ステップS111では、第1犠牲膜をエッチングする。具体的には、図12に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングにより第1犠牲膜14aをエッチングする。エッチングガスとしては、例えば塩素(Cl)を用いることができる。このとき、芯材12がClに対するエッチング耐性が高い材料(例えばポリシリコン)により形成されており、スペーサ13がClに対するエッチング耐性が高い材料(例えばSiO膜)により形成されている。これにより、芯材12に対する第1犠牲膜14aの選択比及びスペーサ13に対する第1犠牲膜14aの選択比が大きくなる。このため、図11に示すように、エッチングマスクとしてのレジスト膜16の位置がずれることにより、芯材12やスペーサ13と対応する位置に開口部が形成されている場合であっても、図12に示すように、芯材12及びスペーサ13がエッチングされることを抑制することができる。その結果、パターニングの加工精度が向上する。
ステップS112では、レジスト膜及び反射防止膜を除去する。具体的には、図13に示すように、例えばRIE等のドライエッチングにより、芯材12、スペーサ13及び第1犠牲膜14aの上に残存しているレジスト膜16及び反射防止膜15を除去する。
ステップS113では、反射防止膜を形成する。具体的には、図14に示すように、芯材12、スペーサ13及び第1犠牲膜14aの上に、例えばスピン塗布により反射防止膜15を形成する。反射防止膜15としては、例えばステップS107において使用した膜と同一のものを用いることができる。
ステップS114では、レジスト膜を形成する。具体的には、図15に示すように、反射防止膜15の上にレジスト膜16を形成する。レジスト膜16の材料としては、例えばステップS108において使用した材料と同一のものを用いることができる。
ステップS115では、レジスト膜をパターニングする。具体的には、図16に示すように、例えばポジティブトーンイメージのレジストを用いた場合は所定位置に開口部を有する暗視野マスクを露光マスクとし、またネガティブトーンイメージのレジストを用いた場合は明視野マスクを露光マスクとして、波長193nmのArFによりレジスト膜16を露光し、現像することにより、レジスト膜16をパターニングする。露光波長は13.5nmのEUVを用いても良い。所定位置とは、後述するステップS117において芯材12を除去する位置と対応する位置、言い換えれば、平面視においてステップS117で除去する芯材12の位置と重なる位置である。ステップS115においては、所定位置のみに開口部が形成されるようにレジスト膜16をパターニングすることが好ましいが、露光マスクの位置合わせ精度等により所定の位置のみに開口部を形成することが困難な場合がある。即ち、図16に示すように、所定位置以外の位置、例えばスペーサ13と対応する位置、言い換えれば、平面視においてスペーサ13が形成された位置と重なる位置に開口部が形成される場合がある。
ステップS116では、反射防止膜をエッチングする。具体的には、図17に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングにより、反射防止膜15をエッチングする。
ステップS117では、芯材をエッチングする。具体的には、図18に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングにより芯材12をエッチングする。エッチングガスとしては、例えばHBr、Clを用いることができる。このとき、スペーサ13がHBr、Clに対するエッチング耐性が高い材料(例えばSiO膜)により形成されている。これにより、スペーサ13に対する芯材12の選択比が大きくなる。このため、図17に示すように、エッチングマスクとしてのレジスト膜16の位置がずれることにより、スペーサ13と対応する位置に開口部が形成されている場合であっても、図18に示すように、スペーサ13がエッチングされることを抑制することができる。その結果、パターニングの加工精度が向上する。
ステップS118では、レジスト膜及び反射防止膜を除去する。具体的には、図19に示すように、例えばRIE等のドライエッチングにより、芯材12、スペーサ13及び第1犠牲膜14aの上に残存しているレジスト膜16及び反射防止膜15を除去する。
ステップS119では、パターン反転膜を形成する。具体的には、図20に示すように、例えばCVDにより、第2下地膜11の上に形成された芯材12、スペーサ13及び第1犠牲膜14aの表面を覆うようにパターン反転膜17を形成する。パターン反転膜17は、例えばSiO膜、スピンオンガラス(SOG:Spin On Glass)である。
ステップS120では、芯材・第1犠牲膜を露出させる。具体的には、図21に示すように、例えばRIE等のドライエッチングにより、芯材12の上面及び第1犠牲膜14aの上面が露出するまでパターン反転膜17をエッチングする。エッチングガスとしては、例えばCFを用いることができる。
ステップS121では、芯材をエッチングする。具体的には、図22に示すように、例えばRIE等のドライエッチングにより、芯材12を除去する。エッチングガスとしては、例えばHBrを用いることができる。
ステップS122では、第1犠牲膜をエッチングする。具体的には、図23に示すように、例えばRIE等のドライエッチングにより第1犠牲膜14aをエッチングする。エッチングガスとしては、例えばClを用いることができる。
ステップS123では、第2下地膜をエッチングする。具体的には、図24に示すように、パターン反転膜17をエッチングマスクとして、例えばRIE等のドライエッチングにより、第2下地膜11をエッチングする。
ステップS124では、パターン反転膜・スペーサを除去する。具体的には、図25に示すように、例えばRIE等のドライエッチングにより、パターン反転膜17及びスペーサ13を除去する。このとき、パターン反転膜17が例えばSiO膜、SOGである場合には、パターン反転膜17及びスペーサ13を同時に除去することができる。この場合、エッチングガスとしては、例えばCFを用いることができる。
以上の工程により、所望のパターンを形成することができる。
〔従来例〕
第1実施形態のパターン形成方法の比較のために、従来のパターン形成方法について説明する。図27は、従来のパターン形成方法を例示するフローチャートである。
従来のパターン形成方法は、第1実施形態のパターン形成方法における芯材・スペーサを露出させる工程の後に、芯材をエッチングして除去する点で、第1実施形態のパターン形成方法と異なる。
図27に示すように、従来のパターン形成方法は、芯材を形成する工程(ステップS901)、スペーサを形成する工程(ステップS902)、芯材を露出させる工程(ステップS903)、芯材をエッチングする工程(ステップS904)、平坦化膜を形成する工程(ステップS905)、平坦化膜の上面を平坦化する工程(ステップS906)、反射防止膜を形成する工程(ステップS907)、レジスト膜を形成する工程(ステップS908)、レジスト膜をパターニングする工程(ステップS909)、反射防止膜をエッチングする工程(ステップS910)、平坦化膜をエッチングする工程(ステップS911)、スペーサをエッチングする工程(ステップS912)、レジスト膜・反射防止膜を除去する工程(ステップS913)、パターン反転膜を形成する工程(ステップS914)、スペーサを露出させる工程(ステップS915)、スペーサをエッチングする工程(ステップS916)、第2下地膜をエッチングする工程(ステップS917)及びパターン反転膜を除去する工程(ステップS918)を有する。
以下、各々の工程について、図28から図45に基づき説明する。図28から図45は、従来のパターン形成方法の各工程を説明するための概略図である。なお、図28から図45における(a)は各工程における上面図であり、(b)は(a)の一点鎖線A−Aにおいて切断したときの断面図であり、(c)は(a)の一点鎖線B−Bにおいて切断したときの断面図である。
ステップS901では、芯材を形成する。具体的には、図28に示すように、第1下地膜10の上に形成された第2下地膜11の上に、例えばCVDにより、芯材12を形成する。次いで、例えば波長193nmのArFの露光及び現像により、所定間隔のスペースを隔てて整列されたラインを含むラインアンドスペース形状に芯材12をパターニングする。フロントエンドの場合には、第1下地膜10は例えばポリシリコンであり、第2下地膜11は例えばSiN膜であり、芯材12はポリシリコンである。バックエンドの場合には、第1下地膜10は例えばILDであり、第2下地膜11は例えばTiN膜であり、芯材12は例えばポリシリコンである。
ステップS902では、スペーサを形成する。具体的には、図29に示すように、例えばCVD、ALDにより、芯材12の表面(上面及び側面)を覆うように芯材12の線幅と同程度の膜厚のスペーサ13を形成する。スペーサ13は、例えばSiO膜である。
ステップS903では、芯材を露出させる。具体的には、図30に示すように、例えばRIE等のドライエッチングにより、芯材12の上面が露出するまでスペーサ13をエッチングする。このとき、芯材12の側面にスペーサ13が残存するようにエッチングする。エッチングガスとしては、例えばCFを用いることができる。
ステップS904では、芯材をエッチングする。具体的には、図31に示すように、例えばRIE等のドライエッチングにより、芯材12を除去する。エッチングガスとしては、例えばHBrを用いることができる。
ステップS905では、平坦化膜を形成する。具体的には、図32に示すように、例えばCVDにより、スペーサ13が形成された第2下地膜11の上に平坦化膜94を形成する。フロントエンドの場合には、平坦化膜94は例えばポリシリコン、アモルファスシリコンである。バックエンドの場合には、平坦化膜94は例えばポリシリコン、アモルファスシリコン、窒化膜である。
ステップS906では、平坦化膜の上面を平坦化する。具体的には、図33に示すように、例えば化学機械平坦化(CMP:Chemical Mechanical Planarization)により、平坦化膜94の上面を平坦化する。
ステップS907では、反射防止膜を形成する。具体的には、図34に示すように、平坦化膜94の上に、例えばスピン塗布により反射防止膜15を形成する。反射防止膜15は、例えばSOCとSiARCとの積層膜である。
ステップS908では、レジスト膜を形成する。具体的には、図35に示すように、反射防止膜15の上にレジスト膜16を形成する。レジスト膜16の材料としては、例えば化学増幅型レジストを用いることができる。
ステップS909では、レジスト膜をパターニングする。具体的には、図36に示すように、例えば所定位置に複数の開口部を有する暗視野マスクを露光マスクとして、波長193nmのArFによりレジスト膜16を露光し、現像することにより、レジスト膜16をパターニングするネガティブトーンイメージのレジストを用いる場合は明視野マスクを用いても良い。
ステップS910では、反射防止膜をエッチングする。具体的には、図37に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングにより、反射防止膜15をエッチングする。
ステップS911では、平坦化膜94をエッチングする。具体的には、図38に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングにより平坦化膜94をエッチングする。エッチングガスとしては、例えば平坦化膜94がポリシリコンにより形成されている場合、HBr、Clを用いることができる。
ステップS912では、スペーサをエッチングする。具体的には、図39に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングによりスペーサ13をエッチングする。これにより、スペーサ13により形成されたラインパターンがカットされる。エッチングガスとしては、例えばCFを用いることができる。
ステップS913では、レジスト膜及び反射防止膜を除去する。具体的には、図40に示すように、例えばRIE等のドライエッチングにより、レジスト膜16及び反射防止膜15を除去する。
以上の工程により、フロントエンドの場合の所望のパターンが形成される。
ステップS914では、パターン反転膜を形成する。具体的には、図41に示すように、スペーサ13が形成された第2下地膜11の上に、例えばCVDにより、スペーサ13の表面を覆うようにパターン反転膜97を形成する。パターン反転膜97は、例えば窒化シリコン膜(SiN膜)である。
ステップS915では、スペーサを露出させる。具体的には、図42に示すように、例えばRIE等のドライエッチングにより、スペーサ13の上面が露出するまでパターン反転膜97をエッチングする。
ステップS916では、スペーサをエッチングする。具体的には、図43に示すように、例えばRIE等のドライエッチングによりスペーサ13をエッチングする。
ステップS917では、第2下地膜をエッチングする。具体的には、図44に示すように、パターン反転膜97をエッチングマスクとして、例えばRIE等のドライエッチングにより、第2下地膜11をエッチングする。
ステップS918では、パターン反転膜を除去する。具体的には、図45に示すように、例えばRIE等のドライエッチングにより、パターン反転膜97を除去する。
以上の工程により、バックエンドの場合の所望のパターンが形成される。
以上、第1実施形態及び比較例について説明したが、第1実施形態では以下の作用・効果を奏することができる。
図46は、重ね合わせマークを説明する図である。具体的には、図46(a)及び図46(b)は芯材12により形成された重ね合わせマークの概略図であり、図46(a)は上面を示し、図46(b)は図46(a)の一点鎖線A−Aにおいて切断したときの断面を示している。図46(c)及び図46(d)は芯材12が除去されていない場合の重ね合わせマークの概略図であり、図46(d)は上面を示し、図46(d)は図46(c)の一点鎖線A−Aにおいて切断したときの断面を示している。図46(e)及び図46(f)は芯材12が除去された場合の重ね合わせマークの概略図であり、図46(e)は上面を示し、図46(f)は図46(e)の一点鎖線A−Aにおいて切断したときの断面を示している。
第1実施形態では、第2下地膜11の上に形成される芯材12を除去することなく、所望のパターン形成を行うため、後の工程におけるパターンの重ね合わせの読み取りの際に用いられる重ね合わせマークがエッチングされない。具体的には、まず、ステップS101において第2下地膜11の上に形成された芯材12をパターニングすることにより、図46(a)及び図46(b)に示すように、所定の形状を有する重ね合わせマークが形成される。次いで、ステップS102において芯材12の表面を覆うようにスペーサ13が形成され、ステップS103において芯材12の上面に形成されたスペーサ13が除去される。このとき、重ね合わせマークは、図46(c)及び図46(d)に示すように、芯材12及び芯材12の側面にスペーサ13が残存した形状となる。すなわち、重ね合わせマークの平面視における大きさが小さくなることがない。その結果、後の工程における重ね合わせマークの読み取り精度を向上させることができる。
これに対して、比較例では、第2下地膜11の上に形成される芯材12の側面にスペーサ13を形成した後、芯材12をエッチングして除去する。このため、芯材12により形成された重ね合わせマークがエッチングされ、重ね合わせマークの平面視における大きさが小さくなる。具体的には、まず、ステップS901において第2下地膜11の上に形成された芯材12をパターニングすることにより、図46(a)及び図46(b)に示すように、所定の形状を有する重ね合わせマークが形成される。次いで、ステップS902において芯材12の表面を覆うようにスペーサ13が形成され、ステップS903において芯材12の上面に形成されたスペーサ13が除去された後、ステップS904において芯材12がエッチングされる。このとき、重ね合わせマークは、芯材12がエッチングされ残存しないため、図46(e)及び図46(f)に示すように、芯材12の側面に形成されたスペーサ13のみが残存する形状となる。すなわち、重ね合わせマークの平面視における大きさが小さくなる。これにより、後の工程における重ね合わせマークの読み取り精度が低下する。
なお、重ね合わせパターンについて説明したが、パターンと露光マスクとの間の位置合わせに用いられる位置合わせマークについても、第1実施形態のパターン形成方法を用いることにより、重ね合わせマークの場合と同様の効果が得られる。
〔第2実施形態〕
第2実施形態のパターン形成方法について説明する。図47は、第2実施形態のパターン形成方法を例示するフローチャートである。
図47に示すように、第2実施形態のパターン形成方法は、芯材を形成する工程(ステップS201)、スペーサを形成する工程(ステップS202)、芯材を露出させる工程(ステップS203)、第1犠牲膜を形成する工程(ステップS204)、芯材・スペーサを露出させる工程(ステップS205)、第1犠牲膜を変質させる工程(ステップS206)、反射防止膜を形成する工程(ステップS207)、レジスト膜を形成する工程(ステップS208)、レジスト膜をパターニングする工程(ステップS209)、反射防止膜をエッチングする工程(ステップS210)、スペーサをエッチングする工程(ステップS211)、レジスト膜・反射防止膜を除去する工程(ステップS212)、第2犠牲膜を形成する工程(ステップS213)、芯材・スペーサ・第1犠牲膜を露出させる工程(ステップS214)、第2犠牲膜を変質させる工程(ステップS215)、スペーサをエッチングする工程(ステップS216)、第2下地膜をエッチングする工程(ステップS217)及び芯材・第1犠牲膜・第2犠牲膜を除去する工程(ステップS218)を有する。
以下、各々の工程について、図48から図65に基づき説明する。図48から図65は、第2実施形態のパターン形成方法の各工程を説明するための概略図である。なお、図48から図65における(a)は各工程における上面図であり、(b)は(a)の一点鎖線A−Aにおいて切断したときの断面図であり、(c)は(a)の一点鎖線B−Bにおいて切断したときの断面図である。
ステップS201では、芯材を形成する。具体的には、図48に示すように、第1下地膜10の上に形成された第2下地膜11の上に、例えばCVDにより芯材12を形成する。次いで、例えば波長193nmのArFの露光及び現像により、所定間隔のスペースを隔てて整列されたラインを含むラインアンドスペース形状に芯材12をパターニングする。次いで、スリミング処理を行い、フォトリソグラフィ技術の解像限界以下の微細なパターンを形成する。第1下地膜10は例えばILDであり、第2下地膜11は例えばTiN膜である。芯材12は、第1の膜の一例であり、例えばポリシリコンである。
ステップS202では、スペーサを形成する。具体的には、図49に示すように、例えばCVD、ALDにより、芯材12の表面(上面及び側面)を覆うように芯材12の線幅と同程度の膜厚のスペーサ13を形成する。スペーサ13は、第2の膜の一例であり、例えばSiO膜である。
ステップS203では、芯材を露出させる。具体的には、図50に示すように、例えばRIE等のドライエッチングにより、芯材12の上面が露出するまでスペーサ13をエッチングする。このとき、芯材12の側面にスペーサ13が残存するようにエッチングする。エッチングガスとしては、例えばCFを用いることができる。
ステップS204では、第1犠牲膜を形成する。具体的には、図51に示すように、第1犠牲膜14の材料を含む溶液をスピン塗布することにより、芯材12の表面及びスペーサ13の表面を覆うように第1犠牲膜14を形成する。スピン塗布により第1犠牲膜14を形成するため、第1犠牲膜14の上面を平滑化することができ、リソグラフィマージンを拡大することができる。第1犠牲膜14の材料を含む溶液をスピン塗布した後、必要な場合には低温(例えば100℃)で加熱し、乾燥させてもよい。第1犠牲膜14は、第3の膜の一例であり、例えば第1実施形態と同一の材料を用いることができる。
ステップS205では、芯材及びスペーサを露出させる。具体的には、図52に示すように、例えばウェットエッチングにより、芯材12の上面及びスペーサ13の上面が露出するまで第1犠牲膜14をエッチングする。このとき、スペーサ13の側面に第1犠牲膜14が残存するようにエッチングする。ウェットエッチングは、例えば有機溶媒を第1犠牲膜14に供給することにより行われる。有機溶媒は、第1犠牲膜14の材料に応じて選択することができ、第1犠牲膜14のエッチング量を容易に制御できるという観点から、第1犠牲膜14の溶解速度が小さい溶媒を用いることが好ましい。
ステップS206では、第1犠牲膜を変質させる。具体的には、図53に示すように、第1犠牲膜14に対して所定の処理を行うことで、第1犠牲膜14のエッチング耐性を向上させる。所定の処理は、第1犠牲膜14の材料に応じて定めることができる。例えば、第1犠牲膜14が高温(例えば300℃)に加熱するとエッチング耐性が向上する材料である場合、例えばヒータ加熱、輻射加熱により第1犠牲膜14を高温に加熱することで第1犠牲膜14を変質させる。また、例えば第1犠牲膜14が紫外線を照射されるとエッチング耐性が向上する材料である場合、第1犠牲膜14に紫外線を照射することで第1犠牲膜14を変質させる。
ステップS207では、反射防止膜を形成する。具体的には、図54に示すように、芯材12、スペーサ13及び第1犠牲膜14aの上に、例えばスピン塗布により反射防止膜15を形成する。反射防止膜15は、例えばSiARCとSOCとの積層膜である。
ステップS208では、レジスト膜を形成する。具体的には、図55に示すように、反射防止膜15の上にレジスト膜16を形成する。レジスト膜16の材料としては、例えば化学増幅型レジストを用いることができる。
ステップS209では、レジスト膜をパターニングする。具体的には、図56に示すように、例えば所定位置に複数の開口部を有する暗視野マスクを露光マスクとして、波長193nmのArFによりレジスト膜16を露光し、現像することにより、レジスト膜16をパターニングする。
ステップS210では、反射防止膜をエッチングする。具体的には、図57に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングにより、反射防止膜15をエッチングする。
ステップS211では、スペーサをエッチングする。具体的には、図58に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングによりスペーサ13をエッチングする。これにより、スペーサ13により形成されたラインパターンがカットされる。エッチングガスとしては、例えばCFを用いることができる。このとき、スペーサ13がSiO膜により形成され、芯材12がポリシリコンにより形成され、第1犠牲膜14aがCFに対するエッチング耐性が高い材料により形成されている。これにより、スペーサ13に対する芯材12の選択比、及びスペーサ13に対する第1犠牲膜14aの選択比が大きくなる。このため、エッチングマスクとしてのレジスト膜16の位置がずれていた場合であっても、芯材12及び第1犠牲膜14aがエッチングされることを抑制することができる。その結果、パターニングの加工精度が向上する。
ステップS212では、レジスト膜及び反射防止膜を除去する。具体的には、図59に示すように、例えばRIE等のドライエッチングにより、芯材12、スペーサ13及び第1犠牲膜14aの上に残存しているレジスト膜16及び反射防止膜15を除去する。
ステップS213では、第2犠牲膜を形成する。具体的には、図60に示すように、第2犠牲膜18の材料を含む溶液をスピン塗布することにより、芯材12の表面、スペーサ13の表面及び第1犠牲膜14aの表面を覆うように第2犠牲膜18を形成する。第2犠牲膜18は、第1犠牲膜14と同一の材料により形成することができ、所定の処理を行うことでエッチング耐性が向上する有機系金属化合物により形成されている。なお、第2犠牲膜18の材料を含む溶液をスピン塗布した後、必要な場合には低温(例えば100℃)で加熱し、乾燥させてもよい。
ステップS214では、芯材、スペーサ及び第1犠牲膜を露出させる。具体的には、図61に示すように、例えばウェットエッチングにより、芯材12の上面、スペーサ13の上面及び第1犠牲膜14aの上面が露出するまで第2犠牲膜18をエッチングする。このとき、芯材12の側面、スペーサ13の側面及び第1犠牲膜14aの側面に第2犠牲膜18が残存するようにエッチングする。ウェットエッチングとしては、例えば有機溶媒を第2犠牲膜18に供給する方法を用いることができる。有機溶媒としては、第2犠牲膜18のエッチング量を容易に制御できるという観点から、第2犠牲膜18の溶解速度が小さい溶媒を用いることが好ましい。なお、ウェットエッチングに代えてアッシングにより第2犠牲膜18をエッチングしてもよい。
ステップS215では、第2犠牲膜を変質させる。具体的には、図62に示すように、第2犠牲膜18に対して所定の処理を行うことで、第2犠牲膜18のエッチング耐性を向上させる。以下、変質後のものを「第2犠牲膜18a」という。所定の処理は、第1犠牲膜14と同様に、第2犠牲膜18の材料に応じて定めることができる。
ステップS216では、スペーサをエッチングする。具体的には、図63に示すように、例えばRIE等のドライエッチングによりスペーサ13をエッチングする。エッチングガスとしては、例えばCFを用いることができる。
ステップS217では、第2下地膜をエッチングする。具体的には、図64に示すように、芯材12、第1犠牲膜14a及び第2犠牲膜18aをエッチングマスクとして、例えばRIE等のドライエッチングにより、第2下地膜11をエッチングする。
ステップS218では、芯材、第1犠牲膜及び第2犠牲膜を除去する。具体的には、図65に示すように、例えばRIE等のドライエッチングにより、芯材12、第1犠牲膜14a及び第2犠牲膜18aを除去する。
以上の工程により、所望のパターンを形成することができる。
以上に説明したように、第2実施形態のパターン形成方法では、第1実施形態と同様、芯材22の側面にスペーサ13を形成した後、芯材22を除去することなく、スペーサ13により形成されたラインパターンのカットを行う。これにより、芯材22により形成された重ね合わせマークがエッチングされないため、重ね合わせマークの大きさが小さくなることがない。その結果、後の工程における重ね合わせマークの読み取り精度を向上させることができる。
〔第3実施形態〕
第3実施形態のパターン形成方法について説明する。図66は、第3実施形態のパターン形成方法を例示するフローチャートである。
第3実施形態のパターン形成方法は、芯材がポリシリコンではなく、第1犠牲膜と同一の材料により形成されている点で、第2実施形態のパターン形成方法と異なる。すなわち、芯材は、所定の処理を行うことで、半導体、絶縁膜及び有機膜をエッチングする際に用いられるエッチングガスに対するエッチング耐性が向上する有機系金属化合物により形成されている。以下、第2実施形態と異なる点を中心に説明する。
図66に示すように、第3実施形態のパターン形成方法は、芯材を形成する工程(ステップS301)、芯材を変質させる工程(ステップS302)、スペーサを形成する工程(ステップS303)、芯材を露出させる工程(ステップS304)、第1犠牲膜を形成する工程(ステップS305)、芯材・スペーサを露出させる工程(ステップS306)、第1犠牲膜を変質させる工程(ステップS307)、反射防止膜を形成する工程(ステップS308)、レジスト膜を形成する工程(ステップS309)、レジスト膜をパターニングする工程(ステップS310)、反射防止膜をエッチングする工程(ステップS311)、スペーサをエッチングする工程(ステップS312)、レジスト膜・反射防止膜を除去する工程(ステップS313)、第2犠牲膜を形成する工程(ステップS314)、芯材・スペーサ・第1犠牲膜を露出させる工程(ステップS315)、第2犠牲膜を変質させる工程(ステップS316)、スペーサをエッチングする工程(ステップS317)、第2下地膜をエッチングする工程(ステップS318)及び芯材・第1犠牲膜・第2犠牲膜を除去する工程(ステップS319)を有する。
以下、各々の工程について、図67から図85に基づき説明する。図67から図85は、第3実施形態のパターン形成方法の各工程を説明するための概略図である。なお、図67から図85における(a)は各工程における上面図であり、(b)は(a)の一点鎖線A−Aにおいて切断したときの断面図であり、(c)は(a)の一点鎖線B−Bにおいて切断したときの断面図である。
なお、以下の各々の工程において用いられる材料としては、例えば第2実施形態と同一の材料とすることができる。
ステップS301では、芯材を形成する。具体的には、図67に示すように、第1下地膜10の上に形成された第2下地膜11の上に、第2実施形態において第1犠牲膜14として用いた材料と同一の材料により芯材22を形成する。すなわち、芯材22は、所定の処理を行うことで、半導体、絶縁膜及び有機膜をエッチングする際に用いられるエッチングガスに対するエッチング耐性が向上する有機系金属化合物により形成されている。芯材22は、第1の膜の一例である。次いで、例えば波長193nmのArFの露光及び現像により、所定間隔のスペースを隔てて整列されたラインを含むラインアンドスペース形状に芯材22をパターニングする。次いで、スリミング処理を行い、フォトリソグラフィ技術の解像限界以下の微細なパターンを形成する。
ステップS302では、芯材を変質させる。具体的には、図68に示すように、芯材22に対して所定の処理を行うことで、芯材22のエッチング耐性を向上させる。以下、変質後のものを「芯材22a」という。所定の処理は、芯材22の材料に応じて定めることができる。例えば、芯材22が高温(例えば300℃)に加熱するとエッチング耐性が向上する材料である場合、ヒータ加熱、輻射加熱等により芯材22を高温に加熱することで芯材22を変質させる。また、例えば芯材22が紫外線照射によりエッチング耐性が向上する材料である場合、芯材22に紫外線を照射することで芯材22を変質させる。
ステップS303からステップS319は、図47に示される第2実施形態のステップS202からステップS218と同様の工程とすることができる。
ステップS303では、スペーサを形成する。具体的には、図69に示すように、例えばCVD、ALDにより、芯材22aの表面(上面及び側面)を覆うように芯材22aの線幅と同程度の膜厚のスペーサ13を形成する。
ステップS304では、芯材を露出させる。具体的には、図70に示すように、例えばRIE等のドライエッチングにより、芯材22aの上面が露出するまでスペーサ13をエッチングする。このとき、芯材22aの側面にスペーサ13が残存するようにエッチングする。
ステップS305では、第1犠牲膜を形成する。具体的には、図71に示すように、第1犠牲膜14の材料を含む溶液をスピン塗布することにより、芯材22aの表面及びスペーサ13の表面を覆うように第1犠牲膜14を形成する。
ステップS306では、芯材及びスペーサを露出させる。具体的には、図72に示すように、例えばウェットエッチングにより、芯材22aの上面及びスペーサ13の上面が露出するまで第1犠牲膜14をエッチングする。このとき、スペーサ13の側面に第1犠牲膜14が残存するようにエッチングする。
ステップS307では、第1犠牲膜を変質させる。具体的には、図73に示すように、第1犠牲膜14に対して所定の処理を行うことで、第1犠牲膜14のエッチング耐性を向上させる。
ステップS308では、反射防止膜を形成する。具体的には、図74に示すように、芯材22a、スペーサ13及び第1犠牲膜14aの上に、例えばスピン塗布により反射防止膜15を形成する。
ステップS309では、レジスト膜を形成する。具体的には、図75に示すように、反射防止膜15の上にレジスト膜16を形成する。
ステップS310では、レジスト膜をパターニングする。具体的には、図76に示すように、例えば所定位置に複数の開口部を有する暗視野マスクを露光マスクとして、波長193nmのArFによりレジスト膜16を露光し、現像することにより、レジスト膜16をパターニングする。
ステップS311では、反射防止膜をエッチングする。具体的には、図77に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングにより、反射防止膜15をエッチングする。
ステップS312では、スペーサをエッチングする。具体的には、図78に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングによりスペーサ13をエッチングする。これにより、スペーサ13により形成されたラインパターンがカットされる。
ステップS313では、レジスト膜及び反射防止膜を除去する。具体的には、図79に示すように、例えばRIE等のドライエッチングにより、芯材22a、スペーサ13及び第1犠牲膜14aの上に残存しているレジスト膜16及び反射防止膜15を除去する。
ステップS314では、第2犠牲膜を形成する。具体的には、図80に示すように、第2犠牲膜18の材料を含む溶液をスピン塗布することにより、芯材22aの表面、スペーサ13の表面及び第1犠牲膜14aの表面を覆うように第2犠牲膜18を形成する。
ステップS315では、芯材、スペーサ及び第1犠牲膜を露出させる。具体的には、図81に示すように、例えばウェットエッチングにより、芯材22aの上面、スペーサ13の上面及び第1犠牲膜14aの上面が露出するまで第2犠牲膜18をエッチングする。このとき、芯材22aの側面、スペーサ13の側面及び第1犠牲膜14aの側面に第2犠牲膜18が残存するようにエッチングする。
ステップS316では、第2犠牲膜を変質させる。具体的には、図82に示すように、第2犠牲膜18に対して所定の処理を行うことで、第2犠牲膜18のエッチング耐性を向上させる。
ステップS317では、スペーサをエッチングする。具体的には、図83に示すように、例えばRIE等のドライエッチングによりスペーサ13をエッチングする。
ステップS318では、下地膜をエッチングする。具体的には、図84に示すように、芯材22a、第1犠牲膜14a及び第2犠牲膜18aをエッチングマスクとして、例えばRIE等のドライエッチングにより、第2下地膜11をエッチングする。このとき、芯材22a、第1犠牲膜14a及び第2犠牲膜18aが同一の材料により形成され、いずれも、半導体、絶縁膜及び有機膜をエッチングする際に用いられるエッチングガスに対するエッチング耐性が高い。このため、第2下地膜11に対するエッチングマスク(芯材22a、第1犠牲膜14a及び第2犠牲膜18a)の選択比が高くなる。その結果、第2下地膜11へのパターンの転写性が向上する。
ステップS319では、芯材、第1犠牲膜及び第2犠牲膜を除去する。具体的には、図85に示すように、例えばRIE等のドライエッチングにより、芯材22a、第1犠牲膜14a及び第2犠牲膜18aを除去する。
以上の工程により、所望のパターンを形成することができる。
以上に説明したように、第3実施形態のパターン形成方法では、第1実施形態及び第2実施形態と同様、芯材22の側面にスペーサ13を形成した後、芯材22を除去することなく、スペーサ13により形成されたラインパターンのカットを行う。これにより、芯材22により形成された重ね合わせマークがエッチングされないため、重ね合わせマークの大きさが小さくなることがない。その結果、後の工程における重ね合わせマークの読み取り精度を向上させることができる。
特に、第3実施形態では、第2下地膜11をエッチングする際のエッチングマスクが同一の材料により形成され、いずれも、半導体、絶縁膜及び有機膜をエッチングする際に用いられるエッチングガスに対するエッチング耐性が高い。このため、第2下地膜11に対するエッチングマスクの選択比が高くなる。その結果、第2下地膜11へのパターンの転写性が向上する。
〔第4実施形態〕
第4実施形態のパターン形成方法について説明する。図86は、第4実施形態のパターン形成方法を例示するフローチャートである。
第4実施形態のパターン形成方法は、レジスト膜をパターニングする工程において、暗視野マスクに代えて明視野マスクを露光マスクとして露光する点で、第2実施形態のパターン形成方法と異なる。以下、第2実施形態と異なる点を中心に説明する。
図86に示すように、第4実施形態のパターン形成方法は、芯材を形成する工程(ステップS401)、スペーサを形成する工程(ステップS402)、芯材を露出させる工程(ステップS403)、第1犠牲膜を形成する工程(ステップS404)、芯材・スペーサを露出させる工程(ステップS405)、第1犠牲膜を変質させる工程(ステップS406)、反射防止膜を形成する工程(ステップS407)、レジスト膜を形成する工程(ステップS408)、レジスト膜をパターニングする工程(ステップS409)、反射防止膜をエッチングする工程(ステップS410)、スペーサをエッチングする工程(ステップS411)、レジスト膜・反射防止膜を除去する工程(ステップS412)、第2下地膜をエッチングする工程(ステップS413)及び芯材・スペーサ・第1犠牲膜を除去する工程(ステップS414)を有する。
以下、各々の工程について、図87から図100に基づき説明する。図87から図100は、第4実施形態のパターン形成方法の各工程を説明するための概略図である。なお、図87から図100における(a)は各工程における上面図であり、(b)は(a)の一点鎖線A−Aにおいて切断したときの断面図であり、(c)は(a)の一点鎖線B−Bにおいて切断したときの断面図である。
なお、以下の各々の工程において用いられる材料としては、例えば第2実施形態と同一の材料とすることができる。
ステップS401からステップS408は、図47に示される第2実施形態のステップS201からステップS208と同様の工程とすることができる。
ステップS401では、芯材を形成する。具体的には、図87に示すように、第1下地膜10の上に形成された第2下地膜11の上に、例えばCVDにより、芯材12を形成する。次いで、例えば波長193nmのArFの露光及び現像により、所定間隔のスペースを隔てて整列されたラインを含むラインアンドスペース形状に芯材12をパターニングする。次いで、スリミング処理を行い、フォトリソグラフィ技術の解像限界以下の微細なパターンを形成する。
ステップS402では、スペーサを形成する。具体的には、図88に示すように、例えばCVD、ALDにより、芯材12の表面(上面及び側面)を覆うように芯材12の線幅と同程度の膜厚のスペーサ13を形成する。
ステップS403では、芯材を露出させる。具体的には、図89に示すように、例えばRIE等のドライエッチングにより、芯材12の上面が露出するまでスペーサ13をエッチングする。このとき、芯材12の側面にスペーサ13が残存するようにエッチングする。
ステップS404では、第1犠牲膜を形成する。具体的には、図90に示すように、第1犠牲膜14の材料を含む溶液をスピン塗布することにより、芯材12の表面及びスペーサ13の表面を覆うように第1犠牲膜14を形成する。
ステップS405では、芯材及びスペーサを露出させる。具体的には、図91に示すように、例えばウェットエッチングにより、芯材12の上面及びスペーサ13の上面が露出するまで第1犠牲膜14をエッチングする。このとき、スペーサ13の側面に第1犠牲膜14が残存するようにエッチングする。
ステップS406では、第1犠牲膜を変質させる。具体的には、図92に示すように、第1犠牲膜14に対して所定の処理を行うことで、第1犠牲膜14のエッチング耐性を向上させる。
ステップS407では、反射防止膜を形成する。具体的には、図93に示すように、芯材12、スペーサ13及び第1犠牲膜14aの上に、例えばスピン塗布により、反射防止膜15を形成する。
ステップS408では、レジスト膜を形成する。具体的には、図94に示すように、反射防止膜15の上にレジスト膜16を形成する。
ステップS409では、レジスト膜をパターニングする。具体的には、図95に示すように、例えば所定位置に複数の遮光部を有する明視野マスクを露光マスクとして、波長193nmのArFによりレジスト膜16を露光し、現像することにより、レジスト膜16をパターニングする。
ステップS410では、反射防止膜をエッチングする。具体的には、図96に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングにより、反射防止膜15をエッチングする。
ステップS411では、スペーサをエッチングする。具体的には、図97に示すように、レジスト膜16をエッチングマスクとして、例えばRIE等のドライエッチングにより、スペーサ13をエッチングする。これにより、スペーサ13により形成されたラインパターンがカットされる。
ステップS412では、レジスト膜及び反射防止膜を除去する。具体的には、図98に示すように、例えばRIE等のドライエッチングにより、芯材12、スペーサ13及び第1犠牲膜14aの上に残存しているレジスト膜16及び反射防止膜15を除去する。
ステップS413では、第2下地膜をエッチングする。具体的には、図99に示すように、芯材12、スペーサ13及び第1犠牲膜14aをエッチングマスクとして、例えばRIE等のドライエッチングにより、第2下地膜11をエッチングする。
ステップS414では、芯材、スペーサ及び第1犠牲膜を除去する。具体的には、図100に示すように、例えばRIE等のドライエッチングにより、芯材12、スペーサ13及び第1犠牲膜14aを除去する。芯材12、スペーサ13及び第1犠牲膜14aを除去する順序については特に限定されない。
以上の工程により、所望のパターンを形成することができる。
以上に説明したように、第4実施形態のパターン形成方法では、第1実施形態から第3実施形態と同様、芯材12の側面にスペーサ13を形成した後、芯材12を除去することなく、スペーサ13により形成されたラインパターンのカットを行う。これにより、芯材12により形成された重ね合わせマークがエッチングされないため、重ね合わせマークの大きさが小さくなることがない。その結果、後の工程における重ね合わせマークの読み取り精度を向上させることができる。
以上、本発明の好ましい実施形態について説明したが、本発明は係る特定の実施形態に限定されるものではなく、特許請求の範囲内に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。
10 第1下地膜
11 第2下地膜
12 芯材
13 スペーサ
14、14a 第1犠牲膜
15 反射防止膜
16 レジスト膜
17 パターン反転膜
18、18a 第2犠牲膜
22、22a 芯材
94 平坦化膜
97 パターン反転膜

Claims (6)

  1. 下地膜の上に、所定間隔のスペースを隔てて整列されたラインを含むラインアンドスペース形状にパターニングされた第1の膜を形成する工程と、
    前記第1の膜の表面を覆うように第2の膜を形成する工程と、
    前記第1の膜の側面に前記第2の膜が残存するように、前記第1の膜の上面に形成された前記第2の膜を除去する工程と、
    前記第1の膜の表面及び前記第2の膜の表面を覆うように第3の膜を形成する工程と、
    前記第2の膜の側面に前記第3の膜が残存するように、前記第1の膜の上面及び前記第2の膜の上面に形成された前記第3の膜を除去する工程と、
    前記第3の膜を除去する工程の後、前記第3の膜を変質させる工程と
    を有し、
    前記第3の膜は、所定の処理を行うことでエッチング耐性が向上する有機系金属化合物により形成されている、パターン形成方法。
  2. 前記第3の膜を変質させる工程の後、前記第2の膜により形成されたラインパターンを所定の長さにカットする工程を有する、
    請求項1に記載のパターン形成方法。
  3. 前記第3の膜を形成する工程は、有機系金属化合物を含む溶液を塗布するものである、
    請求項1又は2に記載のパターン形成方法。
  4. 前記第3の膜をエッチングする工程は、有機溶媒を用いたウェットエッチングにより前記第3の膜を除去するものである、
    請求項3に記載のパターン形成方法。
  5. 前記第3の膜を変質させる工程は、前記第3の膜を加熱するものである、
    請求項1乃至4のいずれか一項に記載のパターン形成方法。
  6. 前記第1の膜を形成する工程は、
    有機系金属化合物により形成され、所定の処理を行うことでエッチング耐性が向上する第1の膜のパターンを形成する工程と、
    前記第1の膜を変質させる工程と
    を含む、
    請求項1乃至5のいずれか一項に記載のパターン形成方法。
JP2016226896A 2016-11-22 2016-11-22 パターン形成方法 Active JP6994828B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2016226896A JP6994828B2 (ja) 2016-11-22 2016-11-22 パターン形成方法
TW106138748A TWI745482B (zh) 2016-11-22 2017-11-09 圖案形成方法
US15/817,724 US10317797B2 (en) 2016-11-22 2017-11-20 Pattern forming method for forming a pattern
KR1020170155864A KR102400925B1 (ko) 2016-11-22 2017-11-21 패턴 형성 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016226896A JP6994828B2 (ja) 2016-11-22 2016-11-22 パターン形成方法

Publications (2)

Publication Number Publication Date
JP2018084644A true JP2018084644A (ja) 2018-05-31
JP6994828B2 JP6994828B2 (ja) 2022-02-04

Family

ID=62146888

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016226896A Active JP6994828B2 (ja) 2016-11-22 2016-11-22 パターン形成方法

Country Status (4)

Country Link
US (1) US10317797B2 (ja)
JP (1) JP6994828B2 (ja)
KR (1) KR102400925B1 (ja)
TW (1) TWI745482B (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
KR102630085B1 (ko) * 2018-05-30 2024-01-25 한양대학교 산학협력단 초미세 패턴 및 그 제조 방법
CN111834213A (zh) * 2019-04-23 2020-10-27 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN112542385A (zh) * 2019-09-20 2021-03-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP7451365B2 (ja) * 2020-09-18 2024-03-18 キオクシア株式会社 パターン形成方法、原版製造方法、及び描画データ生成方法

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6292317A (ja) * 1985-10-18 1987-04-27 Hitachi Ltd パタ−ン形成方法
JP2008103718A (ja) * 2006-10-17 2008-05-01 Samsung Electronics Co Ltd 半導体素子の微細パターンの形成方法
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
JP2010080903A (ja) * 2008-02-15 2010-04-08 Tokyo Electron Ltd パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
JP2010080625A (ja) * 2008-09-25 2010-04-08 Toshiba Corp マスクパターンの形成方法および半導体装置の製造方法
JP2010085977A (ja) * 2008-09-03 2010-04-15 Shin-Etsu Chemical Co Ltd パターン形成方法
JP2010118529A (ja) * 2008-11-13 2010-05-27 Sharp Corp 半導体素子の製造方法
JP2011061003A (ja) * 2009-09-10 2011-03-24 Elpida Memory Inc 配線パターン形成方法および半導体装置の製造方法、半導体装置、データ処理システム
US20120115074A1 (en) * 2010-11-05 2012-05-10 Zishu Zhang Methods Of Forming Patterned Masks
JP2013021013A (ja) * 2011-07-07 2013-01-31 Toshiba Corp 半導体装置の製造方法
JP2013201173A (ja) * 2012-03-23 2013-10-03 Elpida Memory Inc パターン形成方法
JP2014120620A (ja) * 2012-12-17 2014-06-30 Tokyo Electron Ltd 成膜方法、プログラム、コンピュータ記憶媒体及び成膜装置
JP2015156414A (ja) * 2014-02-20 2015-08-27 東京エレクトロン株式会社 半導体装置の製造方法及び半導体製造装置
JP2016143890A (ja) * 2015-02-02 2016-08-08 東京エレクトロン株式会社 自己配列されたパターニング集積化スキームにおけるパターン密度を増加させるための方法
JP2016149525A (ja) * 2015-02-05 2016-08-18 東京エレクトロン株式会社 研磨装置、塗布膜形成装置、塗布膜形成方法、記憶媒体、パターン形成方法及びパターン形成装置
JP2016530565A (ja) * 2013-08-22 2016-09-29 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6118573B2 (ja) * 2012-03-14 2017-04-19 東京応化工業株式会社 下地剤、ブロックコポリマーを含む層のパターン形成方法
JP2014056864A (ja) 2012-09-11 2014-03-27 Toshiba Corp 半導体装置の製造方法
US9305800B2 (en) * 2014-02-20 2016-04-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits using directed self-assembly including lithographically-printable assist features
JP6197803B2 (ja) * 2015-02-04 2017-09-20 コニカミノルタ株式会社 電子写真感光体、画像形成装置および画像形成方法
JP6413999B2 (ja) * 2015-09-30 2018-10-31 コニカミノルタ株式会社 電子写真感光体及び画像形成装置

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6292317A (ja) * 1985-10-18 1987-04-27 Hitachi Ltd パタ−ン形成方法
JP2008103718A (ja) * 2006-10-17 2008-05-01 Samsung Electronics Co Ltd 半導体素子の微細パターンの形成方法
JP2010080903A (ja) * 2008-02-15 2010-04-08 Tokyo Electron Ltd パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
JP2010085977A (ja) * 2008-09-03 2010-04-15 Shin-Etsu Chemical Co Ltd パターン形成方法
JP2010080625A (ja) * 2008-09-25 2010-04-08 Toshiba Corp マスクパターンの形成方法および半導体装置の製造方法
JP2010118529A (ja) * 2008-11-13 2010-05-27 Sharp Corp 半導体素子の製造方法
JP2011061003A (ja) * 2009-09-10 2011-03-24 Elpida Memory Inc 配線パターン形成方法および半導体装置の製造方法、半導体装置、データ処理システム
US20120115074A1 (en) * 2010-11-05 2012-05-10 Zishu Zhang Methods Of Forming Patterned Masks
JP2013021013A (ja) * 2011-07-07 2013-01-31 Toshiba Corp 半導体装置の製造方法
JP2013201173A (ja) * 2012-03-23 2013-10-03 Elpida Memory Inc パターン形成方法
JP2014120620A (ja) * 2012-12-17 2014-06-30 Tokyo Electron Ltd 成膜方法、プログラム、コンピュータ記憶媒体及び成膜装置
JP2016530565A (ja) * 2013-08-22 2016-09-29 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物
JP2015156414A (ja) * 2014-02-20 2015-08-27 東京エレクトロン株式会社 半導体装置の製造方法及び半導体製造装置
JP2016143890A (ja) * 2015-02-02 2016-08-08 東京エレクトロン株式会社 自己配列されたパターニング集積化スキームにおけるパターン密度を増加させるための方法
JP2016149525A (ja) * 2015-02-05 2016-08-18 東京エレクトロン株式会社 研磨装置、塗布膜形成装置、塗布膜形成方法、記憶媒体、パターン形成方法及びパターン形成装置

Also Published As

Publication number Publication date
TW201834007A (zh) 2018-09-16
KR20180057561A (ko) 2018-05-30
TWI745482B (zh) 2021-11-11
US10317797B2 (en) 2019-06-11
JP6994828B2 (ja) 2022-02-04
KR102400925B1 (ko) 2022-05-20
US20180143536A1 (en) 2018-05-24

Similar Documents

Publication Publication Date Title
JP6994828B2 (ja) パターン形成方法
KR102221274B1 (ko) 네거티브형 레지스트 조성물, 레지스트 패턴 형성 방법 및 착물
CN103959170B (zh) 用于硬掩模组合物的单体、包括单体的硬掩模组合物以及使用硬掩模组合物的图案形成方法
CN112020676A (zh) 制造euv可图案化硬掩模的方法
TWI632437B (zh) 用於形成凸紋影像的方法
JP2008026500A (ja) 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスおよびそれを用いたフォトマスクの製造方法
CN110416068A (zh) 半导体装置的形成方法
TW201535493A (zh) 形成用於積體電路的圖案的方法及圖案化基底的方法
JP2022013909A (ja) 半導体デバイスの製造方法及びパターン形成方法
CN109844639A (zh) 高耐蚀刻性旋涂式碳硬掩膜组合物以及利用该组合物的图案化方法
TW201921119A (zh) 具有改良熱穩定性之有用於作為硬遮罩及填充材料之含有旋轉塗佈無機氧化物的組合物
TWI341959B (en) Organosilane hardmask compositions and methods of producing semiconductor devices using the same
Kudo et al. Development of spin-on-carbon hard mask for advanced node
JP5700003B2 (ja) 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスを用いたフォトマスクの製造方法
KR102630481B1 (ko) 반도체 디바이스 제조 방법
DE102021101486A1 (de) Photoresistschicht-oberflächenbehandlung, abdeckschichtund herstellungsverfahren einer photoresiststruktur
JP5979268B2 (ja) フォトマスクブランクス
CN111863602A (zh) 自对准图形结构的制备方法
US11923198B2 (en) Resistless patterning mask
TWI831108B (zh) 製造半導體裝置的方法
TWI755723B (zh) 圖案化方法
JP6252623B2 (ja) フォトマスクブランクス
JP5742903B2 (ja) フォトマスクブランクス
KR20240011641A (ko) 마스크 형성을 위한 이차 레지스트 표면 기능화를 이용하는패터닝 방법
US20120244713A1 (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190830

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200827

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201014

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210706

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211214

R150 Certificate of patent or registration of utility model

Ref document number: 6994828

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150