TW201535493A - 形成用於積體電路的圖案的方法及圖案化基底的方法 - Google Patents

形成用於積體電路的圖案的方法及圖案化基底的方法 Download PDF

Info

Publication number
TW201535493A
TW201535493A TW103146472A TW103146472A TW201535493A TW 201535493 A TW201535493 A TW 201535493A TW 103146472 A TW103146472 A TW 103146472A TW 103146472 A TW103146472 A TW 103146472A TW 201535493 A TW201535493 A TW 201535493A
Authority
TW
Taiwan
Prior art keywords
layer
resist layer
substrate
radiation
material layer
Prior art date
Application number
TW103146472A
Other languages
English (en)
Other versions
TWI562217B (en
Inventor
Yen-Cheng Lu
Shu-Hao Chang
Shinn-Sheng Yu
Jui-Ching Wu
Jeng-Horng Chen
Anthony Yen
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201535493A publication Critical patent/TW201535493A/zh
Application granted granted Critical
Publication of TWI562217B publication Critical patent/TWI562217B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

提供了一種形成用於積體電路的圖案的方法。該方法包括在基底上形成第一層,其中第一層的蝕刻速率是對輻射(例如極紫外線(EUV)輻射線或電子束(e-beam))敏感的。該方法還包括在第一層上形成阻劑層及將阻劑層曝光於輻射線以圖案化。在曝光期間,第一層的各部分改變其蝕刻速率以響應其中接收到的輻射的能量劑量。該方法進一步包括對阻劑層顯影,蝕刻第一層,且蝕刻基底以形成圖案。第一層的輻射敏感度用於減少圖案的臨界尺寸變異。

Description

積體電路圖案化的方法
半導體積體電路(integrated circuit,IC)產業已歷經了快速的成長。積體電路材料及設計之技術的進步造成積體電路世代的產生,每一世代的電路比前一世代更小且更複雜。在積體電路的發展過程中,通常增加了功能密度(即,每晶片面積所內連接的裝置的數量),而降低了幾何尺寸(即,使用的製造過程中所能製造出的最小元件(或線路))。尺寸縮小所帶來的好處通常包括提高生產效率及降低相關成本。這樣的尺寸縮小也增加了加工及製造積體電路的複雜性,且為了這些進步得以實現,積體電路加工及製造需要類似的發展。
舉例來說,微影是經常使用於積體電路製造中將積體電路設計轉移到半導體基底的技術。典型的微影技術包括:在基板上塗佈阻劑(或光阻)層;使用遮罩,將阻劑層曝光;將阻劑層顯影,以在基底上留下圖案化的阻劑層;以及蝕刻基底以形成圖案化的圖像。微影製程的一種品質測量是蝕刻的圖像之臨界尺寸(critical dimension,CD)均勻性,其直接影響了積體電路的生產良率。隨著半導體製程繼續尺寸縮減,通常希望改善蝕刻後圖像的臨界尺寸均勻性。
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比 例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1圖為根據本公開各個方面之一種在基底上形成目標圖案或元件的方法的流程圖。
第2A至2G圖為依據一實施例根據第1圖的方法形成目標圖案的剖面示意圖。
第3A至3D圖為依據一實施例根據第1圖的方法形成目標圖案的剖面示意圖。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用 詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
本揭露大致上有關於形成半導體裝置時的微影製 程。隨著半導體技術進展至更小的幾何圖案,例如45奈米、20奈米或甚至更小的範疇,完成的半導體裝置之中存在著增加臨界尺寸均勻性的需求。較高的臨界尺寸均勻性是指半導體裝置在其完成的幾何圖案將具備較高的一致性,這對於奈米級裝置已變得越來越重要。
有許多因素可能會導致臨界尺寸均勻性差。例 如,在使用掃描器的微影製程中,遮罩(或光標記(photomark)或光罩(reticle))對準於已經塗佈有阻劑層的晶圓的一部分,且將晶圓曝光於輻射線,例如極紫外線(extreme ultraviolet,EUV),以在阻劑層內形成遮罩的圖像。之後,遮罩對準於晶圓的不同部分,且再次將晶圓曝光,以在阻劑層內形成另一圖像。從一次曝光到另一次,掃描機的輻射能量可能會發生變化,導致曝光的圖像的尺寸變異。此外,在一些情況下,遮罩可包含多個相同積體電路設計圖案的副本,以增加曝光的產能。然而,遮罩的製造並不完美,且多個副本可能表現出其尺寸的差異,這進一步造成曝光的圖像的變異。當曝光的圖像透過製程(例如,蝕刻製程)從阻劑層轉移到晶圓上時,偏差通常延續到蝕刻的圖像,導致完成的半導體裝置的臨界尺寸均勻性差。
加強掃描機的曝光能量寬容度(energy latitude,EL) 及/或加強遮罩的製造製程可以改善蝕刻的圖像的臨界尺寸均勻性。然而,在一些情況下,這可能是成本高昂的。所提供的標的之某些實施例旨在以具有成本效益的方式提高蝕刻的圖像的臨界尺寸均勻性。此外,所提供的標的之某些實施例可以與現有的微影製程合併在一起及/或可以與其他增強臨界尺寸均勻性的技術結合。
現在參照第1圖,其繪示出根據本揭露各個方面之 一種形成目標圖案或元件的方法100的流程圖。可在方法100之前、期間及之後提供額外的操作,且對於方法100的額外的實施例,所述的某些操作可更換、消除或反向移動。方法100為一個範例,並非用以將本揭露限制於權利要求中的明確敘述之外。以下將配合第2A至2G圖及第3A至3D圖進一步說明方法100。
方法100(第1圖)於操作102接收一基底202。參照第 2A圖,基底202包括一層或多層材料或組合物。在一實施例中,基底202為半導體基底(例如,晶圓)。在一實施例中,基底202包括具晶體結構的矽。在替代實施例中,基底202包括其他元素半導體(例如,鍺)或化合物半導體(例如,碳化矽、砷化鎵、砷化銦及磷化銦)。基底202可以包括絕緣層上覆矽(silicon on insulator,SOI)基底,可以拉伸/受壓而性能增強,可以包括磊晶區,包括隔離區,包括摻雜區,包括一個或多個半導體裝置或其部分,包括導電層及/或非導電層,及/或包括其他合適的特徵及膜層。在本實施例中,如第2A圖所示,基底202包括一硬式罩幕層212,用於圖案化其下方的(多層)膜層210。在一實 施例中,硬式罩幕層212使用氧化矽、碳氧化矽、電漿增強化學氣相沉積氧化矽、非晶矽(amorphous silicon,a-Si)、氮化矽(SiN)、氮化鈦或其他合適的材料或組合物。硬式罩幕層212可透過各種方法來形成。例如,硬式罩幕層212可包括透過熱氧化形成的氧化矽。在一實施例中,硬式罩幕層212包括透過化學氣相沉積(chemical vapor deposition,CVD)形成的氮化矽。 例如,硬式罩幕層212可透過使用包括六氯矽乙烷(Hexachlorodisilane,HCD或Si2Cl6)、二氯矽甲烷(Dichlorosilane,DCS或SiH2Cl2)、雙(叔丁基氨基)矽烷(Bis(TertiaryButylAmino)Silane,BTBAS或C8H22N2Si)及乙矽烷(Disilane,DS或Si2H6)的化學品之化學氣相沉積而形成。
方法100(第1圖)進行至操作104,以在基底202上形 成一輻射敏感材料層214。參照第2B圖,其中所示的是材料層214形成於硬式罩幕層212上。在一些實施例中,額外的(多層)膜層(未繪示)可形成於硬式罩幕層212與材料層214之間,例如抗反射塗(anti-reflection coating,ARC)層或無氮抗反射塗(nitrogen-free anti-reflection coating,NFARC)層。在本實施例中,材料層214包括一輻射敏感材料,響應於其接收到的輻射能量增加其蝕刻率降低(即,變得更加難以蝕刻)。示例性的輻射敏感材料是一種被輻射照射時其形成分子內及/或分子間交聯的聚合物,例如負型光阻。此示例性的聚合物為乙基(α-羟基)丙烯酸酯(Ethyl(α-hydroxy)acrylate,EHMA)及甲基丙烯酸(methacryl acid,MAA)的聚合物EHMAm-MAAn,例如EHMA80-MAA20,如Hideo Hada et al.“Chemically Amplified Negative-Tone Resist Using Novel Acryl Polymer for 193nm Lithography.”SPIE Conference on Advances in Resist Technology and Proceeding XVI(1999)(“Hada”)所揭露。材料層214還可以包括交聯劑,例如BMU、BMEU、四甲氧基甲基甘脲(tetramethylolglycoluril,TMGU)或四丁氧基甲基甘脲(tetrabromoglycoluril,TBGU),如Hada所揭露。材料層214還可以包括光致酸產生劑(photoacid generator,PAG)。材料層214可透過各種方法來形成。在一實施例中,材料層214是通過旋轉塗佈製程所形成。也可以使用其他合適的方法(例如,沉積製程)。
方法100(第1圖)進行至操作106,在材料層214上形 成一阻劑層216。參照第2C圖,在本實施例中,阻劑層216是正型阻劑。正型阻劑通常不溶於阻劑顯影劑,但是透過輻射線的曝光變成可溶性。在一實施例中,形成阻劑層216的製程包括阻劑塗佈製程及後續的軟烤(soft baking)製程。
方法100(第1圖)進行至操作108,透過將阻劑層216 曝光,以對其圖案化。參照第2D圖,其中所示的是使用一遮罩218而藉由一輻射線220將阻劑層216圖案化。在一實施例中,遮罩218是具有透明區域和不透明區域的一透射遮罩。輻射線220(例如,深紫外線(deep ultraviolet,DUV))穿過透明區域且照射阻劑層216的各個部分,例如部分216A及216B。在另一實施例中,輻射線220是極紫外線且遮罩218是一反射遮罩。舉例來說,極紫外線微影通常使用具有低於大約100奈米的波長(例如,大約13.5奈米或更小的波長)的輻射源。在此情況下,遮罩 218為反射遮罩,其包括交替的數十層矽及鉬沉積於一基底上以作為布拉格反射器(Bragg reflector),將照射於其上的極紫外線的反射最大化。又一實施例中,也可以在操作108使用無遮罩(maskless)微影(例如,電子束(e-beam)微影)。為了說明本揭露,足以說兩個部分216A及216B曝光於可為深紫外線、極紫外線、電子束、X射線、離子束或其他合適的輻射線的輻射線220,且由積體電路設計佈局或遮罩圖案化。此外,這兩個部分216A及216B可同時曝光或在不同的時間曝光,例如透過在一微影掃描機(或步進機(stepper))內不同的曝光(照射(shots))。為了進一步說明所提供的標的,從積體電路設計佈局的觀點,這兩個部分216A及216B應該具有相同的尺寸。然而,由於遮罩製造的不精確及/或曝光劑量變異,這兩個部分216A及216B現在分別具有不同的尺寸d1及d2(第2D圖)。在本實施例中,d1小於d2是為了便於說明。在傳統的微影製程中,d1與d2之間的差異通常延續到基底202內完成的圖案上。然而,在本實施例中,材料層214的存在有助於減少完成的圖案之間的差異,如以下將討論的。
第2D圖還繪示出當部分216A及216B曝光時材料 層214的兩個部分214A及214B也分別被照射。原因之一是,輻射線220(例如,高能量光子或電子)的一部分穿過阻劑層216,且照射第一層214。由於材料層214的輻射敏感性,相較於第一層214中未被輻射線220照射的其他部分,兩個部分214A及214B現在具有增加的耐蝕刻性(或降低的蝕刻速率)。再者,因為部分216B具有比部分216A更大的尺寸(d2>d1),與部分214A 相比,更多的輻射能量到達部分214B且被吸收,從而導致部分214B具有比部分214A較高的抗蝕刻性。
方法100(第1圖)進行至操作110,將阻劑層216顯 影,從而形成一圖案化阻劑層216。參照第2E圖,阻劑層216被顯影並部分地剝離,進而在阻劑層216內形成兩個開口222A及222B的圖案。在一實施例中,在被顯影之前,可以烘烤阻劑層216(曝光後烘烤)。在一些實施例中,透過施加水性(water based)顯影劑(例如,四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH))將阻劑層216顯影。施加顯影劑可以包括在曝光的阻劑層216上噴塗顯影劑,例如透過旋轉塗佈(spin-on)製程。在一實施例中,可對顯影的阻劑層216進一步施加後顯影烘烤(post develop bake,PDB)製程。
方法100(第1圖)進行至操作112,蝕刻材料層214, 從而形成一圖案化材料層214。參照第2F圖,以圖案化阻劑層216作為蝕刻遮罩蝕刻材料層214,進而在材料層214內形成兩個開口222A’及222B’。在此製程期間圖案化阻劑層216可局部或完全消耗掉。蝕刻製程可以包括乾式(電漿)蝕刻、濕式蝕刻及/或其他蝕刻方法。例如,乾式蝕刻製程可實施含氧氣體、含氟氣體(例如,CF4、SF6、CH2F2、CHF3及/或C2F6)、含氯氣體(例如,Cl2、CHCl3、CCl4及/或BCl3)、含溴氣體(例如,HBr及/或CHBr3)、含碘氣體,其他合適的氣體及/或電漿、及/或其組合。在一實施例中,蝕刻製程為等向性蝕刻。因為部分214A比部分214B具有較低的抗蝕刻性(較高的蝕刻速率),所以開口222A’比開口222B’具有更陡的(多個)側壁。因此,鄰近於或位 於硬式罩幕層212的頂表面,開口222A’及222B’具有大約相同的尺寸。如第2F圖所示,d2’大約相同於d1’,即使d2大於d1大(第2E圖)。
方法100(第1圖)進行至操作114,以圖案化材料層 214作為蝕刻遮罩蝕刻基底202,從而將開口222A’及222B’轉移至基底202。參照第2G圖,在本實施例中,開口222A’及222B’轉移至基底202的硬式罩幕層212。在一實施例中,這是透過以圖案化材料層214及圖案化阻劑層216(若在操作112中未完全消耗掉)作為蝕刻遮罩來蝕刻硬式罩幕層212而達成。蝕刻製程可以包括乾式(電漿)蝕刻、濕式蝕刻及/或其他蝕刻方法。圖案化材料層214及圖案化阻劑層216,如果有的話,後續去除,從而使得兩個開口222A”及222B”形成於硬式罩幕層212內。這兩個開口222A”及222B”分別具有尺寸d1”及d2”,且尺寸d1”及d2”大約相同,與預期的(多個)積體電路設計圖案匹配。技術領域中具有通常知識者可以理解,即使在遮罩的製造(例如,遮罩218)中可能有不精確處及/或輻射線220(第2D圖)可能有曝光劑量變異,在本實施例中材料層214的存在有助於降低蝕刻後圖像222A”及222B”的尺寸差異。
方法100(第1圖)進行至操作116,以透過圖案化硬 式罩幕層212形成最終圖案或元件。在一實施例中,目標圖案被形成為多層內連結構的金屬線。例如,金屬線可形成於內層介電(inter-layer dielectric,ILD)層中。在此情況下,操作116使用圖案化硬式罩幕層212在內層介電層內形成多個溝槽;以導電材料(例如,金屬)填充溝槽;以及使用一製程(例如,化學 機械研磨(chemical mechanical polishing))研磨導電材料,以暴露出圖案化的內層介電層,因而在內層介電層內形成金屬線。
在另一實施例中,操作116使用圖案化硬式罩幕層 212在一半導體基底上形成接觸插塞(contact),且接觸插塞為多層內連結構的一層或多層內連層提供內連接。在本實施例中,操作116在半導體基底的內層介電層中形成多個溝槽,且以導電材料填充溝槽而形成介層窗(via)。導電材料可以包括鎢或其他適當的導電元件。在一實施例中,接觸插塞為半導體基底內的源極/汲極區及/或閘極結構提供電性連接。
又一實施例中,操作116使用圖案化硬式罩幕層 212在一半導體基底上形成鰭式場效電晶體(fin field effect transistor,FinFET)結構。在此實施例中,操作116在半導體基底內形成多個溝槽。淺溝槽隔離(shallow trench isolation,STI)特徵部件進一步形成於溝槽,其過程包括沉積以介電材料填充溝槽以及研磨(例如,化學機械研磨)以去除過量的介電材料且平坦化半導體基底的頂表面。之後,選擇性蝕刻製程施加於介電材料,以使淺溝槽隔離特徵部件凹陷,從而形成鰭狀的主動區。
第3A至3D圖繪示出依據另一實施例在微影製程中 使用負型阻劑而根據第1圖的方法100形成目標圖案的剖面示意圖。以下結合方法100的各種操作討論第3A至3D圖。
第3A圖繪示出透過方法100(第1圖)的操作102、104 及106,在基底202的硬式罩幕層212上形成一材料層314,且在材料層314上形成一負型阻劑層316。在一些實施例中,額外的 (多層)膜層(未繪示)可形成於硬式罩幕層212與材料層314之間,例如抗反射塗(ARC)層或無氮抗反射塗(NFARC)層。在本實施例中,材料層314包括一輻射敏感材料,響應於其接收到的輻射能量增加其蝕刻速率增加(即,變得更容易蝕刻)。在一實施例中,材料層314包括二維(two-dimensional,2D)聚合物,其化學鍵結在被照射時斷裂。示例性的材料是聚甲基丙烯酸甲酯(poly(methyl methacrylate),PMMA)。材料層314可透過各種方法來形成。在一實施例中,材料層314是透過旋轉塗佈製程所形成。也可以使用其他合適的方法(例如,沉積)。形成負型阻劑層316的製程包括阻劑塗佈製程及後續的軟烤製程。
第3B圖繪示出透過方法100(第1圖)的操作108,將 負型阻劑層316曝光於輻射線220。第3B圖還繪示出使用用於將負型阻劑層316圖案化的一負型遮罩318。負型阻劑通常可溶於阻劑顯影劑,但是透過輻射線(例如,輻射線220)的曝光變成不可溶性。在本實施例中,負型阻劑層316的各個部分,例如部分316A及316B,在曝光製程之後仍然可溶於阻劑顯影劑,且將被顯影以將負型阻劑層316圖案化。然而,部分316A及316B沒有完全與周圍的輻射線隔離,且輻射線220的某些部分將到達部分316A及316B以及分別位於部分316A及316B下方之材料層314的部分314A及314B。此輻射效果具有至少兩個方面。一方面,只要部分316A及316B沒有接收到太多輻射線而跨越不可溶性的臨界點,其仍然可溶於阻劑顯影劑。另一方面,部分314A及314B響應於其接收到的輻射線的能量劑量增加其各自的蝕刻速率。為了說明所提供的標的,從積體電路設計佈局的 觀點,部分316A及316B應該具有相同的尺寸,然而現在具有不同的尺寸是由於遮罩318的不精確或輻射線220的曝光劑量變異。如第3B圖所示,部分316A及316B分別具有尺寸d1及d2,其中d1小於d2。因此,部分314A比部分314B從周圍區域接收更多的輻射能量,說明性地,因為部分316A/314A被遮罩318相對較小的不透明遮罩區域所覆蓋。結果,部分314A比部分314B具有較高的蝕刻速率(即,更容易蝕刻)。在一實施例中,遮罩318是一透射遮罩。在另一實施例中,遮罩318是一反射遮罩。
第3C圖繪示出透過方法100(第1圖)的操作110,將 負型阻劑層316顯影,且去除部分316A及316B,進而形成兩個開口222A及222B。在一實施例中,將負型阻劑層316顯影的製程類似於用以將正型阻劑層216顯影的製程,除了使用適用於負型阻劑層316的顯影劑之外。
第3D圖繪示出透過方法100(第1圖)的操作112,以 顯影的負型阻劑層316作為蝕刻遮罩蝕刻材料層314,從而形成兩個開口222A’及222B’。此蝕刻製程可類似於參照第2F圖所討論的。可以進行進一步的步驟,例如方法100(第1圖)的操作114及116,以將圖案222A’及222B’轉移到硬式罩幕層212,並在基底202內形成最終圖案或元件。這些操作類似於參照第2G圖所討論的,且為了簡潔不再重述。
本揭露提供了許多好處。雖然非用以限定,以下 將討論一些益處。舉例來說,本揭露的一些實施例改善了蝕刻後圖像的臨界尺寸均勻性。這可直接提高半導體製造的良率, 尤其是在奈米級範疇。舉例來說,本揭露的一些實施例提供了以具有成本效益的方式改善微影製程穩定性,即使是遮罩製造存在不精確性以及曝光劑量存在變異。例如,本揭露的一些實施例可以輕易地與許多現有的微影製程合併。例如,本揭露的一些實施例特別有益於形成膜層的接觸孔洞(例如,介層窗),因為這種特徵部件被設計成相對於彼此具有均勻的尺寸。
在一實施例中,本揭露涉及一種形成用於積體電 路(IC)的圖案的方法,該方法包括在一基底上形成一第一材料層,其中該第一材料層的蝕刻速率是對輻射敏感的。該方法還包括在該第一材料層上形成一阻劑層,且將該阻劑層曝光於用以圖案化該阻劑層的一輻射線,其中該輻射線到達該第一材料層且該第一材料層的至少一部分響應於其接收到的該輻射線的能量劑量而改變其蝕刻速率。該方法更包括將該阻劑層顯影,進而形成一圖案化阻劑層,且以該圖案化阻劑層作為蝕刻遮罩蝕刻該第一材料層,進而形成一圖案化第一材料層。
在另一實施例中,本揭露涉及一種圖案化一基底 的方法,該方法包括在該基底上形成一第一層,其中該第一層的蝕刻速率是對輻射敏感的。該方法還包括在該第一層上形成一正型阻劑層,且將該正型阻劑層的一第一部分曝光於一輻射線,其中位於該第一部分下方的該第一層的一第二部分在曝光期間降低其蝕刻速率。該方法更包括將該正型阻劑層顯影以去除該第一部分;蝕刻該第二部分以暴露該基底;以及蝕刻該基底以形成一圖案。
又另一實施例中,本揭露涉及一種形成用於積體 電路(IC)的圖案的方法,該方法包括在一基底上形成一第一層,其中該第一層具有一特性,當一輻射線照射於其上的一能量劑量增加時其蝕刻速率增加。該方法還包括在該第一層上形成一負型阻劑層,且將該負型阻劑層圖案化,進而形成一圖案化阻劑層。該方法更包括以該圖案化阻劑層作為蝕刻遮罩蝕刻該第一層。
前述內文概述了許多實施例的特徵,使本技術領 域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。

Claims (20)

  1. 一種形成用於積體電路(IC)的圖案的方法,該方法包括:在一基底上形成一第一材料層,其中該第一材料層具有一第一蝕刻速率;在該第一材料層上形成一阻劑層;將該阻劑層曝光於用以圖案化該阻劑層的一輻射線,其中該輻射線到達該第一材料層且改變該第一材料層以具有一第二蝕刻速率,其不同於該第一蝕刻速率;將該阻劑層顯影,進而形成一圖案化阻劑層;以及以該圖案化阻劑層作為蝕刻遮罩蝕刻該第一材料層,進而形成一圖案化第一材料層。
  2. 如申請專利範圍第1項所述之方法,其中該基底包括一硬式罩幕層,該第一材料層形成於其上。
  3. 如申請專利範圍第1項所述之方法,其中:該第一材料層配置為使得該第二蝕刻速率小於該第一蝕刻速率;以及該阻劑層為一正型阻劑。
  4. 如申請專利範圍第3項所述之方法,其中該第一材料層包括乙基(α-羟基)丙烯酸酯(EHMA)及甲基丙烯酸(MAA)的聚合物。
  5. 如申請專利範圍第1項所述之方法,其中:該第一材料層配置為使得該第二蝕刻速率大於該第一蝕刻速率;以及該阻劑層為一負型阻劑。
  6. 如申請專利範圍第1項所述之方法,更包括:以該圖案化第一材料層作為蝕刻遮罩蝕刻該基底。
  7. 如申請專利範圍第1項所述之方法,其中該形成該第一材料層包括一旋轉塗佈製程。
  8. 如申請專利範圍第1項所述之方法,其中該基底包括矽。
  9. 如申請專利範圍第1項所述之方法,其中該輻射線為:深紫外線、極紫外線、電子束、X射線及離子束其中之一。
  10. 如申請專利範圍第1項所述之方法,其中該蝕刻該第一材料層包括乾式蝕刻製程。
  11. 如申請專利範圍第1項所述之方法,其中該蝕刻該第一材料層包括等向性蝕刻製程。
  12. 一種圖案化一基底的方法,該方法包括以下步驟:在該基底上形成一第一層,其中該第一層的蝕刻速率是對輻射敏感的;在該第一層上形成一正型阻劑層,其中該正型阻劑層的一第一部分阻劑層位於該第一層的一第二部分上方;將該正型阻劑層的該第一部分曝光於一輻射線,其中位於該第一部分下方的該第一層的該第二部分在曝光期間降低其蝕刻速率;將該正型阻劑層顯影以去除該第一部分;蝕刻該第二部分以暴露該基底;以及蝕刻該基底以形成一圖案。
  13. 如申請專利範圍第12項所述之方法,其中該基底包括一硬式罩幕層,該第一層形成於其上。
  14. 如申請專利範圍第13項所述之方法,其中該硬式遮罩層包括氮化鈦、氮化矽及氧化鈦其中之一。
  15. 如申請專利範圍第12項所述之方法,其中該第一層包括聚(乙基(α-羟基)丙烯酸酯-甲基丙烯酸)(poly(EHMAn-MAAm))以及四丁氧基甲基甘脲(TBGU)交聯劑。
  16. 如申請專利範圍第12項所述之方法,其中該輻射線具有一波長小於100奈米(nm)。
  17. 一種形成用於積體電路(IC)的圖案的方法,該方法包括:在一基底上形成一第一層,其中該第一層具有一特性,當一輻射線照射於其上的一能量劑量增加時其蝕刻速率增加;在該第一層上形成一負型阻劑層;將該負型阻劑層圖案化,進而形成一圖案化阻劑層;以及以該圖案化阻劑層作為蝕刻遮罩蝕刻該第一層。
  18. 如申請專利範圍第17項所述之方法,其中該圖案化該負型阻劑層包括:將該負型阻劑層曝光於一輻射線,其中該輻射線使該第一層的至少一部分增加其蝕刻速率;以及將該負型阻劑層顯影以去除該負型阻劑層未曝光的部分。
  19. 如申請專利範圍第17項所述之方法,更包括在形成該第一層之前:在該基底上形成一抗反射塗層。
  20. 如申請專利範圍第17項所述之方法,更包括: 以被蝕刻的該第一層作為蝕刻遮罩蝕刻該基底。
TW103146472A 2014-03-14 2014-12-31 Method of forming pattern for integrated circuit and method of patterning substrate TWI562217B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/212,708 US9229326B2 (en) 2014-03-14 2014-03-14 Method for integrated circuit patterning

Publications (2)

Publication Number Publication Date
TW201535493A true TW201535493A (zh) 2015-09-16
TWI562217B TWI562217B (en) 2016-12-11

Family

ID=54069641

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103146472A TWI562217B (en) 2014-03-14 2014-12-31 Method of forming pattern for integrated circuit and method of patterning substrate

Country Status (4)

Country Link
US (1) US9229326B2 (zh)
KR (1) KR101671592B1 (zh)
CN (1) CN104916530B (zh)
TW (1) TWI562217B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9396966B1 (en) * 2014-12-24 2016-07-19 Macronix International Co., Ltd. Patterning method and semiconductor structure
US9941125B2 (en) 2015-08-31 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10211051B2 (en) * 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
US11036129B2 (en) * 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Company Ltd. Photomask and method for forming the same
US10991583B2 (en) * 2018-09-28 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Self aligned litho etch process patterning method
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
CN109671829A (zh) * 2018-12-13 2019-04-23 合肥彩虹蓝光科技有限公司 一种倒装式发光二极管芯片制备方法
US11796922B2 (en) * 2019-09-30 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices
CN115605979A (zh) * 2020-05-11 2023-01-13 朗姆研究公司(Us) 用于图案化cd控制的自动前馈和反馈序列
US20220004105A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09167733A (ja) * 1995-12-15 1997-06-24 Toshiba Corp パターン形成方法
ITMI20020931A1 (it) * 2002-05-02 2003-11-03 St Microelectronics Srl Metodo per fabbricare circuiti elettronici integrati su un substrato semiconduttore
JP4471123B2 (ja) * 2003-04-17 2010-06-02 日産化学工業株式会社 多孔質下層膜及び多孔質下層膜を形成するための下層膜形成組成物
US7291446B2 (en) * 2004-03-17 2007-11-06 Tokyo Electron Limited Method and system for treating a hard mask to improve etch characteristics
US9638999B2 (en) * 2008-02-22 2017-05-02 Brewer Science Inc. Dual-layer light-sensitive developer-soluble bottom anti-reflective coatings for 193-nm lithography
US8637344B2 (en) * 2008-04-21 2014-01-28 The Regents Of The University Of California Multi-rate resist method to form organic TFT contact and contacts formed by same
IL213195A0 (en) * 2010-05-31 2011-07-31 Rohm & Haas Elect Mat Photoresist compositions and emthods of forming photolithographic patterns
JP5898587B2 (ja) * 2012-08-09 2016-04-06 株式会社東芝 パターン形成方法
CN102945855B (zh) * 2012-11-13 2016-08-03 京东方科技集团股份有限公司 发光显示背板、显示装置和像素界定层的制备方法

Also Published As

Publication number Publication date
TWI562217B (en) 2016-12-11
CN104916530B (zh) 2017-12-19
US9229326B2 (en) 2016-01-05
US20150262836A1 (en) 2015-09-17
KR20150107584A (ko) 2015-09-23
CN104916530A (zh) 2015-09-16
KR101671592B1 (ko) 2016-11-01

Similar Documents

Publication Publication Date Title
TW201535493A (zh) 形成用於積體電路的圖案的方法及圖案化基底的方法
US9418862B2 (en) Method for integrated circuit patterning
CN108227392B (zh) 微影图案化的方法
US9576814B2 (en) Method of spacer patterning to form a target integrated circuit pattern
TWI424469B (zh) 微影雙重圖形成形方法
CN108807150B (zh) 半导体装置的制作方法
TWI581308B (zh) 圖案化基板之方法
TW202018766A (zh) 微影圖案化之方法
TWI584083B (zh) 用於微影製程之圖案化的方法
US9459536B1 (en) Negative tone developer composition for extreme ultraviolet lithography
US11158505B2 (en) Anti-reflective coating by ion implantation for lithography patterning
US20200142314A1 (en) Lithography Patterning Technique
TW201946107A (zh) 半導體裝置的形成方法
TWI793079B (zh) 半導體裝置的製作方法
CN108333866B (zh) 光刻图案化的方法
TWI737782B (zh) 微影圖案化的方法
TWI515768B (zh) 微影圖案化方法及雙重圖案化方法
TW201830471A (zh) 半導體裝置的製作方法
CN108231550B (zh) 半导体装置的制作方法
TWI761987B (zh) 負光阻及其顯影的方法
CN110941148B (zh) 半导体装置的制造方法
TWI830691B (zh) 微影圖案化的方法
US10353288B2 (en) Litho-litho-etch double patterning method