JP2016143890A - 自己配列されたパターニング集積化スキームにおけるパターン密度を増加させるための方法 - Google Patents

自己配列されたパターニング集積化スキームにおけるパターン密度を増加させるための方法 Download PDF

Info

Publication number
JP2016143890A
JP2016143890A JP2016017285A JP2016017285A JP2016143890A JP 2016143890 A JP2016143890 A JP 2016143890A JP 2016017285 A JP2016017285 A JP 2016017285A JP 2016017285 A JP2016017285 A JP 2016017285A JP 2016143890 A JP2016143890 A JP 2016143890A
Authority
JP
Japan
Prior art keywords
spacer
mandrel
layer
conformal
pull
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016017285A
Other languages
English (en)
Other versions
JP2016143890A5 (ja
Inventor
ラレイ アンジェリーク
Raley Angelique
ラレイ アンジェリーク
明輝 高
Akiteru Ko
明輝 高
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2016143890A publication Critical patent/JP2016143890A/ja
Publication of JP2016143890A5 publication Critical patent/JP2016143890A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

【課題】集積化スキームを使用して、基板上で構造のパターン密度を増加させるための方法が提供される。【解決手段】上記方法は:第1のマンドレルおよび下部層を含むパターン化された層を有する基板を提供し;第1のコンフォーマルスペーサー堆積を行い、第1のコンフォーマル層が創出され;第1のコンフォーマル層上で第1のスペーサー反応性イオンエッチング(RIE)プロセスを行い、第1のスペーサーパターンが創出され;第1のマンドレルプルプロセスを行い、第1のマンドレルが除去され;第2のコンフォーマルスペーサー堆積を行い、第2のコンフォーマル層が創出され;第2のRIEプロセスを行い、第1のスペーサーパターンは、第2のマンドレルとして作用し;第2のマンドレルプルプロセスを行い、第1のスペーサーパターンが除去され;第2のスペーサーパターンを下部層に転写すること、を含み;ここで、集積化ターゲットには、パターニング均一性、構造のプルダウン、構造のスリミング、および下部層のガウジングが含まれる。【選択図】図2A

Description

本発明の背景
本発明の分野
本発明は、基板上で一連の半導体パターニング操作を行う方法に、具体的には、いくつかの下部層を必要とせずにパターン密度を増加させることに関する。
関連分野の説明
半導体製造において、基板上でのフィルムのパターニングを、ムーアの法則に従う時間で進化してきたいくつかの方法により達成することができる。最初の方法は、高性能ノード(advanced nodes)限界寸法(CD)を達成するために、もはや単独では使用され得ない従来のリソグラフィーである。
ダブルパターニングは、フィーチャ寸法(feature dimension)を画定するスペーサー堆積を使用することにより、フォトリソグラフィック能(photolithographic capability)より小さなハードマスクフィーチャを創出するために使用される手法である。典型的なダブルパターニング(DP)手法は、マンドレルにわたって一連の堆積を必要とし、これはスペーサーをエッチングして形成し、マンドレルを別にエッチングして除去し、堆積およびエッチングツールの両方が必要である。マンドレル形成限界によるフィーチャのピッチおよび堆積「薄さ」制限により、従来のDP手法に固有のいくつかの空間的制限が存在する。
ここで、例えば、自己配列ダブルパターニングまたはDSA(誘導型自己組織化)などの追加の手法と組み合わせて、必要なCDを達成する。これらの方法は、高コストになり得、プロセスにいくつかのステップを追加し得る。いったん利用可能になったところで、高性能極紫外線(EUV)リソグラフィーがこれらの問題のいくつかを緩和することができなければならないが、より小さいCDへの絶え間ない要求(drive)は、やってくる未来の技術への挑戦のままであろう。現在の手法は、一連の層の堆積、およびこれらの層上でのパターンのエッチングを必要とし、これらは高価である。自己配列クアドルプル(quadruple)パターニング(SAQP)を行う際のより高いパターン密度または他のより高い密度の集積化スキームを達成するために、いくつかの下部層を必要とせずに同程度の密度の増加を達成することができる、集積化スキームへの必要性が存在する。
本発明は、基板上で一連の半導体パターニング操作を行う方法に、具体的には、いくつかの下部層を必要とせずにパターン密度を増加させることに関する。
本発明の課題は、基板上で一連の半導体パターニング操作を行う方法に、具体的には、いくつかの下部層を必要とせずにパターン密度を増加させることである。
本発明の要約
集積化スキームを使用して、基板上で構造のパターン密度を増加させるための方法が提供され、前記方法は:集積化ターゲットに合わせるように構成された集積化スキームを含む方法であって、前記方法は:パターン化された層を有する基板を提供し、前記パターン化された層は第1のマンドレルおよび下部層を含むこと;第1のコンフォーマルスペーサー堆積を行い、前記堆積により、前記パターン化された層の上で第1のコンフォーマル層が創出されること;前記第1のコンフォーマル層上で第1のスペーサー反応性イオンエッチング(RIE)プロセスを行い、前記RIEプロセスにより、第1のスペーサーパターンが創出されること;第1のマンドレルプル(pull)プロセスを行い、前記第1のマンドレルプルプロセスにより、前記第1のマンドレルが除去されること;第2のコンフォーマルスペーサー堆積を行い、前記堆積により、第2のコンフォーマル層が創出されること;前記第2のコンフォーマル層上で第2のRIEプロセスを行い、前記RIEプロセスにより、第2のスペーサーパターンが創出され、前記第1のスペーサーパターンは、第2のマンドレルとして作用すること;第2のマンドレルプルプロセスを行い、前記第2のマンドレルプルプロセスにより、前記第1のスペーサーパターンが除去されること;および前記第2のスペーサーパターンを前記下部層に転写すること、を含み;ここで、前記集積化ターゲットには、パターニング均一性、構造のプルダウン(pulldown)、構造のスリミング、および前記下部層のガウジング(gouging)が含まれる。
別の態様において、集積化スキームを使用して、基板上で構造のパターン密度を増加させるための方法であり、前記集積化スキームは集積化ターゲットに合わせるように構成され、前記方法は:プロセシングチャンバ中に基板を提供し、前記基板はパターン化された層を有し、前記パターン化された層は、マンドレルおよび下部層を含むこと;窒化シリコンを使用して、第1のコンフォーマルスペーサー堆積を行い、前記堆積により、前記パターン化された層の上で第1のコンフォーマル層が創出されること;前記第1のコンフォーマル層上で第1のスペーサー反応性イオンエッチング(RIE)プロセスを行い、前記RIEプロセスにより、第1のスペーサーパターンが創出されること;第1のマンドレルプルプロセスを行い、前記第1のマンドレルプルプロセスにより、前記第1のマンドレルが除去されること;第2のコンフォーマルスペーサー堆積を行い、前記堆積により、第2のコンフォーマル層が創出されること;前記第2のコンフォーマル層上で第2のRIEプロセスを行い、前記RIEプロセスにより、第2のスペーサーパターンが創出され、前記第1のスペーサーパターンは、第2のマンドレルとして作用すること;第1のマンドレルプルプロセスを行い、前記第1のマンドレルプルプロセスにより、前記第1のスペーサーパターンが除去されること;および2つのマスクを使用して、前記第1のスペーサーパターンを前記下部層に転写し、前記2つのマスクは前記マンドレルおよび前記第2のスペーサーパターンを含むこと、を含み;ここで、前記集積化ターゲットには、パターニング均一性、構造のプルダウン、構造のスリミング、および前記下部層のガウジングが含まれる。
図1Aは、自己配列ダブルパターニングのための集積化スキームを行うための、従来技術の概略的な例示的例を描く。 図1Bは、別の自己配列クアドルプルパターニングのための別の集積化スキームを行うための、従来技術の概略的な別の例示的例を描く。 図2Aは、本発明の態様における、パターン密度が増加した構造を形成するための集積化スキームを行うための例示的方法を例示するフローチャートを描く。 図2Bは、本発明の別の態様における、パターン密度が増加した構造を形成するための集積化スキームを行うための別の例示的方法を例示する別のフローチャートを描く。 図3A、図3B、図3C、図3D、図3E、図3F、図3G、および図3Hは、自己配列クアドルプルパターニング(SAQP)スキームのための集積化操作の概略的表現である。図3Aは、パターン化された層を有する基板を提供する概略的表現であり、パターン化された層は、第1のコンフォーマル層、第1のマンドレル、および下部層を含む。 図3Bは、第1のコンフォーマル層上で第1のスペーサー反応性イオンエッチング(RIE)プロセスを行うための集積化操作の概略的表現である。 図3Cは、第1のマンドレルプルプロセスを行うための集積化操作の概略的表現である。 図3Dは、第2のコンフォーマルスペーサー堆積を行うための集積化操作の概略的表現である。 図3Eは、第2のコンフォーマル層上で第2のRIEプロセスを行うための集積化操作の概略的表現である。 図3Fは、第2のマンドレルプルプロセスを行うための集積化操作の概略的表現である。 図3Gは、第2のスペーサーパターンを下部層に転写するための集積化操作の概略的表現である。 図3Hは、第2のマンドレルの残留する部分が除去される、任意のクリーニングプロセスのための集積化操作の概略的表現である。 図4A、図4B、図4C、図4D、図4E、図4F、および図4Gは、別のSAQPスキームを行うための集積化操作の概略的表現を描く。図4Aは、パターン化された層を有する基板を提供するための集積化操作の概略的表現であり、ここで、パターン化された層は、第1のコンフォーマル層、マンドレル、および下部層を含む。 図4Bは、第1のコンフォーマル層上で第1のスペーサーRIEプロセスを行うための集積化操作の概略的表現である。 図4Cは、第2のコンフォーマルスペーサー堆積を行うための集積化操作の概略的表現である。 図4Dは、第2のコンフォーマル層上での第2のRIEプロセスのための集積化操作の概略的表現である。 図4Eは、第1のスペーサーパターンプルを行うための集積化操作の概略的表現である。 図4Fは、パターンを下部層に転写するための集積化操作の概略的表現であり、2つの異なるマスクを使用する前記パターンは、マンドレルおよび第2のスペーサーパターンを含む。 図4Gは、マンドレルの残留する部分およびスペーサーパターンが除去される、任意のクリーニングプロセスのための集積化操作の概略的表現である。 図5は、態様によるプラズマプロセシングシステムの概略的表現を示す。 図6は、別の態様によるプラズマプロセシングシステムの概略的表現を示す。 図7は、別の態様によるプラズマプロセシングシステムの概略的表現を示す。 図8は、別の態様によるプラズマプロセシングシステムの概略的表現を示す。 図9は、別の態様によるプラズマプロセシングシステムの概略的表現を示す。 図10は、別の態様によるプラズマプロセシングシステムの概略的表現を示す。 図11は、別の態様によるプラズマプロセシングシステムの概略的表現を示す。 図12は、一態様によるプラズマ源の概略的表現を描く。 図13Aは、別の態様によるプラズマ源の断面図および底面図を描く。 図13Bは、別の態様によるプラズマ源の断面図および底面図を描く。
いくつかの態様の詳細な説明
以下の説明において、限定ではなく説明の目的のために、本願において使用される、例えば、プロセシングシステムの特定の形状、種々の構成要素およびプロセスの説明などの具体的な詳細が記載される。しかしながら、本発明は、これらの具体的な詳細から離れた他の態様においても実施され得るであることが理解されなければならない。
同様に、説明の目的のために、具体的な数、材料および構成が、本願の完全な理解を提供するために記載される。しかしながら、本発明は、具体的な詳細がなくても実施され得る。さらに、図面に示された種々の態様は例示的表現であり、必ずしもスケール通りに描かれていないことが理解される。
本発明を理解するのに最も有用なやりかたで、順に、複数の別々の操作として種々の操作を記載する。しかしながら、説明の順序は、これらの操作が必ずしも順序に依存するものであることを示唆するものと解釈されてはならない。特に、これらの操作は、提示された順番で行われる必要はない。記載された操作は、記載された態様以外の異なる順番で行われてもよい。追加の態様において、種々の追加の操作を行ってもよく、および/または記載された操作を省略してもよい。
本願において使用される「基板」は、本発明に従ってプロセスされる対象物を総称的に指す。基板には、デバイスの、特に半導体または他の電子デバイスのあらゆる材料部分または構造が含まれてもよく、例えば、半導体ウエハなどのベース基板構造、または例えば、薄膜などのベース基板構造上のもしくはベース基板構造を覆う層などであり得る。よって、基板が、いかなる特定のベース構造、下部層または上部層、パターン化されたものまたはパターン化されていないものにも限定されることは意図されないが、むしろあらゆるかかる層またはベース構造、ならびに層および/またはベース構造のあらゆる組み合わせを含むことが考慮される。以下の説明は、特定のタイプの基板を指してもよいが、これは例示的目的のためのみであり、限定するものではない。
図1Aは、従来の自己配列ダブルパターニングのための集積化スキームを行うための、例示的な従来技術の方法を例示する概略図1を描く。集積化スキーム10は、マンドレル12がターゲット層14の最上部上に位置される基板16上のパターン化された層3を描く。集積化スキーム20は、コンフォーマル堆積および基板16上に位置されたターゲット層14上のパターン化された層3上での続く反応性イオンエッチング(RIE)プロセスの結果を描き、前記プロセスは、スペーサー22およびマンドレル12を生じさせる。集積化スキーム23は、マンドレル12が除去されてスペーサー22が残された、パターン化された層3上でのマンドレルプルプロセスの結果を描く。集積化スキーム25は、スペーサーパターン26を含むパターン化された層3をターゲット層14に転写した結果を描き、これにより、基板16の最上部上でターゲット層14を使用してダブル密度パターン26を生じさせる。
図1Bは、従来の自己配列クアドルプルパターニングのための別の集積化スキームを行うための、別の例示的な従来技術の方法を例示する概略図30を描く。集積化スキーム40は、ターゲット層34および36の最上部上のマンドレル32を含む、パターン化された層31を描き、前記ターゲット層34および36は、下部層38の最上部および基板39上に位置されている。集積化スキーム44は、コンフォーマル堆積、およびマンドレル32および生成された第1のスペーサー48を含むパターン化された層31上での続くRIEプロセスの結果を描く。集積化スキーム50は、マンドレル32が除去されて第1のスペーサー48が残されたパターン化された層31上でのマンドレルプルプロセスの結果を描く。集積化スキーム60は、第1のスペーサー48をターゲット層34および36に転写した後のパターン化された層31上でのプロセスの結果を描き、第1のスペーサーパターン化された層62が創出される。集積化スキーム70は、コンフォーマル堆積、およびマンドレルとして第1のスペーサーパターン62を有する第2のスペーサーパターン74を生じさせる、パターン化された層31上での続くRIEプロセスの結果を描く。集積化スキーム80は、第1のスペーサーパターン72が除去されて第2のスペーサーパターン74が保持された、パターン化された層31上でのマンドレルプルプロセスの結果を描く。集積化スキーム90は、第2のスペーサーパターン74を下部層38に転写した結果を描き、パターン化された層31中の基板39の最上部上でクアドルプル密度パターン92を生じさせる。
図2Aは、最終部分を除き、集積化シーケンスを通じてパターンが下部層に転写されない、パターン密度が増加した構造を形成するための集積化スキームを行うための例示的方法を例示するフローチャート200を描く。これらのターゲット層が使用されないため、ターゲット層の必要性および基板上にこれらの層を堆積するために必要な時間が排除されることにより顕著な節約を得ることができる。別々のターゲット層の必要性の排除は、綿密なプロセス制御の実装ならびにRIEエッチャー(etcher)および原子層堆積(ALD)手法の利点の利用により可能となる。集積化ターゲットには、少なくともパターニング均一性(均一性)、構造のプルダウン(プルダウン)、構造のスリミング(スリミング)、および下部層のガウジング(ガウジング)が含まれる。本発明者らは、(a)綿密なプロセス制御、(b)選択された2つまたは3つ以上の集積化操作変数の同時最適化、および(c)RIEエッチャーおよび原子層堆積(ALD)手法における新規な利点の使用、が、ターゲット層の排除を可能にし、および持続可能にし、一方で、用途の所望のパターニング密度を達成することを見出した。
図2Aは、図3A、図3B、図3C、図3D、図3E、図3F、および図3Gと共に検討されるであろう。図2Aを参照して、操作204において、パターン化された層301を有する基板304が、プロセシングチャンバ中に提供され、パターン化された層301は、第1のコンフォーマル層308、第1のマンドレル306、および下部層302を含む。マンドレル306は、シリコンを含み得、第1のコンフォーマル層308は、窒化シリコンを含み得、下部層は、TiO2、Al2O3、または薄い酸化物ALD層を含み得る。第1のコンフォーマルスペーサー堆積を行い、前記堆積により、パターン化された層の上で第1のコンフォーマル層が創出される。操作212において、第1のコンフォーマル層上で第1のスペーサー反応性イオンエッチング(RIE)プロセスを行い、前記RIEプロセスは、第1のスペーサーパターン309を創出する。このステップにおいて最小ガウジングが期待され、0.05〜2.50nmの範囲であることが必要である。操作216において、第1のマンドレルプルプロセスを行い、前記第1のマンドレルプルプロセスにより、80mT 500/80WでHBr/O2の化学物質または90sccmで85秒間Cl2/Heを使用して第1のマンドレル306が除去される。プルダウンターゲットは、0〜10nmの範囲である。操作220において、第2のコンフォーマルスペーサー堆積を行い、前記堆積により、ALD酸化物を含む第2のコンフォーマル層342が創出される。操作224において、第2のコンフォーマル層342上で第2のRIEプロセスを行い、前記RIEプロセスにより、第2のスペーサーパターン352が創出され、前記第1のスペーサーパターン309は第2のマンドレルとして作用する。穏やかなマンドレルが1.00〜5.00nmの範囲で許容され得る。操作228において、第2のマンドレルプルプロセスを行い、前記第2のマンドレルプルプロセスは、CH3F/O2/Ar、CH3F/H2/ArまたはCH3F/H2/Heを使用して第1のスペーサーパターン309を除去する。
操作232において、第2のスペーサーパターン352を、パターン化された層301における下部層302に転写する。パターン化された層301には、最上部に第2のスペーサーパターン352のいくらかの残留物を含む構造378および構造378の底部にパターン374として下部層302からの部分が含まれる。第2のスペーサーパターンの下部層への転写は、集積化スキームの最終操作ステップであることに留意しなければならない。操作236において、任意のクリーニングプロセスを行い、ここで、構造378上の第2のスペーサーパターン352の残留物が除去される。操作240において、より具体的な範囲が集積化ステップについて明示されない限り、−5%〜+5%の範囲のパターニング均一性、0.5〜15.0nmの範囲のプルダウン、0.5〜3.0nmの範囲のスリミング、および0.05〜5.0nmの範囲のガウジングのターゲットに合わせるために、選択された2つまたは3つ以上の集積化操作変数をその前の操作の1つまたは2つ以上あるいは全てにおいて制御する。集積化ステップにおける2つまたは3つ以上の集積化操作変数には、プロセスチャンバ中の温度、圧力、1種または2種以上のガスの流速、1種または2種以上のガスのガス構成要素の分圧、集積化ステップの持続時間、基板の回転速度、およびプラズマを創出するために使用されるエネルギーの強度(power of the energy)が含まれる。他の集積化操作変数をまた使用してもよい。
別の態様において、マンドレル306は窒化シリコンを含み、第1のコンフォーマル層308はシリコンフィルムを含み、CH3F/O2/Arが第1のマンドレルプルにおいて使用され、第2のマンドレルまたは第2のスペーサー構造プルにおいて使用される化学反応をC4F8/O2/ArまたはC4F6O2/Arで行う(the chemicals used in the second mandrel or second spacer structure pull is performed with C4F8/O2/Ar or C4F6/O2/Ar)。
なお別の態様において、マンドレルはアモルファスカーボン層を含み、第1のコンフォーマル層は低温ALD酸化物であり、第1のRIEプロセスをC4F8/O2/ArまたはC4F6/O2/Arで行い、第1のマンドレルプルをO2/ArまたはCO2/Ar、純粋O2、またはCO2/O2で行う。
本願発明者らは、均一性、プルダウン、スリミング、およびガウジングターゲットを許容可能な範囲内に維持する、選択された2つまたは3つ以上の集積化操作変数を同時に最適化することにより、最良の結果が生じることを見出した。さらに、本願発明者らは、容量結合型プラズマ(CCP)エッチャーにおけるより低い高周波(RF)電力のパルスにより、イオンエネルギー全体の低減が可能となり、より良好なプルダウン性能を得られることを見出した。原子層堆積における利点の追加の説明は、「J. Dekker,et al.,“Inductively Coupled Plasma Etching Of Amorphous AL2O3 And TiO2 Mask Layers Grown By Atomic Layer Deposition”,J.Vac.Sci.Technol.B,Vol.24,No.5,Sep/Oct 2006に含まれ、その内容は、参照によりその全体が本願に組み込まれる。さらに、プラズマ励起原子層堆積の追加の説明は、Deduytsche et al.,“Conformality Of Al2O3 And AlN Deposited By Plasma−Enhanced Atomic Layer Deposition”,J.Electrochem.Soc.2010,157(4),G111−116によりさらに記載され、その内容は、参照によりその全体が本願に組み込まれる。
図2Bは、最終部分を除き、集積化シーケンスを通じてパターンが下部層に転写されない、自己配列構造についてのパターン密度が増加した構造を形成するための集積化スキームを行うための別の例示的方法を例示する別のフローチャート250を描く。図2Bは、図4A、図4B、図4C、図4D、図4E、図4F、および図4Gと共に検討されるであろう。図2Bを参照して、操作254において、パターン化された層401を有する基板408が、プロセシングチャンバ中に提供され、パターン化された層401は、第1のコンフォーマル層402、マンドレル404、および下部層406を含む。第1のコンフォーマル層402は窒化シリコンを含み、マンドレル404はシリコンを含み、一方で下部層406は例えば、Al3O3または窒化チタンの層にわたって、酸化チタンなどの薄い酸化物の層を含む(while the underlying layer 406 comprises a layer of thin oxide such as titanium oxide,over a layer of titanium nitride,or Al3O3)。上記のとおり、コンフォーマルスペーサー堆積プロセスは、当該技術分野において既知である。操作262において、第1のRIEプロセスをパターン化された層401上で行い、前記RIEプロセスにより、第1のスペーサーパターン403が創出される。また上記のとおり、反応性イオンエッチングプロセスは当業者に既知である。半導体集積化スキームにおけるコンフォーマルスペーサー堆積およびRIEプロセスのより詳細な説明については、その内容が、参照によりその全体が本願に組み込まれる、2013年6月6日に出願された、発明の名称が「サブ−10NMパターニングを達成するための材料プロセシング」である米国特許出願第14/299,752号を参照。
操作266において、第2のコンフォーマルスペーサー堆積を行い、前記堆積により第2のコンフォーマル層422が創出され、前記第2のコンフォーマル層は、AL2O3などを含む。操作270において、第2のコンフォーマル層422上で第2のRIEプロセスを行い、前記RIEプロセスにより、第2のスペーサーパターン423が創出される。操作274において、第1のスペーサーパターンプルを行い、前記プルプロセスにより、第1のスペーサーパターン403が除去される。
操作278において、マンドレル404および第2のスペーサーパターン423を含む2つの異なるマスクを使用して、パターン451が下部層406に転写される。
任意の操作282において、クリーニングプロセスを行い、マンドレル404および第2のスペーサーパターン423の部分を除去し、下部層406から生じた構造464を残す。
操作282において、より具体的な範囲が集積化ステップについて明示されない限り、−5%〜+5%の範囲のパターニング均一性、0.5〜15.0nmの範囲のプルダウン、0.5〜3.0nmの範囲のスリミング、および0.05〜5.0nmの範囲のガウジングのターゲットに合わせるために、選択された2つまたは3つ以上の集積化操作変数を制御する。集積化ステップにおける2つまたは3つ以上の集積化操作変数には、プロセスチャンバ中の温度、圧力、1種または2種以上のガスの流速、1種または2種以上のガスのガス構成要素の分圧、集積化ステップの持続時間、基板の回転速度、およびプラズマを創出するために使用されるエネルギーの強度が含まれ得る。他の集積化操作変数をまた使用してもよい。
図2Bにおいて記載される本発明の別の態様において、基板は酸化シリコンを含み得;マンドレルは窒化シリコンを含み得、第1のRIEプロセスにおいて使用される化学物質は、いくらかのO2を含むC4F8またはC4F6およびキャリアガスArまたはHeであり得;第1のコンフォーマル堆積物はAl2O3を含み;第2のコンフォーマル堆積物は酸化チタンを含み;BCl3またはCF4、またはArを使用する、第1のスペーサーRIEであり;得られた窒化シリコンマンドレルおよび酸化チタンハードマスクを使用して下部層中にパターンを転写する。
上記のとおり、本願発明者らは、均一性、プルダウン、スリミング、およびガウジングを許容可能な範囲内に維持する、2つまたは3つ以上の集積化操作変数を同時に最適化することにより、最良の結果が生じることを見出した。さらに、本願発明者らは、容量結合型プラズマ(CCP)エッチャーにおいてより低い高周波(RF)電力のパルスにより、イオンエネルギー全体の低減が可能となり、より良好なプルダウン性能を得られることを見出した。原子層堆積における利点の追加の説明は、「J. Dekker,et al.,“Inductively Coupled Plasma Etching Of Amorphous AL2O3 And TiO2 Mask Layers Grown By Atomic Layer Deposition”,J.Vac.Sci.Technol.B,Vol.24,No.5,Sep/Oct 2006に含まれ、その内容は、参照によりその全体が本願に組み込まれる。さらに、プラズマ励起原子層堆積の追加の説明は、Deduytsche et al.,“Conformality Of Al2O3 And AlN Deposited By Plasma−Enhanced Atomic Layer Deposition”,J.Electrochem.Soc.2010,157(4),G111−116によりさらに記載され、その内容は、参照によりその全体が本願に組み込まれる。
図3A、3B、3C、3D、3E、3F、3G、および3Hは、自己配列クアドルプルパターニング(SAQP)スキームのための集積化操作の概略的表現であり、ここで、図3Aは、パターン化された層を有する基板を提供する概略的表現300であり、パターン化されたものは、第1のマンドレル、および下部層を含む。図3Bは、第1のコンフォーマルスペーサー堆積を行うための集積化操作の概略的表現310である。図3Cは、第1のコンフォーマル層上で第1のRIEプロセスを行うための集積化操作の概略的表現320である。図3Dは、第1のマンドレルプルプロセスを行うための集積化操作の概略的表現340である。図3Eは、第2のコンフォーマルスペーサー堆積を行うための集積化操作の概略的表現350である。図3Fは、第2のコンフォーマル層上で第2のRIEプロセスを行うための集積化操作の概略的表現360である。図3Gは、第2のマンドレルプルプロセスを行うための集積化操作の概略的表現370である。図3Hは、第2のスペーサーパターンを下部層に転写するための集積化操作の概略的表現380である。
図4A、4B、4C、4D、4E、4F、および4Gは、別のSAQPスキームを行うための集積化操作の概略的表現を描く。図4Aは、異なってパターン化された層を有する基板を提供するための集積化操作の概略的表現400であり、ここで、パターン化された層は、マンドレル、および下部層を含む。図4Bは、窒化シリコンを使用して第1のコンフォーマルスペーサー堆積を行うための集積化操作の概略的表現410である。図4Cは、第1のコンフォーマル層上で第1のスペーサーRIEプロセスを行うための集積化操作の概略的表現420である。図4Dは、第2のコンフォーマルスペーサー堆積を行うための集積化操作の概略的表現440である。図4Eは、第2のコンフォーマル層上での第2のRIEプロセスのための集積化操作の概略的表現450である。図4Fは、第1のスペーサーパターンプルを行うための集積化操作の概略的表現460である。図4Gは、マンドレルおよび第2のスペーサーパターンを含む2つの異なるマスクを使用して、パターンを下部層に転写するための集積化操作の概略的表現470である。
上記の高密度パターニングを達成する材料プロセシングを行うための方法の1つまたは2つ以上を、例えば、以下に記載されるとおりの11を通して図5に記載されるとおりのものなどのプロセシングシステムを利用して行ってもよい。しかしながら、検討される方法は、この例示的提示により範囲が限定されてはならない。より高い密度を達成する材料プロセシングを行うための他の方法も使用することができる。
一態様によれば、上記で特定されたプロセス条件を行うように構成されたプラズマプロセシングシステム500が図5に描かれ、プラズマプロセシングチャンバ510、その上でプロセスされる基板525が固定される基板ホルダ520、および真空ポンプシステム550が含まれる。基板525は、半導体基板、ウエハ、フラットパネルディスプレイ、または液晶ディスプレイであり得る。プラズマプロセシングチャンバ510は、基板525の表面の近接部のプラズマプロセシング領域545におけるプラズマの発生を促進するように構成され得る。イオン化ガスまたはプロセスガスの混合物を、ガス分配システム540を介して導入する。プロセスガスの所定のフローについて、プロセス圧力を、真空ポンプシステム550を使用して調節する。プラズマを利用して予め決定された材料プロセスに特有の材料を創出することができ、および/または基板525の曝露された表面から材料の除去を補助することができる。プラズマプロセシングシステム500は、例えば、200mm基板、300mm基板またはそれより大きなものなどの、あらゆる所望のサイズの基板をプロセスするように構成され得る。
基板525を、例えば、機械的クランプシステムまたは電気的クランプシステム(例えば、静電気クランプシステムなど)などのクランプシステム528を介して基板ホルダ520に固定することができる。さらに、基板ホルダ520は、加熱システム(図示せず)または冷却システム(図示せず)を含み得、これは、基板ホルダ520および基板525の温度を調節するおよび/または制御するように構成されている。加熱システムまたは冷却システムは、冷却される場合には基板ホルダ520から熱を受け取って熱交換システム(図示せず)へ熱を輸送し、または加熱される場合には、熱交換システムから基板ホルダ520へ熱を輸送する、再循環する熱輸送流体のフローを含んでもよい。他の態様において、例えば、抵抗加熱要素などの加熱/冷却要素、あるいは電熱ヒータ/クーラは、基板ホルダ520、ならびにプラズマプロセシングチャンバ510のチャンバ壁およびプラズマプロセシングシステム500内のあらゆる他の構成要素中に含まれ得る。
さらに、基板525および基板ホルダ520間のガスギャップ熱伝導を改善するために、熱輸送ガスが、背面ガス供給システム526を介して基板525の背面へ送達される。基板の温度制御が高温または低温下で必要な場合には、かかるシステムを利用することができる。例えば、背面ガス供給システムは、2つのゾーンのガス分配システムを含むことができ、ここで、ヘリウムガスギャップ圧力を、基板525の中央および端部間で独立して変化させることができる。
図5に示される態様において、基板ホルダ520は電極522を含むことができ、これを通してRF電力がプラズマプロセシング領域545におけるプロセシングプラズマに結合される。例えば、RF発生器530から任意のインピーダンスマッチネットワーク532を通って基板ホルダ520へ、RF電力の送信を介したRF電圧で、基板ホルダ520を電気的にバイアスすることができる。RF電気バイアスは、電子を加熱してプラズマを形成して維持する役割を果たし得る。この構成において、システムは、反応性イオンエッチング(RIE)リアクタとして操作することができ、ここで、チャンバおよび上部ガス注入電極は、研削表面(ground surface)としての役割を果たす。RFバイアスについての典型的な周波数は、約0.1MHz〜約100MHzの範囲であり得る。プラズマプロセシングのためのRFシステムは、当業者には周知である。
さらに、RF電圧での電極522の電気バイアスを、パルスバイアスシグナルコントローラ531を使用してパルスしてもよい。例えば、RF発生器530からのRF電力出力を、オフ状態およびオン状態間でパルスしてもよい。
代替的に、複数の周波数において、RF電力を基板ホルダ電極に印加する。さらに、インピーダンスマッチネットワーク532は、反射電力を低減することによりプラズマプロセシングチャンバ510中でプラズマへのRF電力の輸送を改善することができる。マッチネットワークトポロジー(例えば、L型、π型、T型など)および自動制御法は、当業者には周知である。
ガス分配システム540は、プロセスガスの混合物を導入するためのシャワーヘッド設計を含んでもよい。代替的に、ガス分配システム540は、プロセスガスの混合物を導入して、基板525の上でプロセスガスの混合物の分配を調節するための、複数のゾーンのシャワーヘッド設計を含んでもよい。例えば、複数のゾーンのシャワーヘッド設計を、基板525の上の実質的な中央領域へのプロセスガスフローまたは組成物の量に対して、基板525の上の実質的な周縁領域へのプロセスガスフローまたは組成物を調節するように構成してもよい。
真空ポンプシステム550は、毎秒約5000リットル(およびそれより大きなもの)までのポンプ速度が可能な、ターボ分子真空ポンプ(TMP)およびチャンバ圧力を減少させるためのゲートバルブを含むことができる。ドライプラズマエッチングに利用される従来のプラズマプロセシングデバイスにおいては、毎秒1000〜3000リットルのTMPを用いることができる。TMPは、低圧プロセシング、典型的には約50mTorrより小さいものには有用である。高圧プロセシング(すなわち、約100mTorrより大きなもの)については、メカニカルブースターポンプおよびドライ荒引き(dry roughing)ポンプを使用することができる。さらに、チャンバ圧力をモニタするためのデバイス(図示せず)を、プラズマプロセシングチャンバ510に結合することができる。
コントローラ555は、マイクロプロセッサ、メモリ、および入力をプラズマプロセシングシステム500へ伝達して起動し、プラズマプロセシングシステム500からの出力をモニタするのに十分な制御電圧を発生させることができるデジタルI/Oポートを含む。さらに、コントローラ555を、RF発生器530、パルスバイアスシグナルコントローラ531、インピーダンスマッチネットワーク532、ガス分配システム540、真空ポンプシステム550、および基板加熱/冷却システム(図示せず)、背面ガス供給システム526、および/または静電気クランプシステム528と結合することができ、およびこれらと情報交換することができる。基板525上で例えばプラズマエッチングプロセスなどのプラズマアシストプロセスを行うために、例えば、メモリに保存されたプログラムを利用して、プロセスレシピによるプラズマプロセシングシステム500の上記の構成要素への入力を起動することができる。
コントローラ555を、プラズマプロセシングシステム500に対して局所的に位置させることができ、またはプラズマプロセシングシステム500に対して離隔して位置させることができる。例えば、コントローラ555は、直接接続、イントラネット、および/またはインターネットを使用して、プラズマプロセシングシステム500とデータを交換することができる。コントローラ555を、例えば、顧客サイト(すなわち、デバイス製造業者など)でイントラネットに接続することができ、または例えば、供給者サイト(すなわち、装置製造業者など)でイントラネットに接続することができる。代替的にまたはさらに、コントローラ555は、インターネットに接続することができる。さらに、別のコンピュータ(すなわち、コントローラ、サーバなど)がコントローラ555にアクセスして、直接接続、イントラネット、および/またはインターネットを介してデータを交換することができる。
図6において示される態様において、プラズマプロセシングシステム600は、図5の態様のものと類似であり得、図5を参照して記載されるそれらの構成要素に加え、プラズマ密度を潜在的に増加させるためにおよび/またはプラズマプロセシング均一性を改善するために、定常または機械的回転または電気的回転磁場システム660のいずれかをさらに含む。さらに、回転速度および場の強度を調整するために、コントローラ555を、磁場システム660に結合することができる。回転磁場の設計および実装は当業者に周知である。
図7に示される態様において、プラズマプロセシングシステム700は、図5または図6の態様のものと類似であり得、RF電力をRF発生器772から任意のインピーダンスマッチネットワーク774を通じて結合することができる、上部電極770をさらに含むことができる。上部電極へのRF電力の印加のための周波数は、約0.1MHz〜約200MHzの範囲であり得る。さらに、下部電極への電力の印加のための周波数は、約0.1MHz〜約100MHzの範囲であり得る。さらに、上部電極770へのRF電力の印加を制御するために、コントローラ555は、RF発生器772およびインピーダンスマッチネットワーク774に接続される。上部電極の設計および実装は当業者に周知である。上部電極770およびガス分配システム540を、示されるとおり、同一のチャンバアセンブリ内で設計することができる。代替的に、上部電極770は、基板525の上のプラズマに結合されたRF電力分配を調節するための複数ゾーン電極設計を含んでもよい。例えば、上部電極770は、中心電極および端部電極に分けられていてもよい。
図8に示される態様において、プラズマプロセシングシステム800は、図7の態様のものと類似であり得、基板525に対向する上部電極770に結合された直流(DC)電源890をさらに含むことができる。上部電極770は、電極プレートを含んでもよい。電極プレートは、シリコン含有電極プレートを含んでもよい。さらに、電極プレートは、ドープされたシリコン電極プレートを含んでもよい。DC電源890は、可変DC電源を含むことができる。さらに、DC電源890は、バイポーラDC電源を含むことができる。DC電源890は、DC電源890の極性、電流、電圧、またはオン/オフ状態のモニタリング、調整または制御の少なくとも1つを行うように構成されたシステムをさらに含むことができる。いったんプラズマが形成されると、DC電源890は、弾道電子ビームの形成を促進する。電気フィルタ(図示せず)を利用して、RF電力をDC電電源890から接続解除してもよい。
例えば、DC電源890により上部電極770に印加されたDC電圧は、およそ−2000ボルト(V)〜およそ1000Vの範囲であってもよい。望ましくは、DC電圧の絶対値は、およそ100V以上の値を有し、より望ましくは、DC電圧の絶対値は、およそ500V以上の値を有する。さらに、DC電圧は、負の極性を有することが望ましい。さらに、DC電圧は、上部電極770の表面上で発生した自己バイアス電圧より大きな絶対値を有する負の電圧であることが望ましい。基板ホルダ520に面する上部電極770の表面は、シリコン含有材料からなっていてもよい(may be comprised of)。
図9に示される態様において、プラズマプロセシングシステム900は、図5および6の態様のものと類似であり得、RF電力がRF発生器982を介して任意のインピーダンスマッチネットワーク984を通じて接続される誘導コイル980をさらに含むことができる。RF電力は、誘導コイル980から誘電体窓(図示せず)を通じてプラズマプロセシング領域545へ、誘導的に結合される。誘電コイル980へのRF電力の印加のための周波数は、約10MHz〜約100MHzの範囲であり得る。同様に、チャック電極への電力の印加のための周波数は、約0.1MHz〜約100MHzの範囲であり得る。さらに、スロットファラデーシールド(slotted Faraday shield)(図示せず)を用いて、誘導コイル980およびプラズマプロセシング領域545におけるプラズマ間の容量結合を低減させることができる。さらに、誘導コイル980への電力の印加を制御するために、コントローラ555をRF発生器982およびインピーダンスマッチネットワーク984に結合させることができる。
代替的態様において、図10に示されるとおり、プラズマプロセシングシステム1000は、図9の態様のものと類似であり得、トランスプラズマ結合(TCP)リアクタのように、上からプラズマプロセシング領域545と連通する「スパイラル」コイルまたは「パンケーキ」コイルである誘導コイル1080をさらに含むことができる。誘導結合プラズマ(IPC)源、またはトランス結合プラズマ(TCP)源の設計および実装は当業者に周知である。
代替的に、プラズマを、電子サイクロトロン共鳴(ECR)を使用して形成することができる。なお別の態様において、プラズマをヘリコン波を発射することにより形成する。なお別の態様において、プラズマを、表面波の伝播により形成する。上記の各プラズマ源は、当業者には周知である。
図11に示される態様において、プラズマプロセシングシステム1100は、図5の態様のものと類似であり得、表面波プラズマ(SWP)源1130をさらに含むことができる。SWP源1130は、例えば、マイクロ波電力が電源結合システム1190を介して結合されるラジアルラインスロットアンテナ(RLSA)などのスロットアンテナを含むことができる。
ここで図12を参照すると、態様によるSWP源1230の概略的表現が提供される。SWP源1230は、プラズマに隣接する電磁(EM)波発射装置のプラズマ表面1260上で表面波を発生させることにより、所望のEM波モードのEMエネルギーをプラズマに結合させるように構成されたEM波発射装置1232を含む。さらに、SWP源1230は、EM波発射装置1232に結合され、プラズマを形成するためにEM波発射装置1232にEMエネルギーを提供するように構成された電力結合システム1290を含む。
EM波発射装置1232は、プラズマプロセシング領域545中へマイクロ波電力を照射するように構成されたマイクロ波発射装置を含む(図11を参照)。EM波発射装置1232は、マイクロ波エネルギーが輸送される同軸給電(coaxial feed)1238を介して電源結合システム1290に結合されている。電源結合システム1290は、例えば、2.45GHzマイクロ波電源などのマイクロ波源1292を含む。マイクロ波源1292により発生したマイクロ波エネルギーは、導波管1294を通って、マイクロ波源1292へ反射されて戻されるマイクロ波エネルギーを吸収するためのアイソレータ1296へ誘導される。その後、マイクロ波エネルギーは、同軸変換器1298を介して同軸TEM(横電磁)モードへ変換される。
インピーダンスマッチングおよび改善された電力輸送のために、同調器を用いてもよい。マイクロ波エネルギーを、同軸給電1238を介してEM波発射装置1232に結合し、ここで、同軸給電1238におけるTEMモードからTM(横磁性)モードへの別のモード変化が生じる。同軸給電1238およびEM波発射装置1232の設計に関する追加の詳細は、発明の名称が「エッチング、アッシング、および成膜のためのプラズマプロセシング装置」である、米国特許第5,024,716号明細書中に見出されることができ;その内容は、参照によりその全体が本願に組み込まれる。
ここで図13Aおよび13Bを参照すると、一態様によるEM波発射装置1332の概略的断面図および底面図がそれぞれ0.提供される。EM波発射装置1332は、図13Aに示されるとおり、内部導体1340、外部導体1342、および例えば、空隙などの絶縁体1341を有する同軸給電1338、および内部導体1340および外部導体1342間で結合された複数のスロット1348を有するスロットアンテナ1346を含む。複数のスロット1348により、スロットアンテナ1346の上の第1の領域から、スロットアンテナ1346の下の第2の領域へのEMエネルギーの結合が可能となり、ここで、プラズマは、EM波発射装置1332上のプラズマ表面1360に隣接して形成される。EM波発射装置1332は、徐波(slow wave)プレート1344および共振器プレート1350をさらに含んでもよい。
スロット1348の数、形状、サイズ、および分布は、全てプラズマプロセシング領域545において形成されるプラズマの空間的均一性に寄与し得るファクターである(図11を参照)。よって、スロットアンテナ1346の設計を使用して、プラズマプロセシング領域545におけるプラズマの空間的均一性を制御し得る(図11を参照)。
図13Aにおいて示されるとおり、EM波発射装置1332は、EM波発射装置1332の温度制御のために温度制御流体を流通させるように構成された、流体チャネル1356を含んでもよい。示されないが、EM波発射装置1332を、プラズマ表面1360を通ってプラズマへプロセスガスを導入するようにさらに構成してもよい。示されないが、例えば、図11のガス分配システム(540)などのガス分配システムを、プロセスチャンバ中へプロセスガスを導入するために、EM波発射装置1332および/またはチャンバ壁1352に接続してもよい。
なお、13Aを参照すると、EM波発射装置1332はプラズマプロセシングシステムの上部チャンバ部分に結合されてもよく、ここで、上部チャンバ壁1352およびEM波発射装置1332間で、密封デバイス1354を使用して真空密封を形成し得る。密封デバイス1354は、エラストマーのOリングを含み得;しかしながら、他の既知の密封機構を使用してもよい。
一般に、同軸給電1338の内部導体1340および外部導体1342は、例えば、金属などの導電性材料を含み、一方で徐波プレート1344および共振器プレート1350は、誘電材料を含む。後者においては、徐波プレート1344および共振器プレート1350は、好ましくは同一の材料を含み;しかしながら、異なる材料を使用してもよい。徐波プレート1344の作製のために選択される材料を、対応する自由空間波長の波長に対して、伝播する電磁(EM)波の波長を低減するために選択し、徐波プレート1344および共振器プレート1350の寸法を、EMエネルギーをプラズマプロセシング領域545中へ放射するのに有効な定常波の形成を保証するために選択する(図11を参照)。
徐波プレート1344および共振器プレート1350を、例えば、クオーツ(二酸化シリコン)などのシリコン含有材料を含む誘電材料、または高誘電定数(high−k)材料から作製することができる。例えば、high−k材料は、4の値より大きな誘電定数を有し得る。特に、プラズマプロセシングシステムをエッチングプロセス用途に利用する場合に、エッチングプロセスとの適合性のためにクオーツがしばしば選択される。
例えば、high−k材料は、真性(intrinsic)結晶性シリコン、アルミナセラミック、窒化アルミニウム、およびサファイアを含み得る。しかしながら、他のhigh−k材料を使用してもよい。さらに、特定のプロセスのパラメータに従って、特定のhigh−k材料を選択し得る。例えば、共振器プレート1350を真性結晶性シリコンから作製する場合には、プラズマ周波数は、45℃の温度で2.45GHzを超える。したがって、真性結晶性シリコンは、低温(すなわち、45℃未満)プロセスに適している。より高い温度プロセスについては、共振器プレート1350を、アルミナ(Al2O3)、またはサファイアから作製することができる。
プラズマ均一性およびプラズマ安定性は、上記のとおりSWP源の実際的な実装のための課題のままであり得る。後者においては、共振器プレート−プラズマ界面での、すなわち、プラズマ表面1360での定常波は、プラズマパラメータシフトとしてモードジャンプ(mode jump)になりやすいものであり得る。
図13Aおよび13Bに示されるとおり、EM波発射装置1332を、一態様に従い、プラズマ表面1360中で形成された第1の凹部配置1362および任意にプラズマ表面1360中で形成された第2の凹部配置1364により作製してもよい。
第1の凹部配置1362は、複数の第1の凹部を含んでもよい。第1の凹部配置1362における各凹部は、プラズマ表面1360内で形成された独特の圧痕(indentation)または小さな凹み(dimple)を含んでもよい。例えば、第1の凹部配置1362中の凹部は、円筒形状、円錐形状、截頭円錐(frusto−conical)形状、球形状、非球形状、長方形状、角錐状、またはあらゆる任意の形状を含んでもよい。第1の凹部分布1362は、第1のサイズ(例えば、横(latitudinal)寸法(または幅)、および/または縦(longitudinal)寸法(または深さ))により特徴付けられる凹部を含んでもよい。
第2の凹部配置1364は、複数の凹部を含んでもよい。第2の凹部配置1364における各凹部は、プラズマ表面1360内で形成された独特の圧痕または小さな凹みを含んでもよい。例えば、第2の凹部配置1364中の凹部は、円筒形状、円錐形状、截頭円錐形状、球形状、非球形状、長方形状、角錐状、またはあらゆる任意の形状を含んでもよい。第2の凹部分布1364は、第2のサイズ(例えば、横寸法(または幅)、および/または縦寸法(または深さ))により特徴付けられる凹部を含んでもよい。第1の凹部配置1362中の凹部の第1のサイズは、第2の凹部配置1364中の凹部の第2のサイズと同じであってもよく、または同じでなくてもよい。例えば、第2のサイズは、第1のサイズより小さくてもよい。
図13Aおよび13Bに示されるとおり、共振器プレート1350は、プレート直径およびプレート厚さを有する誘電体プレートを含む。その中で、共振器プレート1350上のプラズマ表面1360は、第1の凹部配置1362および第2の凹部配置1364が形成される、平面1366を含む。代替的に、共振器プレート1350は、非平面形状または任意の形状を含む。その中で、プラズマ表面1360は、第1の凹部配置および第2の凹部配置が形成される(図示せず)、非平面を含んでもよい。例えば、非平面は、凹形状、凸形状、またはそれらの組み合わせであってもよい。
共振器プレート1350中のEMエネルギーの伝播を、EMエネルギーの所定の周波数についての有効波長(λ)および共振器プレート1350についての誘電定数により特徴付けてもよい。プレート厚さは、四分の一波長の整数値(n λ/4、式中、nは、ゼロより大きな整数である)、または二分の一波長の整数値(m λ/2、式中、mは、ゼロより大きな整数である)は、ゼロより大きな整数である)であってもよい。例えば、プレート厚さは、有効波長の約二分の一(λ/2)または有効波長の二分の一より大きく(>λ/2)てもよい。代替的に、プレート厚さは、有効波長の非整数画分(non−integral fraction)(すなわち、二分の一または四分の一波長の整数値ではないもの)であってもよい。なお代替的に、プレート厚さは、約25mm(ミリメートル)〜約45mmの範囲であってもよい。
例として、第1の凹部配置1362は、複数の第1の円筒形状凹部を含んでもよく、ここで、前記複数の第1の円筒形状凹部のそれぞれは、第1の深さおよび第1の直径により特徴付けられる。図13Bにおいて示されるとおり、第1の凹部配置1362は、プラズマ表面1360の外側領域の近くに位置される。
第1の直径は、四分の一波長の整数値(n λ/4、式中、nは、ゼロより大きな整数である)、または二分の一波長の整数値(m λ/2、式中、mは、ゼロより大きな整数である)は、ゼロより大きな整数である)、または有効波長の非整数画分であってもよい。さらに、プレート厚さおよび第1の深さについての第1の差は、四分の一波長の整数値(n λ/4、式中、nは、ゼロより大きな整数である)、または二分の一波長の整数値(m λ/2、式中、mは、ゼロより大きな整数である)は、ゼロより大きな整数である)、または有効波長の非整数画分であってもよい。例えば、第1の直径は、有効波長の約二分の一(λ/2)であってもよく、プレート厚さおよび第1の深さについての第1の差は、有効波長の約二分の一(λ/2)または有効波長の約四分の一(λ/4)であってもよい。さらに、例えば、プレート厚さは、有効波長の約二分の一(λ/2)または有効波長の約二分の一より大きく(>λ/2)てもよい。
代替的に、第1の直径は、約25mm〜約35mmの範囲であってもよく、プレート厚さおよび第1の深さについての第1の差は、約10mm〜約35mmの範囲であってもよい。なお代替的に、第1の直径は、約30mm〜約35mmの範囲であってもよく、第1の差は、約10mm〜約20mmの範囲であってもよい。なお代替的に、第1の直径および/または第1の深さは、プレート厚さの画分であってもよい。
第1の凹部配置1362において、面取り(chamfer)、ラウンド(round)および/またはフィレット(fillet)(すなわち、表面/角部半径または斜角(bevel))を利用して、隣接する表面間の滑らかな表面転移に影響を与えてもよい。円筒状凹部においては、表面半径を、凹部の円筒状側壁および底部間の角部に位置してもよい。さらに、円筒状凹部においては、表面半径を、円筒状側壁およびプラズマ表面1360間の角部に位置してもよい。例えば、表面半径は、約1mm〜約3mmの範囲であってもよい。
別の例として、第2の凹部配置1364は、複数の第2の円筒形状凹部を含んでもよく、前記複数の第2の円筒形状凹部のそれぞれは、第2の深さおよび第2の直径により特徴付けられる。図13Bにおいて示されるとおり、第2の凹部配置1364は、プラズマ表面1360の内側領域の近くに位置される。
第2の直径は、四分の一波長の整数値(n λ/4、式中、nは、ゼロより大きな整数である)、または二分の一波長の整数値(m λ/2、式中、mは、ゼロより大きな整数である)、または有効波長の非整数画分であってもよい。さらに、プレート厚さおよび第2の深さについての第2の差は、四分の一波長の整数値(n λ/4、式中、nは、ゼロより大きな整数である)、または二分の一波長の整数値(m λ/2、式中、mは、ゼロより大きな整数である)、または有効波長の非整数画分であってもよい。例えば、第2の直径は、有効波長の約二分の一(λ/2)であってもよく、プレート厚さおよび第2の深さについての第2の差は、有効波長の約二分の一(λ/2)または有効波長の約四分の一(λ/4)であってもよい。さらに、例えば、プレート厚さは、有効波長の約二分の一(λ/2)または有効波長の約二分の一より大きく(>λ/2)てもよい。
代替的に、第2の直径は、約25mm〜約35mmの範囲であってもよく、プレート厚さおよび第2の深さについての第2の差は、約10mm〜約35mmの範囲であってもよい。なお代替的に、第2の直径は、約30mm〜約35mmの範囲であってもよく、第2の差は、約10mm〜約20mmの範囲であってもよい。なお代替的に、第2の直径および/または第2の深さは、プレート厚さの画分であってもよい。
第2の凹部配置1364において、面取り、ラウンドおよび/またはフィレット(すなわち、表面/角部半径または斜角)を利用して、隣接する表面間の滑らかな表面転移に影響を与えてもよい。円筒状凹部においては、表面半径を、凹部の円筒状側壁および底部間の角部に位置してもよい。さらに、円筒状凹部においては、表面半径を、円筒状側壁およびプラズマ表面1360間の角部に位置してもよい。例えば、表面半径は、約1mm〜約3mmであってもよい。
図13Bを再び参照して、図13Aに描かれたEM波発射装置1332の底面図が提供される。スロットアンテナ1346中の複数のスロット1348が、共振器プレート1350を通してスロットアンテナ1346を見たように例示される。図13Bにおいて示されるとおり、複数のスロット1348が対で配置されてもよく、ここで、対のスロットのそれぞれは、第2のスロットに直交して配向された第1のスロットを含む。しかしながら、複数のスロット1348中のスロットの配向は任意であってもよい。例えば、複数のスロット1348中のスロットの配向は、プラズマ均一性および/またはプラズマ安定性について予め決定されたパターンによるものであってもよい。
第1の凹部配置1362は、複数のスロット1348中のスロットの第1の配列により実質的に配列されている。その中で、第1の凹部配置1362の少なくとも1つの凹部を、複数のスロット1348の1つまたは2つ以上により、配列させ、部分的に配列させ、配列させなくてもよい。第2の凹部配置1364は、複数のスロット1348中のスロットの第2の配列により部分的に配列されているか、または複数のスロット1348中のスロットの第2の配列により配列されていないか、のいずれかである。図13Bに示されるとおり、第2の凹部配置1364は、複数のスロット1348中のスロットの第2の配列により配列されていない。
結果として、第1および第2の凹部配置1362、1364の配列およびそれらの複数のスロット1348の1つまたは2つ以上との配列を最適化して、プラズマ均一性および/または安定性を、制御および/または改善してもよい。プラズマ表面1360およびEM波発射装置1332の設計に関する追加の詳細は、発明の名称が「安定な表面波プラズマ源」である、2009年9月8日に出願されて係属中である米国特許出願公開第2011/0057562号明細書中に見出されることができ;その内容は、参照によりその全体が本願に組み込まれる。
ここで、図14を参照すると、別の態様によるEM波発射装置1432の概略的断面図が提供される。EM波発射装置1432は、図14に示されるとおり、内部導体1440、外部導体1442、および例えば、空隙などの絶縁体1441を有する同軸給電1438、および内部導体1440および外部導体1442間で結合された複数のスロット1448を有するスロットアンテナ1446を含む。複数のスロット1448により、スロットアンテナ1446の上の第1の領域から、スロットアンテナ1446の下の第2の領域へのEMエネルギーの結合が可能となり、ここで、プラズマは、EM波発射装置1432上のプラズマ表面1460に隣接して形成される。EM波発射装置1432は、徐波プレート1444および共振器プレート1450をさらに含んでもよい。
スロット1448の数、形状、サイズ、および分布は、全てプラズマプロセシング領域545において形成されるプラズマの空間的均一性に寄与し得るファクターである(図11を参照)。よって、スロットアンテナ1446の設計を使用して、プラズマプロセシング領域545におけるプラズマの空間的均一性を制御し得る(図11を参照)。
図14において示されるとおり、EM波発射装置1432は、EM波発射装置1432の温度制御のために温度制御流体を流通させるように構成された、流体チャネル1456を含んでもよい。示されないが、例えば、図11のガス分配システム(540)などのガス分配システムを、プロセスチャンバ中へプロセスガスを導入するために、EM波発射装置1432および/またはチャンバ壁1452に接続してもよい。
なお図14を参照すると、EM波発射装置1432はプラズマプロセシングシステムの上部チャンバ部分に結合されてもよく、ここで、上部チャンバ壁1452およびEM波発射装置1432間で、密封デバイス1454を使用して真空密封を形成し得る。密封デバイス1454は、エラストマーのOリングを含み得;しかしながら、他の既知の密封機構を使用し得る。
一般に、同軸給電1438の内部導体1440および外部導体1442は、例えば、金属などの導電性材料を含み、一方で徐波プレート1444および共振器プレート1450は、誘電材料を含む。後者においては、徐波プレート1444および共振器プレート1450は、好ましくは同一の材料を含み;しかしながら、異なる材料を使用してもよい。徐波プレート1444の作製のために選択される材料を、対応する自由空間波長に対して、伝播する電磁(EM)波の波長を低減するために選択し、徐波プレート1444および共振器プレート1450の寸法を、EMエネルギーをプラズマプロセシング領域545中へ放射するのに有効な定常波の形成を保証するために選択する(図11を参照)。
徐波プレート1444および共振器プレート1450を、例えば、クオーツ(二酸化シリコン)などのシリコン含有材料を含む誘電材料、または高誘電定数(high−k)材料から作製することができる。例えば、high−k材料は、4の値より大きな誘電定数を有し得る。特に、プラズマプロセシングシステムをエッチングプロセス用途に利用する場合に、エッチングプロセスとの適合性のためにクオーツがしばしば選択される。
例えば、high−k材料は、真性結晶性シリコン、アルミナセラミック、窒化アルミニウム、およびサファイアを含み得る。しかしながら、他のhigh−k材料を使用してもよい。さらに、特定のプロセスのパラメータに従って、特定のhigh−k材料を選択し得る。例えば、共振器プレート1450を真性結晶性シリコンから作製する場合には、プラズマ周波数は、45℃の温度で2.45GHzを超える。したがって、真性結晶性シリコンは、低温(すなわち、45℃未満)プロセスに適している。より高い温度プロセスについては、共振器プレート1450を、アルミナ(Al2O3)、またはサファイアから作製することができる。
プラズマ均一性およびプラズマ安定性は、上記のとおりSWP源の実際的な実装のための課題のままであり得る。後者においては、共振器プレート−プラズマ界面での、すなわち、プラズマ表面1460での定常波は、プラズマパラメータシフトとしてモードジャンプになりやすいものであり得る。
図14に示されるとおり、EM波発射装置1432を、一態様に従い、プラズマ表面1460中で形成された第1の凹部配置1462および任意にプラズマ表面1460中で形成された第2の凹部配置1464により作製してもよい。
第1の凹部配置1462は、第1のチャネル凹部を含んでもよい。第1の凹部配置1462における第1のチャネル凹部は、截頭円錐形状を有する断面を含んでもよい。しかしながら、他の形状、例えば、球形状、非球形状、長方形状、角錐状、またはあらゆる任意の形状などを使用してもよい。第1の凹部分布1462は、第1のサイズ(例えば、横寸法(または幅)、および/または縦寸法(または深さ))により特徴付けられるチャネル凹部を含んでもよい。
第2の凹部配置1464は、第2のチャネル凹部を含んでもよい。第2の凹部配置1464における第2のチャネル凹部は、截頭円錐形状を有する断面を含んでもよい。しかしながら、他の形状、例えば、球形状、非球形状、長方形状、角錐状、またはあらゆる任意の形状を使用してもよい。第2の凹部分布1464は、第2のサイズ(例えば、横寸法(または幅)、および/または縦寸法(または深さ))により特徴付けられるチャネル凹部を含んでもよい。第1の凹部配置1462における第1のチャネル凹部の第1のサイズは、第2の凹部配置1464における第2のチャネル凹部の第2のサイズと同一であってもよく、または同一でなくてもよい。例えば、第2のサイズは、第1のサイズより大きくてもよい。
図14に示されるとおり、共振器プレート1450は、プレート直径およびプレート厚さを有する誘電体プレートを含む。その中で、共振器プレート1450上のプラズマ表面1460は、第1の凹部配置1462および第2の凹部配置1464が形成される、平面1466を含む。代替的に、共振器プレート1450は、非平面形状または任意の形状を含む。その中で、プラズマ表面1460は、第1の凹部配置および第2の凹部配置が形成される(図示せず)、非平面を含んでもよい。例えば、非平面は、凹形状、凸形状、またはそれらの組み合わせであってもよい。
第1および第2の凹部配置(1462、1464)の配列およびそれらの複数のスロット1448の1つまたは2つ以上との配列を最適化して、プラズマ均一性および/または安定性を、制御および/または改善してもよい。プラズマ表面1460およびEM波発射装置1432の設計に関する追加の詳細は、発明の名称が「プラズマプロセシング装置」である、2006年12月19日に出願された米国特許出願シリアル番号が10/570,631であって係属中の米国特許出願公開第2007/0113788 A1号明細書中に見出されることができ;その内容は、参照によりその全体が本願に組み込まれる。
本発明の特定の態様のみを上記で詳細に記載してきたが、当業者は、本発明の新規な教示および利点から実質的に逸脱せずに態様の多くの変更が可能であることを、容易に、十分に理解するであろう。例えば、集積化スキームは、記載された態様を超えて拡大され、より密度の高い(denser)パターン化されたフィーチャを達成するために、EUVプロセスと組み合わせたまたは組み合わせない、オクトパターニング(octo−patterning)を含むことができる。したがって、かかる全ての変更は、本発明の範囲内に含まれることが意図される。

Claims (20)

  1. 集積化スキームを使用して、基板上で構造のパターン密度を増加させるための方法であって、前記集積化スキームは、集積化ターゲットに合わせるように構成され、前記方法は、以下のステップ:
    パターン化された層を有する基板を提供し、前記パターン化された層は第1のマンドレルおよび下部層を含むステップ;
    第1のコンフォーマルスペーサー堆積を行い、前記堆積により、前記パターン化された層の上で第1のコンフォーマル層が創出されるステップ;
    前記第1のコンフォーマル層上で第1のスペーサー反応性イオンエッチング(RIE)プロセスを行い、前記RIEプロセスにより、第1のスペーサーパターンが創出されるステップ;
    第1のマンドレルプルプロセスを行い、前記第1のマンドレルプルプロセスにより、前記第1のマンドレルが除去されるステップ;
    第2のコンフォーマルスペーサー堆積を行い、前記堆積により、第2のコンフォーマル層が創出されるステップ;
    前記第2のコンフォーマル層上で第2のRIEプロセスを行い、前記RIEプロセスにより、第2のスペーサーパターンが創出され、前記第1のスペーサーパターンは、第2のマンドレルとして使用されるステップ;
    第2のマンドレルプルプロセスを行い、前記第2のマンドレルプルプロセスにより、前記第1のスペーサーパターンが除去されるステップ;および
    前記第2のスペーサーパターンを前記下部層に転写するステップ、
    を含み、
    ここで、前記集積化ターゲットには、パターニング均一性(均一性)、構造のプルダウン(プルダウン)、構造のスリミング(スリミング)、および前記下部層のガウジング(ガウジング)が含まれる、
    方法。
  2. 以下のステップ:
    前記集積化スキームの堆積プロセス、RIEプロセス、プルプロセス、およびパターン転写プロセスを伴う、2つまたは3つ以上のステップにおける、選択された2つまたは3つ以上の集積化操作変数を制御するステップ、
    をさらに含む、請求項1に記載の方法。
  3. 前記第1のマンドレルが、シリコンを含み、前記下部層が、TiO2またはAl2O3、または薄い酸化物原子層堆積材料を含み、前記第1のコンフォーマルスペーサーが、窒化シリコンを含み、前記第2のコンフォーマルスペーサーが、酸化アルミニウムを含む、請求項2に記載の方法。
  4. 前記第1のスペーサーRIEプロセスを、10nm未満の第1のスペーサープルダウンおよび第2のスペーサープルダウンにより行う、請求項3に記載の方法。
  5. 前記第1のスペーサーおよび前記第2のスペーサーの角部でのイオン衝撃により、前記スペーサーRIEプロセスが、低プラズマ電位による高密度プラズマを使用してプルダウンを制限する、請求項4に記載の方法。
  6. 前記スペーサーRIEプロセスが、パルス能を有する容量結合プラズマ(IPC)または誘導結合プラズマ(IPC)源を使用する、請求項5に記載の方法。
  7. 3nm以下への前記第1のスペーサーの最小限界寸法(CD)スリミングを得るように構成された、および0.05nm〜5.00nmの範囲に前記下部層のガウジングを制御するように構成された、高密度プラズマ源により、前記第1のマンドレルプルプロセスを行う、請求項6に記載の方法。
  8. 前記第1のマンドレルプルプロセスが、臭化水素および酸素または塩素および水素の混合物を利用し、前記第2のマンドレルプルプロセスが、CH3F/O2/Ar、CH3/H2/ArまたはCH3/H2/Heを利用する、請求項7に記載の方法。
  9. 前記均一性が、前記構造の平均限界寸法の−5%〜+5%の範囲にあり、前記プルダウンが、0.5〜15.0nmの範囲にあり、スリミングが、0.5〜3.0nmの範囲にあり、ガウジングが、0.05〜5.00nmの範囲にある、請求項1に記載の方法。
  10. 前記第1のマンドレルが、窒化シリコンを含み、前記第1のコンフォーマルスペーサーが、シリコン化合物を含む、請求項1に記載の方法。
  11. 前記第2のコンフォーマルスペーサーが、窒化シリコンを含み、前記第1のスペーサープルプロセスが、臭化水素および酸素の混合物または塩素およびヘリウムの混合物を利用する、請求項9に記載の方法。
  12. 前記第1のマンドレルが、アモルファスカーボン層を含み、前記下部層が、TiO2またはTiNまたはAl2O3、または薄い酸化物原子層堆積材料を含み、前記第1のスペーサープルプロセスが、CH3F/O2/Ar、CH3/H2/ArまたはCH3/H2/Heガス混合物を利用する、請求項1に記載の方法。
  13. 集積化スキームを使用して、基板上で構造のパターン密度を増加させるための方法であって、前記集積化スキームは、集積化ターゲットに合わせるように構成され、前記方法は、以下のステップ:
    プロセシングチャンバ中に基板を提供し、前記基板はパターン化された層を有し、前記パターン化された層は、第1のマンドレルおよび下部層を含むステップ;
    窒化シリコンを使用して、第1のコンフォーマルスペーサー堆積を行い、前記堆積により、前記パターン化された層の上で第1のコンフォーマル層が創出されるステップ;
    前記第1のコンフォーマル層上で第1のスペーサー反応性イオンエッチング(RIE)プロセスを行い、前記RIEプロセスにより、第1のスペーサーパターンが創出されるステップ;
    第1のマンドレルプルプロセスを行い、前記第1のマンドレルプルプロセスにより、前記第1のマンドレルが除去されるステップ;
    第2のコンフォーマルスペーサー堆積を行い、前記堆積により、第2のコンフォーマル層が創出されるステップ;
    前記第2のコンフォーマル層上で第2のRIEプロセスを行い、前記RIEプロセスにより、第2のスペーサーパターンが創出され、前記第1のスペーサーパターンは、第2のマンドレルとして使用されるステップ;
    第2のマンドレルプルプロセスを行い、前記第2のマンドレルプルプロセスにより、前記第1のスペーサーパターンが除去されるステップ;および
    2つのマスクを使用して、前記第1のスペーサーパターンを前記下部層に転写し、前記2つのマスクは前記マンドレルおよび前記第2のスペーサーパターンを含むステップ、
    を含み、
    ここで、前記集積化ターゲットには、パターニング均一性(均一性)、構造のプルダウン(プルダウン)、構造のスリミング(スリミング)、および前記下部層のガウジング(ガウジング)が含まれる、
    方法。
  14. 以下のステップ:
    前記集積化スキームの堆積プロセス、RIEプロセス、プルプロセス、およびパターン転写プロセスを伴う、2つまたは3つ以上のステップにおける、選択された2つまたは3つ以上の集積化操作変数を制御するステップ、
    をさらに含む、請求項13に記載の方法。
  15. 前記第1のマンドレルが、シリコンを含み、前記下部層が、薄い酸化物の第1の層および窒化チタンの第2の層を含み、前記第1のコンフォーマル堆積物が、窒化シリコンを含む、請求項13に記載の方法。
  16. 前記第2のコンフォーマル堆積物が、Al2O3を含み、前記第1のRIEガスが、CH3F/O2/Ar、CH3/H2/ArまたはCH3/H2/Heを含む、請求項15に記載の方法。
  17. 前記均一性が、前記構造の平均限界寸法の−5%〜+5%であり、構造の前記プルダウンが、0.5〜15.0nmの範囲にある、請求項13に記載の方法。
  18. スリミングが、0.5〜3.0nmの範囲にあり、ガウジングが、0.05〜5.00nmの範囲にある、請求項13に記載の方法。
  19. 前記第1のマンドレルが、シリコンを含み、前記第1のコンフォーマル堆積物が、Al2O3であり、前記第2のコンフォーマル堆積物が、TiOであり、前記第1のRIEガスが、BCl3、CF4、Arを含み、前記第2のRIEガスが、ArまたはHeであるキャリアガスを伴うC4F8/O2またはArまたはHeであるキャリアガスを伴うC4F6/O2を含む、請求項13に記載の方法。
  20. 前記集積化スキームの全ての製造プロセスを、前記プロセシングチャンバを使用して行う、請求項13に記載の方法。
JP2016017285A 2015-02-02 2016-02-01 自己配列されたパターニング集積化スキームにおけるパターン密度を増加させるための方法 Pending JP2016143890A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562110847P 2015-02-02 2015-02-02
US62/110,847 2015-02-02

Publications (2)

Publication Number Publication Date
JP2016143890A true JP2016143890A (ja) 2016-08-08
JP2016143890A5 JP2016143890A5 (ja) 2016-11-17

Family

ID=56554696

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016017285A Pending JP2016143890A (ja) 2015-02-02 2016-02-01 自己配列されたパターニング集積化スキームにおけるパターン密度を増加させるための方法

Country Status (4)

Country Link
US (1) US9673059B2 (ja)
JP (1) JP2016143890A (ja)
KR (1) KR20160094893A (ja)
TW (1) TWI596654B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180057561A (ko) * 2016-11-22 2018-05-30 도쿄엘렉트론가부시키가이샤 패턴 형성 방법
KR20190030182A (ko) * 2017-09-13 2019-03-21 도쿄엘렉트론가부시키가이샤 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
JP2021518675A (ja) * 2018-03-20 2021-08-02 東京エレクトロン株式会社 プラットフォーム、及び統合的エンドツーエンド自己整合マルチパターニングプロセスの操作方法
WO2022132413A1 (en) * 2020-12-15 2022-06-23 Applied Materials, Inc. Tin oxide and tin carbide materials for semiconductor patterning applications

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102216380B1 (ko) * 2016-12-08 2021-02-17 주식회사 원익아이피에스 반도체 소자의 패터닝 방법
US10418244B2 (en) 2017-01-18 2019-09-17 Qualcomm Incorporated Modified self-aligned quadruple patterning (SAQP) processes using cut pattern masks to fabricate integrated circuit (IC) cells with reduced area
US10483943B2 (en) 2017-06-27 2019-11-19 Globalfoundries Inc. Artificially oriented piezoelectric film for integrated filters
WO2019018204A1 (en) * 2017-07-15 2019-01-24 Micromaterials Llc MASK DIAGRAM FOR EXTENDED EDGE WINDOW ERROR WINDOW PATTERN (EPE) FLOW PATTERN FLOW
CN109411337A (zh) 2017-08-16 2019-03-01 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
TW201921498A (zh) * 2017-09-27 2019-06-01 美商微材料有限責任公司 選擇性氧化鋁蝕刻的使用
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
WO2020014352A1 (en) * 2018-07-11 2020-01-16 Tokyo Electron Limited Methods to reduce gouging for core removal processes using thermal decomposition materials
US11106126B2 (en) * 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing EUV photo masks
DE102019110706A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen von euv-fotomasken
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
EP3660890B1 (en) 2018-11-27 2021-08-11 IMEC vzw A method for forming an interconnection structure
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11189464B2 (en) 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential
CN112825300A (zh) * 2019-11-20 2021-05-21 长鑫存储技术有限公司 半导体器件及其制备方法
US20230066676A1 (en) * 2020-02-21 2023-03-02 Lam Research Corporation Core removal
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US20220359201A1 (en) * 2021-05-06 2022-11-10 Applied Materials, Inc. Spacer patterning process with flat top profile
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
JP2008511991A (ja) * 2004-09-01 2008-04-17 マイクロン テクノロジー,インコーポレイテッド マスク材料の変換
JP2010199573A (ja) * 2009-02-02 2010-09-09 Sumitomo Electric Ind Ltd 半導体光デバイスの製造方法
JP2011065136A (ja) * 2009-06-26 2011-03-31 Rohm & Haas Electronic Materials Llc 自己整合型スペーサー多重パターニング方法
JP2011066393A (ja) * 2009-06-26 2011-03-31 Rohm & Haas Electronic Materials Llc 電子デバイスを形成する方法
JP2011129874A (ja) * 2009-11-19 2011-06-30 Toshiba Corp パターン形成方法及びパターン形成装置
JP2013012546A (ja) * 2011-06-28 2013-01-17 Toshiba Corp 不揮発性記憶装置の製造方法
JP2013153064A (ja) * 2012-01-25 2013-08-08 Toshiba Corp 半導体装置の製造方法
US20140083972A1 (en) * 2012-09-27 2014-03-27 Tokyo Electron Limited Pattern forming method
JP2014511575A (ja) * 2011-03-01 2014-05-15 アプライド マテリアルズ インコーポレイテッド デュアルロードロック構成内の除害及びストリップ処理チャンバ
JP2014096494A (ja) * 2012-11-09 2014-05-22 Dainippon Printing Co Ltd パターン形成方法
JP2014145634A (ja) * 2013-01-29 2014-08-14 Hitachi High-Technologies Corp パターン評価装置、及び、パターン評価装置を備えた外観検査装置
JP2014150268A (ja) * 2002-10-31 2014-08-21 Applied Materials Inc シリコン含有ハードマスクをエッチングする方法
JP2014216535A (ja) * 2013-04-26 2014-11-17 東京エレクトロン株式会社 エッチング方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
KR100546098B1 (ko) * 2000-12-27 2006-01-24 주식회사 하이닉스반도체 열산 발생제를 포함하는 포토레지스트 조성물을 이용하여포토레지스트 패턴 폭 감소 현상을 개선하는 방법
JP4563729B2 (ja) 2003-09-04 2010-10-13 東京エレクトロン株式会社 プラズマ処理装置
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8415884B2 (en) 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
US8980111B2 (en) * 2012-05-15 2015-03-17 Tokyo Electron Limited Sidewall image transfer method for low aspect ratio patterns
WO2014197324A1 (en) * 2013-06-04 2014-12-11 Tokyo Electron Limited Mitigation of asymmetrical profile in self aligned patterning etch
TWI540650B (zh) * 2014-08-06 2016-07-01 聯華電子股份有限公司 鰭狀場效電晶體元件製造方法
US9165765B1 (en) * 2014-09-09 2015-10-20 Tokyo Electron Limited Method for patterning differing critical dimensions at sub-resolution scales
US9685332B2 (en) * 2014-10-17 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative self-aligned patterning
US9449835B2 (en) * 2014-12-05 2016-09-20 Globalfoundries Inc. Methods of forming features having differing pitch spacing and critical dimensions
US9379017B1 (en) * 2015-01-12 2016-06-28 Globalfoundries Inc. Method of forming a semiconductor structure including a plurality of fins and an alignment/overlay mark

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
JP2014150268A (ja) * 2002-10-31 2014-08-21 Applied Materials Inc シリコン含有ハードマスクをエッチングする方法
JP2008511991A (ja) * 2004-09-01 2008-04-17 マイクロン テクノロジー,インコーポレイテッド マスク材料の変換
JP2010199573A (ja) * 2009-02-02 2010-09-09 Sumitomo Electric Ind Ltd 半導体光デバイスの製造方法
JP2011065136A (ja) * 2009-06-26 2011-03-31 Rohm & Haas Electronic Materials Llc 自己整合型スペーサー多重パターニング方法
JP2011066393A (ja) * 2009-06-26 2011-03-31 Rohm & Haas Electronic Materials Llc 電子デバイスを形成する方法
JP2011129874A (ja) * 2009-11-19 2011-06-30 Toshiba Corp パターン形成方法及びパターン形成装置
JP2014511575A (ja) * 2011-03-01 2014-05-15 アプライド マテリアルズ インコーポレイテッド デュアルロードロック構成内の除害及びストリップ処理チャンバ
JP2013012546A (ja) * 2011-06-28 2013-01-17 Toshiba Corp 不揮発性記憶装置の製造方法
JP2013153064A (ja) * 2012-01-25 2013-08-08 Toshiba Corp 半導体装置の製造方法
JP2014072226A (ja) * 2012-09-27 2014-04-21 Tokyo Electron Ltd パターン形成方法
US20140083972A1 (en) * 2012-09-27 2014-03-27 Tokyo Electron Limited Pattern forming method
JP2014096494A (ja) * 2012-11-09 2014-05-22 Dainippon Printing Co Ltd パターン形成方法
JP2014145634A (ja) * 2013-01-29 2014-08-14 Hitachi High-Technologies Corp パターン評価装置、及び、パターン評価装置を備えた外観検査装置
JP2014216535A (ja) * 2013-04-26 2014-11-17 東京エレクトロン株式会社 エッチング方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180057561A (ko) * 2016-11-22 2018-05-30 도쿄엘렉트론가부시키가이샤 패턴 형성 방법
JP2018084644A (ja) * 2016-11-22 2018-05-31 東京エレクトロン株式会社 パターン形成方法
JP6994828B2 (ja) 2016-11-22 2022-02-04 東京エレクトロン株式会社 パターン形成方法
KR102400925B1 (ko) 2016-11-22 2022-05-20 도쿄엘렉트론가부시키가이샤 패턴 형성 방법
KR20190030182A (ko) * 2017-09-13 2019-03-21 도쿄엘렉트론가부시키가이샤 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
KR102412439B1 (ko) 2017-09-13 2022-06-22 도쿄엘렉트론가부시키가이샤 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
JP2021518675A (ja) * 2018-03-20 2021-08-02 東京エレクトロン株式会社 プラットフォーム、及び統合的エンドツーエンド自己整合マルチパターニングプロセスの操作方法
JP7395094B2 (ja) 2018-03-20 2023-12-11 東京エレクトロン株式会社 プラットフォーム、及び統合的エンドツーエンド自己整合マルチパターニングプロセスの操作方法
WO2022132413A1 (en) * 2020-12-15 2022-06-23 Applied Materials, Inc. Tin oxide and tin carbide materials for semiconductor patterning applications

Also Published As

Publication number Publication date
US9673059B2 (en) 2017-06-06
KR20160094893A (ko) 2016-08-10
TWI596654B (zh) 2017-08-21
TW201642311A (zh) 2016-12-01
US20160225640A1 (en) 2016-08-04

Similar Documents

Publication Publication Date Title
US9673059B2 (en) Method for increasing pattern density in self-aligned patterning integration schemes
JP2016143890A5 (ja)
US8808562B2 (en) Dry metal etching method
US9443731B1 (en) Material processing to achieve sub-10nm patterning
TWI524419B (zh) 具有減小的側壁間隔物薄型化之高選擇性間隔物蝕刻程序
TWI679674B (zh) 蝕刻基板的方法
TWI627724B (zh) 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
US8974684B2 (en) Synchronous embedded radio frequency pulsing for plasma etching
JP6175570B2 (ja) ガスパルスを用いる深掘りシリコンエッチングのための方法
US8946030B2 (en) Method for forming dummy gate
TWI514467B (zh) 形成間隔物側壁上之含SiOCl的層以預防間隔物蝕刻時之臨界尺寸損失
TWI555080B (zh) Dry etching method
US9570312B2 (en) Plasma etching method
TW201630068A (zh) 電漿蝕刻方法
JP7110034B2 (ja) エッチングする方法及びプラズマ処理装置
JP6877316B2 (ja) エッチング方法
JP2022039910A (ja) 基板処理方法及びプラズマ処理装置
TW202209476A (zh) 用於光阻底層應用之矽碳化物膜的乾式蝕刻方法
JP2020177958A (ja) 基板処理方法及び基板処理装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160928

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170228

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170427

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170912

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171206

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20171215

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20180223

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181226

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181227

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190131