JP2016516293A - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
JP2016516293A
JP2016516293A JP2015561262A JP2015561262A JP2016516293A JP 2016516293 A JP2016516293 A JP 2016516293A JP 2015561262 A JP2015561262 A JP 2015561262A JP 2015561262 A JP2015561262 A JP 2015561262A JP 2016516293 A JP2016516293 A JP 2016516293A
Authority
JP
Japan
Prior art keywords
substrate
boat
space
processing apparatus
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015561262A
Other languages
English (en)
Other versions
JP6062075B2 (ja
Inventor
ヤン,イル−クヮン
ソン,ビョン−ギュ
キム,キョン−フン
キム,ヨン−キ
シン,ヤン−シク
Original Assignee
ユ−ジーン テクノロジー カンパニー.リミテッド
ユ−ジーン テクノロジー カンパニー.リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ユ−ジーン テクノロジー カンパニー.リミテッド, ユ−ジーン テクノロジー カンパニー.リミテッド filed Critical ユ−ジーン テクノロジー カンパニー.リミテッド
Publication of JP2016516293A publication Critical patent/JP2016516293A/ja
Application granted granted Critical
Publication of JP6062075B2 publication Critical patent/JP6062075B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本発明の一実施形態によると,基板処理装置は基板が積載される積載空間及び前記基板に対する工程が行われる工程空間を提供するチャンバと,上下方向に沿って起立配置された一つ以上のボートフレームを具備し,昇降を介して前記積載空間及び前記工程空間に移動可能なボートと,前記ボートフレーム上に設置されて前記ボートフレームの長さ方向に沿って離隔配置され,前記ボートが前記工程空間に移動することで前記基板が上部面に順次載置される複数のサセプタと,前記ボートフレームと平行に配置される垂直ロッド及び前記垂直ロッドの内側面から突出されて前記基板を支持する基板支持チップと,を具備し,前記垂直ロッドは前記ボートが前記工程空間に移動する際,前記ボートフレームの長さ方向に沿って相対的に移動する一つ以上のホルダと,を含む。【選択図】図3

Description

本発明は基板処理装置に関するものであり,より詳しくは,バッチタイプ(batch type)の基板処理装置の基板の裏面に工程ガスによって工程膜が形成されることを防止する基板処理装置に関するものである。
半導体,フラットパネルディスプレー及び太陽電池の製造に使用される基板処理装置(熱処理装置)は,シリコンウェハやガラスのような基板上に蒸着されている所定の薄膜に対して結晶化,相変化などの工程のために必須的な熱処理ステップを担当する装置である。代表的なアニーリング(annealing)装置としては,液晶ディスプレーまたは薄膜型結晶質シリコン太陽電気を製造する場合,基板上に蒸着された非晶質シリコンをポリシリコンに結晶化するシリコン結晶化装置がある。
このような結晶化工程(熱処理工程)を行うためには,所定の薄膜が形成されている基板をヒーティングする熱処理装置が必要である。例えば,非晶質シリコンの結晶化のためには少なくとも550度乃至600度の温度が必要である。ここで熱処理とは,対象物または基板を所望の温度,即ち典型的には約350〜1300度範囲の温度に加熱するプロセスを意味する。半導体基板の熱処理は,例えば加熱処理,アニーリング,ドーパント物質の拡散またはドライビング,化学的蒸着,即ちCVDのような物質層の蒸着または成長及び基板からの物質のエッチングまたは除去を含む。
一般的に,基板処理装置には一つの基板に対して基板に対する熱処理を行う枚葉式(single wafer type)と,複数個の基板に対して熱処理を行うバッチ式(batch type)がある。枚葉式は装置の構成が簡単な利点があるが,生産性が落ちる短所があるため最近の大量生産用にはバッチ式が脚光を浴びている。
バッチ式基板処理装置は工程処理能力を向上するためにチャンバ内部に基板を多量にローディングするための基板ローディング用ボートを含む。バッチ式基板処理装置は工程を行う際にスロットが基板の縁部分を局部的に載置しているため,例えば,膜形成の工程中に半導体基板の両面及び半導体基板の下部を支持するボート及びスロットなどにも全て半導体工程膜が形成される。
よって,半導体製造用の膜工程が完了した後,基板をアンローディングする際,基板とスロットに一体に連結された幕が破砕され,破砕の際にパーティクルが発生し,基板の裏面は機械的ストレスが次第に増加して半導体基板がたわむ現象が発生する。それだけでなく,半導体基板の裏面の膜均一性は表面の膜均一性に比べて著しく減少するため,後続工程,特にフォトリソグラフィ工程(photolithography)に数多くの工程問題をも引き起こす。
本発明の目的は,基板の裏面に工程膜が形成されることを防止することにある。
本発明の他の目的は,以下の詳細な説明と添付した図面からより明確になるはずである。
本発明の一実施形態によると,基板処理装置は基板が積載される積載空間及び前記基板に対する工程が行われる工程空間を提供するチャンバと,上下方向に沿って起立配置された一つ以上のボートフレームを具備し,昇降を介して前記積載空間及び前記工程空間に移動可能なボートと,前記ボートフレーム上に設置されて前記ボートフレームの長さ方向に沿って離隔配置され,前記ボートが前記工程空間に移動することで前記基板が上部面に順次に載置される複数のサセプタと,前記ボートフレームと平行に配置される垂直ロッド及び前記垂直ロッドの内側面から突出されて前記基板を支持する基板支持チップと,を具備し,前記垂直ロッドは前記ボートが前記工程空間に移動する際,前記ボートフレームの長さ方向に沿って相対的に移動する一つ以上のホルダと,を含む。
前記チャンバは前記積載空間と前記工程空間との間に形成された連結空間を有し,前記基板処理装置は前記連結空間の上に配置されて前記積載空間と前記工程空間を遮断し,前記垂直ロッドの上端に連結されて前記ボートが前記積載空間から前記工程空間に移動する際,前記ボートと共に前記工程空間に移動するグリッププレート(grip plate)を更に含む。
前記チャンバは,上部が開放され,一側に形成されて前記基板が出入する通路及び前記積載空間を有する下部チャンバと,前記下部チャンバの上部に設置されて前記下部チャンバの開放された上部と連通する開放された下部を有し,前記工程空間を提供する上部チャンバと,を具備する。
前記基板処理装置は前記連結空間に設置され,内部面から突出されて上部に置かれる前記グリッププレートを支持する支持突起を有する支持リングを更に含む。
前記ホルダは,前記ボートが前記工程空間に移動する際に前記サセプタに形成された挿入孔を介して相対的に移動する。
前記サセプタは上部面から陥没して前記基板と対応する形状を有し,前記基板が載置される座溝を有する。
前記基板処理装置は,前記ボートフレームの上部に連結され,前記ボートが前記工程空間に移動する際に前記グリッププレートを持ち上げる上部遮断プレートを更に含む。
前記上部遮断プレートは,前記ホルダと対応する位置に形成された貫通孔を有し,前記ホルダは前記ボートが前記工程空間に移動する際に前記貫通孔を介して移動する。
前記ボートフレームは,内側面から突出されてサセプタを支持し,前記ボートフレームの長さ方向に沿って離隔配置されるサセプタ支持チップを具備する。
本発明の一実施形態によると,基板をサセプタにローディングすることで基板の裏面に工程膜が形成されることを防止することができる。よって,基板の歩留りを向上し基板の生産性を増大することができる。
本発明の一実施形態による基板処理装置を概略的に示す図である。 図1に示すボートが工程位置に転換された状態を示す図である。 図1に示すボートユニットを示す斜視図である。 図3に示すサセプタを示す図である。 基板がサセプタにローディングされる過程を示す図である。 基板がサセプタにローディングされる過程を示す図である。 基板がサセプタにローディングされる過程を示す図である。 基板がサセプタにローディングされる過程を示す図である。 ボートユニットが工程位置に転換される過程を示す図である。 ボートユニットが工程位置に転換される過程を示す図である。 ボートユニットが工程位置に転換される過程を示す図である。
以下,本発明の好ましい実施形態を添付した図1乃至図11を参照して,より詳細に説明する。本発明の実施形態は様々な形に変形されてもよく,本発明の範囲が後述する実施形態に限定されると解釈してはならない。本実施形態は,当該発明の属する技術分野における通常の知識を有する者に本発明を,より詳細に説明するために提供されるものである。よって,図面に示す各要素の形状はより明確な説明を強調するために誇張されている可能性がある。
また,実施形態で説明する基板W以外に多様な被処理体にも応用可能であることは当業者にとっては当然である。例えば,本発明で処理される基板の種類は特に制限されない。よって,半導体工程全般で一般的に利用されるガラス,プラスチック,ポリマー,シリコンウェハ,ステンレススチール,サファイアなどの多様な材質の基板が本発明の基板処理装置で処理される。また,本発明において基板を処理するということは,基板自体だけでなく,基板上に形成された所定の膜またはパターンなどを処理することを含むと理解される。
また,本発明の基板処理装置の用途も特に制限されない。よって,本発明の基板処理装置を利用して全般的な半導体工程,例えば,蒸着工程,エッチング工程,表面改質工程などが行われる。それだけでなく,以下では発明の主な構成要素についてのみ説明し,利用される目的に応じて多様な構成要素が本発明の基板処理装置に追加的に含まれてもよいことは自明である。
図1は本発明の一実施形態による基板処理装置を概略的に示す図であり,図2は図1に示すボートが工程位置に転換された状態を示す図である。図1及び図2に示すように,基板処理装置100は上部が開放された形状を有する下部チャンバ20及び下部チャンバ20の開放された上部を閉鎖し,基板Wに対する工程が行われる工程空間12を提供する工程チャンバ10を含む。支持リング30は工程チャンバ10の開放された下部及び下部チャンバ20の開放された上部(「連結空間」)に設置され,内周面から突出された支持突起35を有する。
下部チャンバ20は基板Wが移送される通路3を有し,基板Wは通路3を介して下部チャンバ20の内部に移送される。例えば,下部チャンバ20の通路3は多数の工程チャンバに連結されるトランスファチャンバ(図示せず)と連結され,基板Wはトランスファチャンバからエンドエフェクター(end-effector)(図5の65)によって下部チャンバ20の内部に移送される。ゲートバルブ4は通路3の外側に設置され,通路3はゲートバルブ4によって開放及び閉鎖される。
基板処理装置100の内部には通路3を介して移送される複数の基板Wが積載されるボート(boat)41が設置される。ボート41は下部チャンバ20の内部に提供された積載空間22内に位置する間に(または「積載位置」),基板Wがボート20内に積載される。ボート20は基板が積載される上部ボート42と上部ボート42の下部に連結されて上部ボート42を支持する下部ボート43を含む。ボート41が工程位置に転換された場合,工程チャンバ20の開放された下部を介して起こる工程チャンバ10内部の熱損失を最小化するために,下部ボート43上には多数の断熱プレート67を具備する。
ボートユニット40は,後述するように,基板Wが積載されるサセプタ55を具備する上部ボート42,断熱プレート67を具備する下部ボート43,そして基板Wをサセプタ55に容易にローディングするためのグリッププレート44,ホルダ45及び上部遮断プレート50を含む。サセプタ55内において,基板Wは,ボート41の内部方向に平行に突出されるように形成されたサセプタ支持チップ(図5の62)(またはスロット)上に置かれ,後述するように,ボート41が上昇することで基板Wは次のサセプタ支持チップ(図5の62)上に置かれたサセプタ55に順次に上下方向に積載される。基板Wはサセプタ55上に全て積載され,ボート41には内部反応チューブ14の内部に移動して(または「工程位置」),基板に対する工程が行われる。
また,下部ボート43の下部にはベースプレート69が設置され,ベースプレート69はボートと共に昇降する。ボート41が工程位置に転換される場合,ベースプレート69は工程空間16を閉鎖する。断熱プレート67及びベースプレート69はセラミックやクオーツ(quartz)またはメタルにセラミックをコーティングした材質であり,工程が行われる際に反応領域内の熱が積載空間22に移動することを最小化する。
ベースプレート29の下部にはモータハウジング70が設置される。回転軸72の一側は下部ボート43と連結され,回転軸72を回転する回転モータ(図示せず)はモータハウジング70の内部に固定設置される。回転モータはボート41が工程位置に転換されて基板Wに対する工程が行われる場合,回転軸72を駆動して回転軸72と共にボート41を回転する。
モータハウジング70はブラケット(bracket)74に固定され,ブラケット74は下部チャンバ20連結された昇降ロッド76に沿って昇降する。ブラケット74は昇降ロッド76に螺合され,昇降ロッド76は昇降モータ77と連結され,昇降ロッド76の回転によってブラケット74が昇降する。即ち,昇降モータ77の回転によって昇降ロッド76が回転し,それによってブラケット74とモータハウジング70は共に昇降する。
工程チャンバ10は基板Wに対する工程を行うように内部空間12を有し,内部空間12には内部反応チューブ14が設置される。内部反応チューブ14は基板Wに対する工程が行われるように工程空間16を形成し,工程チャンバ10の内部空間12と工程空間16を区画する。即ち,図2に示すように,ボート41が工程空間16の内部に上昇して工程位置に転換された場合,基板Wに対する工程空間16を最小化して工程を行う。
基板処理装置100は基板Wを加熱するヒータ(図示せず)を含み,例えば,工程チャンバ10の上部または側壁に沿ってヒータが具備される。また,基板処理装置100は工程空間16に工程ガスを供給する複数個の供給ノズル82及び排気ノズル84を具備する。供給ノズル82は工程チャンバ10の一側に形成されたガス供給ライン80に連結され,外部から工程ガスを供給される。
供給ノズル82及び排気ノズル84にそれぞれ形成された供給口及び排気口(図示せず)を介して基板Wに向かって工程ガスを供給及び排気可能であり,供給口及び排気口の高さは互いに異なり得る。供給ノズル82及び供給口は工程空間16に位置して積層された基板Wに反応ガスを供給する。また,排気ノズル84は供給ノズル82の反対側に設置されて工程中に発生する未反応ガス及び反応副産物を外部に排出する。
排気ノズル84は第1出力ライン85と連結され,排気ノズル84を介して吸入された未反応ガス及び反応副産物は第1出力ライン85を介して排出される。出力バルブ(図示せず)は第1出力ライン85上に設置され,第1出力ライン85を開閉する。また,第1出力ライン85上にはターボポンプ86が設置されて未反応ガス及び反応副産物を強制排出する。下部チャンバ20も同じく第2出力ライン88が連結され,第2出力ライン88を介して積載空間22が排気される。
上述したように,基板処理装置100は工程処理能力を向上するために内部に基板Wを多量にローディングするためのボート41を含む。従来のボートユニット40を使用して基板に関する工程を行う場合,ボート41のスロット上に置かれた基板は,基板の縁部分が局部的に載置されている。例えば,工程ガスを介して基板上に工程膜を形成する場合,半導体基板の両面及び基板Wの下部を支持するボート41及びスロットなどにも全て工程膜が形成される。
よって,半導体製造用膜工程が完了した後,基板Wをアンローディングする際,基板Wとスロットに一体に連結された膜が破砕され,破砕の際にパーティクルが発生し基板Wの裏面は機械的ストレスが次第に増加して基板Wがたわむ現象が発生する。それだけでなく,基板Wの裏面の膜均一性は表面の膜均一性に比べて著しく減少するため,後続工程,特にフォトリソグラフィに多くの工程問題を引き起こす。
即ち,本発明の基板処理装置100は基板Wの裏面に膜が形成されることを防止するために基板Wをサセプタ55の上部にローディングし,工程ガスが基板Wの裏面に流入することを阻止して基板Wの裏面に膜が形成されることを防止することができる。続く図面を介して,基板Wの裏面に膜が形成されることを防止するボートユニット40について図面と共に詳細に説明する。
図3は図1に示すボートユニットを示す斜視図であり,図4は図3に示すサセプタを示す図である。図3及び図4に示すように,ボートユニット40は上部ボート42と下部ボート43を含む。上部ボート41には柱状のボートフレーム60のサセプタ支持チップ(図5の62)に置かれるサセプタ55及びボートフレーム60の上部に連結される上部遮断プレート50が含まれ,下部ボート43には上述したように断熱プレート67が具備される。また,ボートユニット40はグリッププレート44及びホルダ45を更に含むが,以下で省略される発明の構成及び作動過程は上述した内容に代替される。
上述したように,支持リング30は工程チャンバ10の開放された下部及び下部チャンバ20の開放された上部に固定設置され,内周面から突出された支持突起35を有する。グリッププレート44は支持突起35の上部に配置され,支持突起35によって支持されて積載空間22と工程空間16を遮断する。ホルダ45はグリッププレート44の下面に垂直連結されるが,好ましくは,エンドエフェクター(図5の65)によって移送された基板Wを容易に支持するために予め設定された位置に複数個配置される。
ホルダ45はグリッププレート44の下面にそれぞれ垂直連結される垂直ロッド47及び垂直ロッド47の下端部に連結されてエンドエフェクター(図5の65)によって移送された基板Wを支持する基板支持チップ49を具備する。基板支持チップ49は引き入れられた基板Wを容易に支持するために基板Wの中心に向かって突出する形状を有する。
上部ボート41は柱状に起立設置される複数のボートフレーム60を有する。ボートフレーム60の間にエンドエフェクター(図5の65)を介して基板Wを引き出し引き入れるために前面開放部61が形成される。前面開放部61は平面状に移動するエンドエフェクター(図5の65)の作業経路に対して半円柱状にボードフレーム60が配置されて前面開放部61を成す。サセプタ支持チップ(図5の62)はボートフレーム60の長さ方向に沿って離隔配置され,サセプタ55はサセプタ支持チップ(図5の62)の上部に置かれて支持される。
サセプタ55は基板Wに対応する形状を有し,縁にはホルダ45が挿入される挿入孔57がそれぞれ形成される。また,サセプタ55は基板Wの裏面が密着されるように基板Wが置かれる座溝59を有し,基板Wの外周面は座溝59にローディングされる。よって,基板Wの裏面はもちろん,基板Wの外周面への工程ガスの流入を遮断することで基板Wの側面及び裏面の膜形成を防止する。
ボードフレーム60の上部には上部遮断プレート50が連結され,上部遮断プレート50は基板Wに対応する形状を有する。上部遮断プレート50の断面積はグリッププレート44の断面積より小さく,サセプタ55の断面積より大きい。上部遮断プレート50の内面には貫通孔52がそれぞれ形成され,ホルダ45は貫通孔52に挿入される。また,ボートフレーム60の下部には下部遮断プレート(図示せず)が設置されるが,下部遮断プレートの下部には下部ボート43が連結される。下部ボート43は上下方向に沿って複数個の断熱プレート67が積層された状態に具備される。
図5乃至図8は,基板がサセプタにローディングされる過程を示す図である。上述したように,エンドエフェクター65は下部チャンバ20の通路3を介して基板Wを移送する。エンドエフェクター65に置かれた基板Wは下部チャンバ20を介してボート41の前面開放部61に移送される。上部遮断プレート50と最上端に配置されるサセプタ55,そして最上端に配置されるサセプタから順次に積層されるサセプタ55の間の離隔空間(pitch)Dはエンドエフェクター65の作業空間を提供する。また,上部ボート41はボードユニット40の下部に連結された昇降ユニット(図示せず)によって予め設置された間隔で昇降する。
図5に示すように,基板支持チップ49はボートが上昇するにつれ上部遮断プレート50の貫通孔52を貫通してサセプタ55の上部から予め設定された間隔Dに離隔された状態に配置される。上部遮断プレート50と基板支持チップ49の間Dにエンドエフェクター65が引き入れられ,図6に示すようにエンドエフェクター65が下降することで基板Wは基板支持チップ49に着座され,エンドエフェクター65はサセプタ55と基板支持チップ49の間Dに配置される。
基板Wが基板支持チップ49に着座した後,図7に示すようにエンドエフェクター65はボート41の前面開放部61から引き出される。エンドエフェクター65がボート41の前面開放部61から完全に引き出されると,図8に示すようにボート41は予め設定された高さに上昇し,基板Wはサセプタ55の座溝59上にローディングされる。基板支持チップ49はボードの上昇によって最上端に設置されるサセプタ55とその下部に配置されるサセプタ55との間に予め設定された間隔Dを維持し,図5乃至図8に示す過程を順次に繰り返して基板Wは積層されたサセプタ55上にそれぞれローディングされる。
図9乃至図11は,ボートユニットが工程位置に転換される過程を示す図である。図9乃至図11に示すように,ホルダ45はボートユニット40が昇降することで上部遮断プレート50の貫通孔52及びサセプタ55の挿入孔57を介して移動する。よって,ホルダ45はエンドエフェクター65から案内された基板Wを支持し,更に基板Wをサセプタ55に容易にローディングする。言い換えると,基板Wはエンドエフェクター65から基板支持チップ49に着座され,基板支持チップ49からサセプタ55に順次にローディングされる。
基板Wが上部から最下端に設置されるサセプタ55上に全てローディングされると,上部遮断プレート50とグリッププレート44が互いに接する。ボート41が上昇することで上部遮断プレート50はグリッププレート44を支持して共に上昇し,ボート41は工程位置に転換されて基板Wに対する工程を行う。上部遮断プレート50の上部面はガイド溝51を有し,グリッププレート44の下部面にはガイド溝51に対応する形状のガイド突起(図示せず)を具備する。よって,ボート41が上昇することでガイド突起がガイド溝51に差し込まれた状態で安定的に連結されて上昇する。
即ち,本発明の基板処理装置100はサセプタ55上に基板Wをローディングすることで基板の裏面に工程ガスが流入されることを防止する。また,サセプタ55上に形成された座溝59に基板Wがローディングされた状態で基板Wに対する工程を行う場合,基板Wの裏面及び側面に工程膜が形成されることを最小化する。それだけでなく,基板Wがサセプタ55にローディングされた状態で基板Wに対する熱処理を行う場合,基板の前面がサセプタ55と接触した状態でサセプタ55を介して熱伝達が行われるため,基板Wの温度均一性を向上することができる。一方,基板Wをスロット上にローディングする場合,基板Wが局部的に接触して温度均一性が低下する恐れがある。よって,本基板処理装置100は基板Wの歩留りを向上し,基板Wの生産性を増大することができる。
本発明を好ましい実施形態を介して詳細に説明したが,それらとは異なる実施形態ないし実施例も可能である。よって,後述する特許請求の範囲の技術的思想と範囲は好ましい実施形態に限定されない。
本発明は,多様な形態の半導体製造設備及び製造方法に応用される。

Claims (9)

  1. 基板が積載される積載空間及び前記基板に対する工程が行われる工程空間を提供するチャンバと,
    上下方向に沿って起立配置された一つ以上のボートフレームを具備し,昇降を介して前記積載空間及び前記工程空間に移動可能なボートと,
    前記ボートフレーム上に設置されて前記ボートフレームの長さ方向に沿って離隔配置され,前記ボートが前記工程空間に移動することで前記基板が上部面に順次に置かれる複数のサセプタと,
    前記ボートフレームと平行に配置される垂直ロッド及び前記垂直ロッドの内側面から突出されて前記基板を支持する基板支持チップと,を具備し,前記垂直ロッドは前記ボートが前記工程空間に移動する際,前記ボートフレームの長さ方向に沿って相対的に移動する一つ以上のホルダと,を含む基板処理装置。
  2. 前記チャンバは前記積載空間と前記工程空間との間に形成された連結空間を有し,
    前記基板処理装置は前記連結空間上に配置されて前記積載空間と前記工程空間を遮断し,前記垂直ロッドの上端に連結されて前記ボートが前記積載空間から前記工程空間に移動する際,前記ボートと共に前記工程空間に移動するグリッププレートを更に含む請求項1記載の基板処理装置。
  3. 前記チャンバは,
    上部が開放され,一側に形成されて前記基板が出入する通路及び前記積載空間を有する下部チャンバと,
    前記下部チャンバの上部に設置されて前記下部チャンバの開放された上部と連通する開放された下部を有し,前記工程空間を提供する上部チャンバと,を具備する請求項1記載の基板処理装置。
  4. 前記基板処理装置は,前記連結空間に設置され,内部面から突出されて上部に置かれる前記グリッププレートを支持する支持突起を有する支持リングを更に含む請求項2記載の基板処理装置。
  5. 前記ホルダは,前記ボートが前記工程空間に移動する際に前記サセプタに形成された挿入孔を介して相対的に移動する請求項1記載の基板処理装置。
  6. 前記サセプタは上部面から陥没して前記基板と対応する形状を有し,前記基板が置かれる座溝を有する請求項1記載の基板処理装置。
  7. 前記基板処理装置は,
    前記ボートフレームの上部に連結され,前記ボートが前記工程空間に移動する際に前記グリッププレートを持ち上げる上部遮断プレートを更に含む請求項1記載の基板処理装置。
  8. 前記上部遮断プレートは,前記ホルダと対応する位置に形成された貫通孔を有し,
    前記ホルダは,前記ボートが前記工程空間に移動する際に前記貫通孔を介して移動する請求項7記載の基板処理装置。
  9. 前記ボートフレームは,内側面から突出してサセプタを支持し,前記ボートフレームの長さ方向に沿って離隔配置されるサセプタ支持チップを具備する請求項1記載の基板処理装置。
JP2015561262A 2013-04-08 2014-02-17 基板処理装置 Active JP6062075B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020130038077A KR101390474B1 (ko) 2013-04-08 2013-04-08 기판처리장치
KR10-2013-0038077 2013-04-08
PCT/KR2014/001258 WO2014168331A1 (ko) 2013-04-08 2014-02-17 기판처리장치

Publications (2)

Publication Number Publication Date
JP2016516293A true JP2016516293A (ja) 2016-06-02
JP6062075B2 JP6062075B2 (ja) 2017-01-18

Family

ID=50892923

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015561262A Active JP6062075B2 (ja) 2013-04-08 2014-02-17 基板処理装置

Country Status (6)

Country Link
US (1) US9368380B2 (ja)
JP (1) JP6062075B2 (ja)
KR (1) KR101390474B1 (ja)
CN (1) CN104981898B (ja)
TW (1) TWI534900B (ja)
WO (1) WO2014168331A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110828365A (zh) * 2019-11-19 2020-02-21 全球能源互联网研究院有限公司 退火组件及退火方法
JP2020528496A (ja) * 2017-07-26 2020-09-24 エーエスエム・アイピー・ホールディング・ベー・フェー 化学的堆積、処理および/または浸透装置およびその使用方法
WO2020188743A1 (ja) * 2019-03-19 2020-09-24 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置および記録媒体

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101364701B1 (ko) * 2011-11-17 2014-02-20 주식회사 유진테크 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
KR101408084B1 (ko) * 2011-11-17 2014-07-04 주식회사 유진테크 보조가스공급포트를 포함하는 기판 처리 장치
KR20130107001A (ko) * 2012-03-21 2013-10-01 엘지이노텍 주식회사 증착 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR20170102020A (ko) * 2015-01-23 2017-09-06 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 내의 퇴적 계곡들을 제거하기 위한 신규한 서셉터 설계
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR101760316B1 (ko) * 2015-09-11 2017-07-21 주식회사 유진테크 기판처리장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR101731488B1 (ko) * 2015-10-27 2017-05-02 주식회사 유진테크 기판처리장치 및 튜브 조립체 조립방법
KR101685096B1 (ko) * 2015-11-17 2016-12-12 주식회사 유진테크 기판처리장치 및 이를 이용한 기판처리방법
US9946028B2 (en) * 2015-12-18 2018-04-17 Finisar Corporation Wafer assembly including a guide pin wafer
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN107871681B (zh) 2016-09-27 2019-10-08 北京北方华创微电子装备有限公司 一种去气腔室和半导体处理装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR101905822B1 (ko) * 2017-03-21 2018-10-08 주식회사 유진테크 기판 처리장치
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI655313B (zh) * 2017-11-22 2019-04-01 台灣積體電路製造股份有限公司 半導體晶圓加工系統及加工半導體晶圓的方法
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10720353B2 (en) * 2018-07-04 2020-07-21 Murata Machinery, Ltd. Opener apparatus
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102581806B1 (ko) 2020-12-30 2023-09-25 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
TW202247335A (zh) 2021-02-08 2022-12-01 荷蘭商Asm Ip私人控股有限公司 晶舟、用於對準及旋轉晶舟之總成、用於處理晶圓之垂直批式熔爐總成
CN113206170A (zh) * 2021-04-23 2021-08-03 青岛赛瑞达电子科技有限公司 一种应用于半导体、光伏管式设备闭管软着陆的方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1098001A (ja) * 1996-09-20 1998-04-14 Nec Yamagata Ltd ウェハ加熱炉
JP2001512789A (ja) * 1997-08-11 2001-08-28 トーレックス・イクイップメント・コーポレーション ミニ・バッチ式プロセス・チャンバ
US20010047764A1 (en) * 1997-08-11 2001-12-06 Robert C. Cook Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US20040099219A1 (en) * 2002-11-26 2004-05-27 Seung-Kap Park Semiconductor manufacturing system
US20050126482A1 (en) * 2003-12-15 2005-06-16 Myung-Koo Jeong Forming thin film on semiconductor wafer
US20050158164A1 (en) * 2004-01-15 2005-07-21 Byung-Il Lee Semiconductor manufacturing system and wafer holder for semiconductor manufacturing system
US20070084827A1 (en) * 2005-10-07 2007-04-19 Rohm And Haas Electronic Materials Llc Semiconductor processing
JP2013026504A (ja) * 2011-07-22 2013-02-04 Tokyo Electron Ltd 熱処理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6235652B1 (en) 1997-08-11 2001-05-22 Torrex Equipment Corporation High rate silicon dioxide deposition at low pressures
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US20050188923A1 (en) 1997-08-11 2005-09-01 Cook Robert C. Substrate carrier for parallel wafer processing reactor
US6780464B2 (en) 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US6287635B1 (en) 1997-08-11 2001-09-11 Torrex Equipment Corp. High rate silicon deposition method at low pressures
US6167837B1 (en) 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
JP4719070B2 (ja) 2006-04-27 2011-07-06 株式会社日立国際電気 半導体製造装置及び半導体製造方法及びボート
US7921803B2 (en) * 2007-09-21 2011-04-12 Applied Materials, Inc. Chamber components with increased pyrometry visibility
KR101108576B1 (ko) 2010-04-08 2012-01-30 국제엘렉트릭코리아 주식회사 서셉터 및 그것을 구비한 종형 기판 처리 설비
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1098001A (ja) * 1996-09-20 1998-04-14 Nec Yamagata Ltd ウェハ加熱炉
JP2001512789A (ja) * 1997-08-11 2001-08-28 トーレックス・イクイップメント・コーポレーション ミニ・バッチ式プロセス・チャンバ
US20010047764A1 (en) * 1997-08-11 2001-12-06 Robert C. Cook Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US20040099219A1 (en) * 2002-11-26 2004-05-27 Seung-Kap Park Semiconductor manufacturing system
JP2004179600A (ja) * 2002-11-26 2004-06-24 Tera Semicon Corp 半導体製造装置
US20050126482A1 (en) * 2003-12-15 2005-06-16 Myung-Koo Jeong Forming thin film on semiconductor wafer
JP2005183908A (ja) * 2003-12-15 2005-07-07 Tera Semicon Corp 半導体製造装置及びこれを利用した半導体基板の薄膜形成方法
US20050158164A1 (en) * 2004-01-15 2005-07-21 Byung-Il Lee Semiconductor manufacturing system and wafer holder for semiconductor manufacturing system
JP2005203727A (ja) * 2004-01-15 2005-07-28 Tera Semicon Corp 半導体製造装置の基板ホルダ
US20070084827A1 (en) * 2005-10-07 2007-04-19 Rohm And Haas Electronic Materials Llc Semiconductor processing
JP2007134688A (ja) * 2005-10-07 2007-05-31 Rohm & Haas Electronic Materials Llc 半導体処理
JP2013026504A (ja) * 2011-07-22 2013-02-04 Tokyo Electron Ltd 熱処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020528496A (ja) * 2017-07-26 2020-09-24 エーエスエム・アイピー・ホールディング・ベー・フェー 化学的堆積、処理および/または浸透装置およびその使用方法
WO2020188743A1 (ja) * 2019-03-19 2020-09-24 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置および記録媒体
US11990359B2 (en) 2019-03-19 2024-05-21 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
CN110828365A (zh) * 2019-11-19 2020-02-21 全球能源互联网研究院有限公司 退火组件及退火方法

Also Published As

Publication number Publication date
TWI534900B (zh) 2016-05-21
CN104981898B (zh) 2017-07-28
CN104981898A (zh) 2015-10-14
JP6062075B2 (ja) 2017-01-18
US20160013086A1 (en) 2016-01-14
WO2014168331A1 (ko) 2014-10-16
KR101390474B1 (ko) 2014-05-07
TW201443998A (zh) 2014-11-16
US9368380B2 (en) 2016-06-14

Similar Documents

Publication Publication Date Title
JP6062075B2 (ja) 基板処理装置
JP6158436B2 (ja) 基板処理装置
US10475641B2 (en) Substrate processing apparatus
US10480073B2 (en) Rotating semi-batch ALD device
JP5964107B2 (ja) 半導体製造装置および半導体製造方法
JP2014201804A5 (ja)
JPWO2007018139A1 (ja) 半導体装置の製造方法および基板処理装置
KR100975717B1 (ko) 기상성장장치와 기상성장방법
TW201122151A (en) Hot wire chemical vapor deposition (CVD) inline coating tool
KR102125512B1 (ko) 기판 처리 장치 및 기판 처리 방법
TW201729256A (zh) 基板處理裝置以及使用該裝置的基板處理方法
JP2016516291A (ja) 基板処理装置
JP2016516292A (ja) 基板処理装置
TWI792051B (zh) 基板處理裝置、昇降機構、半導體裝置之製造方法及程式
TW201802999A (zh) 傳送腔室與具有其之處理系統以及對應處理基板之方法
KR101167989B1 (ko) 기판 처리 장치
US20180090323A1 (en) Substrate processing apparatus
JP4115331B2 (ja) 基板処理装置
KR101628786B1 (ko) 기판 처리장치 및 기판 처리방법
KR101570227B1 (ko) 기판 처리장치 및 기판 처리방법
JPH11102903A (ja) 薄膜形成方法および薄膜形成装置ならびに半導体装置の製造方法
KR20120001572A (ko) 플라즈마를 이용한 기판 처리 장치
KR20170030728A (ko) 기판 처리 장치

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160908

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161101

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20161101

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161122

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161213

R150 Certificate of patent or registration of utility model

Ref document number: 6062075

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250