JP2015515760A - Escの接着剤の浸食を防止するための方法及び装置 - Google Patents

Escの接着剤の浸食を防止するための方法及び装置 Download PDF

Info

Publication number
JP2015515760A
JP2015515760A JP2015508932A JP2015508932A JP2015515760A JP 2015515760 A JP2015515760 A JP 2015515760A JP 2015508932 A JP2015508932 A JP 2015508932A JP 2015508932 A JP2015508932 A JP 2015508932A JP 2015515760 A JP2015515760 A JP 2015515760A
Authority
JP
Japan
Prior art keywords
chuck
bonding material
protective
electrostatic chuck
base
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015508932A
Other languages
English (en)
Other versions
JP6180510B2 (ja
JP2015515760A5 (ja
Inventor
シン リン
シン リン
ジェニファー ワイ サン
ジェニファー ワイ サン
サマンス バンダ
サマンス バンダ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015515760A publication Critical patent/JP2015515760A/ja
Publication of JP2015515760A5 publication Critical patent/JP2015515760A5/ja
Application granted granted Critical
Publication of JP6180510B2 publication Critical patent/JP6180510B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B3/00Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form
    • B32B3/02Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form characterised by features of form at particular places, e.g. in edge regions
    • B32B3/04Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form characterised by features of form at particular places, e.g. in edge regions characterised by at least one layer folded at the edge, e.g. over another layer ; characterised by at least one layer enveloping or enclosing a material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B3/00Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form
    • B32B3/02Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form characterised by features of form at particular places, e.g. in edge regions
    • B32B3/08Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form characterised by features of form at particular places, e.g. in edge regions characterised by added members at particular parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/12Interconnection of layers using interposed adhesives or interposed materials with bonding properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/23Sheet including cover or casing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/23Sheet including cover or casing
    • Y10T428/239Complete cover or casing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Engineering (AREA)

Abstract

本発明の実施形態は、接合材を処理環境内で処理環境から遮蔽するための保護要素を有するチャンバコンポーネントを提供する。保護要素は、保護シール、保護構造、耐食性フィラー、又はそれらの組合せを含むことができる。本発明の実施形態は、処理チャンバ内で使用される接合材の浸食を減少させ、したがって処理品質を向上させ、保守コストを削減する。

Description

背景
(発明の分野)
本発明の実施形態は、接合材によって接合され、熱的及び/又は化学的安定性を高めた複合構造体に関する。特に、本発明の実施形態は、接合材によって接合された2以上のコンポーネントを有する静電チャックに関する。
(関連技術の説明)
半導体処理チャンバは、所望の特性を達成するために、多くの場合、2以上のコンポーネントを接合材で共に接合することによって形成されたパーツを含む。例えば、処理中に基板を支持し固定するために使用される静電チャックは、通常、熱伝導性の接合材により金属ベースに結合した誘電体パックを含む。接合材は、熱伝導性及び/又は電気絶縁性を提供しながら、異なるコンポーネント間の確実な接続を提供する。しかしながら、接合材は、特に、処理が高温で行われる場合に、又は過酷な化学的環境で行われる場合に、処理に悪影響を与える可能性がある。例えば、プラズマに曝露された場合、静電チャック内の接合材は浸食し、処理チャンバ内で粒子汚染を引き起こす粒子を生成する可能性がある。
本発明の実施形態は、接合材の浸食及び粒子の発生を防止するための装置及び方法を提供する。
概要
本発明の実施形態は、チャンバコンポーネント(例えば、静電チャック)を接合する際に用いられる接合材を、処理環境内で処理環境から保護するための装置及び方法を提供する。
本発明の一実施形態は、処理チャンバ内で使用するための装置を提供する。装置は、第1コンポーネントと、第2コンポーネントと、第1コンポーネントと第2コンポーネントを接合する接合材を含む。装置は、処理チャンバ内で接合材が浸食するのを防止するための保護要素を更に含む。
本発明の別の一実施形態は、処理チャンバ用の静電チャックを提供する。静電チャックは、上で基板を支持するように構成された上面と、上面と反対側の下面を有するチャック本体と、チャック本体の下面に面する上面を有するチャックベースと、チャック本体の下面とチャックベースの上面を接合する接合材を含む。静電チャックは、接合材が処理チャンバ内の環境に起因して浸食するのを防止するための保護要素を更に含む。
本発明の上述した構成を詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本発明の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限していると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
本発明の一実施形態に係る保護シールを有する静電チャックの断面側面図である。 図1Aの静電チャックの拡大部分断面図である。 本発明の別の一実施形態に係る静電チャックの拡大部分断面図である。 本発明の別の一実施形態に係る静電チャックの拡大部分断面図である。 チャック本体を取り除いた図1Aの静電チャックの上面図である。 本発明の一実施形態に係る保護構造を有する静電チャックの断面側面図である。 本発明の別の一実施形態に係る保護構造を有する静電チャックの拡大部分断面図である。 本発明の別の一実施形態に係る保護構造を有する静電チャックの拡大部分断面図である。 本発明の実施形態に係る静電チャックを有するプラズマ処理チャンバの断面側面図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。また、一実施形態の要素及び構成を更なる説明なしに他の実施形態に有益に組み込んでもよいと理解される。
詳細な説明
本発明の実施形態は、チャンバコンポーネント(例えば、静電チャック)を接合する際に用いられる接合材を、処理環境内で処理環境から保護するための装置及び方法を提供する。一実施形態では、保護シールが、処理環境への曝露から接合材の端部を囲むように配置される。別の一実施形態では、保護構造が、チャンバコンポーネント内で接合材の周囲に形成され、これによって処理環境への接合材の直接的な曝露を防止する。本発明の別の一実施形態によれば、シリコンフィラーとポリマー接着ベースを含む接合材は、粒子生成を削減したチャンバコンポーネントを接合する際に使用される。本発明の実施形態は、処理チャンバ内で使用される接合材の浸食を減少させ、したがって処理品質を向上させ、保守コストを削減する。
図1Aは、本発明の一実施形態に係る静電チャック100の断面側面図である。静電チャック100は、処理中に基板を支持するために基板処理チャンバ内に移動可能に又は固定して配置することができる。静電チャック100は、接合材130によってチャックベース120に固定されたチャック本体110を含む。保護シール140が、接合材130の周囲に配置され、これによって処理環境から接合材130を保護する。
チャック本体110は、基板102を上で支持するための実質的に平坦な上面112を有する。チャック本体110はまた、接合材130を受け入れ、チャックベース120に結合するための実質的に平坦な下面114を有する。チャック本体110は、誘電体材料から形成することができる。一実施形態では、チャック本体110は、セラミックス(例えば、酸化アルミニウム)から形成することができる。電極118は、チャック本体110内に埋め込むことができる。電極118は、薄い金属板又は金属メッシュとすることができる。電極118は、基板102の実質的に全領域を包含するのに十分な大きさであることができる。電極118は、電源(例えば、直流電圧源)に結合し、これによって基板120を上面112上に引き付け固定するための静電チャッキング力を生成することができる。オプションとして、電極118はまた、処理チャンバ内で容量結合プラズマを生成するためのRF電源に結合することができる。
チャック本体110は、リフトピン104を通過可能にする3以上の貫通孔116を有することができる。チャック本体110は、処理される基板102の形状に応じて成形することができる。例えば、チャック本体110は、円形の基板(例えば、半導体基板)を支持するための円形ディスクであることができる。チャック本体110はまた、矩形基板(例えば、液晶ディスプレイデバイスを形成するためのガラス基板)を支持するための矩形の板であることができる。
チャックベース120は、接合材130及びチャック本体110を受けるための上面122を有する。上面122は、実質的に平面であってもよい。チャックベース120は、熱伝導性材料(例えば、金属)から形成し、これによってチャック本体110の温度制御を提供することができる。一実施形態では、チャックベース120は、アルミニウムから形成される。チャックベース120は、内部に形成された冷却チャネル123を有することができる。冷却チャネル123は、冷却流体源(図示せず)に接続され、冷却流体をその中で循環させることができる。チャックベース120はまた、チャック本体110に加熱を提供するための内部に形成された1以上の加熱素子124を有することができる。チャックベース120は、貫通して形成され、リフトピン104を受け入れるためのチャック本体110内の貫通孔116と整列したリフトピン開口部126を有することができる。一実施形態では、ケーシング要素132、134、138は、リフトピン104を案内するためのリフトピン開口部126内に配置することができる。ケーシング要素132、134、138は、誘電体材料(例えば、VESPEL(商標名)ポリマー又はポリエーテルエーテルケトン(PEEK))から形成し、これによってリフトピン104とチャックベース120との間に電気絶縁を提供することができる。
接合材130は、チャック本体110の下面114と、チャックベース120の上面122の間に配置され、これによってチャック本体110とチャックベース120を共に接合する。接合材130は、チャック本体110及びチャックベース120と同様に成形されたシート状であることができる。一実施形態では、接合材130のシートは、リフトピン104用の貫通孔116に対応する3以上のリフトピン孔136を含むことができる。あるいはまた、接合材130は、液体ベースであってもよい。
接合材130は、異種材料(例えば、セラミックスチャック本体110と金属チャックベース120)間で確実な接合を提供するように構成される。接合材130はまた、接合されたコンポーネント間に熱伝導を提供する。一実施形態では、接合材130は、熱伝導性を提供するために、フィラー材料を有するポリマー系接着剤であることができる。接合材130は、耐食性フィラーを有するポリマー系接着剤であってもよい。一実施形態では、フィラー材料は、シリコンを含み、ポリマー系材料は、シリコーンを含む。シリコーンベース内のフィラー材料の濃度は、1W/mKの熱伝導率を達成するように制御される。
従来の接合材中のフィラーは、エッチング化学物質(例えば、NF又はNFとOを含む処理環境)中で浸食され、白色粒子を生成し、汚染を引き起こす可能性がある。従来のフィラーを有する接合材と比較して、シリコーンベースとシリコンフィラーを有する接合材130は、NF又はNFとOを含む処理化学物質内で粒子汚染を引き起こさず、こうして大幅に粒子汚染を低減する。例えば、NF化学物質中では、ベースポリマーのシリコーンは攻撃され、従来のフィラー(例えば、アルミナ(Al)フィラー)を残して、シリコーンは揮発し、後で粒子の問題を引き起こす。シリコンのフィラーが使用される場合、シリコンフィラーとシリコーンベースの両方が、NFの攻撃を受けて揮発し、粒子を発生させることはない。シリコンフィラーを含む接合材130は、単独で、又は保護シール140と組み合わせて使用することができる。
一実施形態では、保護シール140は、接合材130を囲み、これによって接合材130と処理環境の間の相互作用を防止する。一実施形態では、凹部144が、チャック本体110とチャックベース120の間に形成され、これによって保護シール140を適切な位置に保持することができる。オプションで、保護シール142は、接合材130内の各リフトピン孔136の周囲に配置され、これによって接合材130がリフトピン孔136内の環境に曝露されるのを防止することができる。
保護シール140、142は、処理環境に曝露された場合に、チャック本体110とチャックベース120との間のシールを維持する材料から形成することができる。保護シール140、142は、エラストマー(例えば、パーフルオロエラストマー)から形成することができる。NF又はNFとOを含むエッチング化学物質内での動作に対して、保護シール140、142は、KALREZ(商標名)8575パーフルオロエラストマー、DUPRA(商標名)192パーフルオロエラストマー、KALREZ(商標名)8085パーフルオロエラストマー、CHEMRAZ(商標名)XPEエラストマーのうちの1つによって形成することができるが、これらに限定されない。他の材料(例えば、L7505、SC513(Chemraz513)、L8015r1、G758(Perlast)、L8010)もまた、保護シール用に適している。保護シール140、142は、O−リング、ガスケット、カップシールの形態であっても、又は別の適切な形状を有していてもよい。オプションとして、保護シール140、142は、バネで留められてもよい。
図1Bに示されるように、静電チャック100内の凹部144は、チャックベース120及びチャック本体110の下面114の中に形成された段差によって画定されることができる。チャックベース120だけが、凹部144を形成するために機械加工されるので、この構成は実施が簡単である。図1Eは、チャック本体110を除去して、保護シール140、142を可視化した静電チャック100のチャックベース120の上面図である。
あるいはまた、保護シール140用の凹部は、チャック本体110とチャックベース120の両方に、又はチャック本体110のみに形成することができる。
図1Cは、本発明の別の一実施形態に係る静電チャック100Cの拡大部分断面図である。静電チャック100Cは、保護シール140が、チャック本体110の下面114に形成された段差115Cと、チャックベース120の上面122に形成された段差128Cとによって画定される凹部144C内に固定されている以外は、図1Aの静電チャック100と同様である。この構成は、接合材130が保護シール140の中央部分によって覆われることを確実にする。
図1Dは、本発明の別の一実施形態に係る静電チャック100Dの拡大部分断面図である。静電チャック100Dは、保護シール140が、チャック本体110の下面114に形成された段差115Dとチャックベース120の上面122によって画定される凹部144D内に固定されている以外は、図1Aの静電チャック100と同様である。チャック本体110だけが凹部144dを形成するために機械加工される。
接合材の浸食を防止するために保護シールを使用することに加えて、又は代替して、本発明の実施形態はまた、接合材を保護するためのチャック本体及び/又はチャックベース内の遮蔽機能を有する静電チャックを提供する。
図2Aは、本発明の一実施形態に係る、接合材保護構造、すなわち遮蔽機能を有する静電チャック200の断面側面図である。静電チャック200は、処理中に基板を支持するために基板処理チャンバ内に移動可能に又は固定して配置することができる。静電チャック100と同様に、静電チャック200は、接合材130と同じ接合材230によってチャックベース220に固定されたチャック本体210を含む。保護構造216が、チャック本体210及び/又はチャックベース220内に形成され、これによって処理環境から接合材230を遮蔽することができる。
チャック本体210は、基板102を上で支持するための上面212と、接合材230を受けるための実質的に平坦な下面214を有する。チャック本体210は、誘電体材料で形成することができる。電極218は、チャック本体210内に埋め込まれてもよい。
チャックベース220は、接合材230及びチャック本体210を受けるための上面222を有する。チャックベース220は、温度制御用に、内部に冷却チャネル223を形成し、内部に加熱素子224を埋め込むことができる。
接合材230は、チャック本体210の下面214とチャックベース220の上面222の間に配置され、これによってチャック本体210とチャックベース220を共に接合する。チャック本体210の下面214とチャックベース220の上面222は、チャック本体210及びチャックベース220の外縁よりも小さくすることができ、これによってチャック本体210及び/又はチャックベース220によって形成された保護構造216によって、接合材230を取り囲むことができる。
図2Aに示した実施形態によれば、チャックベース220は、上面222から降下する段差228を有する。保護構造216は、チャック本体210の下面214から下方へ延びるリップの形をしている。リップは連続的であってもよい。チャック本体210が、チャックベース220に固定される場合、リップ216形状の保護構造216は、段差228の上方に延び、チャック本体210の下面214とチャックベース220の上面との間の界面を覆い、こうして接合材230を横方向に囲み、接合材230をチャンバ内の環境への視線の露出から遮蔽する。保護シールを使用せずに、静電チャック200の保護構造216は、保護シールを維持し交換する必要性を排除し、したがって運用コストを削減する。一実施形態では、連続リップ217はまた、各々のリフトピン通路219の周りにも形成され、これによって接合材230が処理環境に曝露されることを防止することができる。
保護構造216は、チャック本体210からリップの形状となるように図2Aには示されているが、接合材230を遮蔽するために任意の適切な構造を使用することができる。例えば、図2Bは、チャックベース220から上方へ延び、チャック本体210の外縁216Bを囲む保護リップ228Bの形態の保護構造を有する静電チャック200Bの拡大部分断面図である。図2Cに示される静電チャック200Cでは、チャックベース220は、チャック本体210からリップ215Cを受けるように構成された溝229を有する。溝229及びリップ215Cは、静電チャック200Cを囲む処理環境から接合材230を分離するための迷路を形成する。
本発明の実施形態によれば、1以上の保護要素(例えば、保護シール、保護構造、又は耐食性フィラー)を単独又は組み合わせて使用することができ、これによって接合材の処理環境内での浸食を防止する。
本発明の実施形態に係る静電チャックは、処理中に基板を支持するために、様々な処理チャンバ(例えば、プラズマエッチングチャンバ、化学蒸着チャンバ、プラズマ強化蒸着チャンバ、原子層堆積チャンバ、イオン注入チャンバ)内で使用することができる。
図3は、静電チャック100を内部に配置したプラズマ処理チャンバ300の断面側面図である。静電チャック100は、各種の基板(例えば、半導体基板、レチクル)を支持するために使用することができ、各種の基板サイズを収容することができる。あるいはまた、上記のいずれかの静電チャックが、静電チャック100の位置で使用することができる。
プラズマ処理チャンバ300は、処理容積341を画定する底部322、側壁326、及び側壁326の上方に配置されるチャンバ蓋343を含む。プラズマ処理チャンバ300は、処理容積341内に配置されたライナ323を更に含み、これによって側壁326が化学物質及び/又は処理副生成物から損傷及び汚染されるのを防止する。スリットバルブドア開口部335は、側壁326及びライナ323を貫通して形成され、これによって基板及び基板搬送機構の通過を可能にする。スリットバルブドア324は、スリットバルブドア開口部335を選択的に開閉する。
静電チャック100は、処理容積341内に配置される。リフト327は、基板102の処理中及びロード/アンロード時に、静電チャック100に対してリフトピン(図示せず)を上下動させるように構成される。静電チャック100は、チャッキング力を発生させるためのバイアス電源321に結合され、これによって静電チャック100上に基板102を固定することができる。
1以上の処理ガスは、ガス供給源303から入口344を介して処理容積341に供給することができる。真空ポンプ330は、処理容積341と流体連通している。真空ポンプ330は、処理容積341をポンピングし、プレナム336を介して低圧環境を維持するために使用することができる。
プラズマ処理チャンバ300は、チャンバ蓋343の外側に配置されたアンテナアセンブリ370を含む。アンテナアセンブリ370は、マッチングネットワーク373を介して、高周波(RF)プラズマ電源374に結合することができる。処理中に、アンテナアセンブリ370は、電源374によって供給されるRF電力によって通電され、これによって処理容積341内に処理ガスのプラズマを点火し、基板102の処理中にプラズマを維持する。
プラズマ処理チャンバ300は、様々なプラズマ処理のために使用することができる。一実施形態では、プラズマ処理チャンバ300は、1以上のエッチング剤を用いてドライエッチングを行うために使用することができる。例えば、プラズマ処理チャンバ300は、C(x及びyは異なる許容された組み合わせであることができる)、O、NF、又はそれらの組み合わせを含む前駆体からのプラズマの点火に使用することができる。本発明の実施形態はまた、フォトマスク用途のためにクロムをエッチングする、基板上に配置された酸化物層及び金属層を有するシリコン基板内において、プロファイル(例えば、ディープトレンチ及びスルーシリコンビア(TSV))をエッチングするのに使用することができる。
接合材により接合された静電チャックが、上記に記載されてはいるものの、本発明の実施形態は、動作環境から接合材を保護するために接合材によって接合された任意の複合構造で使用することができる。例えば、本発明の実施形態は、接合材によって接合された2以上のコンポーネントを有するガス分配シャワーヘッドに適用することができる。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. 処理チャンバ内で使用するための装置であって、
    第1面を有する第1コンポーネントと、
    第2面を有する第2コンポーネントであって、第2面は第1コンポーネントの第1面と対向している第2コンポーネントと、
    第1面と第2面の間に配置され、第1コンポーネントと第2コンポーネントを接合する接合材と、
    処理チャンバ内で接合材を浸食から防止するための保護要素を含む装置。
  2. 保護要素は、接合材を囲む保護シールを含む請求項1記載の装置。
  3. 保護シールは、第1コンポーネントと第2コンポーネントの間の界面に形成された凹部内に配置されている請求項2記載の装置。
  4. 保護要素は、接合材を覆う第1コンポーネントから延びる保護構造を含む請求項1記載の装置。
  5. 保護要素は、接合材中にシリコンフィラーを含む請求項1記載の装置。
  6. 処理チャンバ用の静電チャックであって、
    上で基板を支持するように構成された上面と、上面と反対側の下面を有するチャック本体と、
    チャック本体の下面に面する上面を有するチャックベースと、
    チャック本体の下面とチャックベースの上面を接合する接合材と、
    静電チャックの外部の環境に接合材の視線の露出を防止するように配置された保護要素を含む静電チャック。
  7. 保護要素は、チャック本体の下面とチャックベースの上面の間に配置され、接合材を囲む保護シールを含む、請求項6記載の静電チャック。
  8. 保護シールは、チャックベースとチャック本体の間に形成された凹部内に配置される請求項7記載の静電チャック。
  9. 凹部は、チャック本体の下面と、チャックベースの上面に形成された段差によって画定される請求項8記載の静電チャック。
  10. 凹部は、チャック本体の上面と、チャックベースの下面に形成された段差によって画定される請求項8記載の静電チャック。
  11. 凹部は、チャック本体の下面に形成された第1段差と、チャックベースの上面に形成された第2段差によって画定される請求項8記載の静電チャック。
  12. 保護要素は、チャック本体及びチャックベースを貫通して形成されたリフトピン孔の周囲に配置された3以上の保護シールを更に含む請求項7記載の静電チャック。
  13. 保護要素は、チャック本体とチャックベースのうちの少なくとも1つの中に形成された保護構造を含み、接合材を覆う請求項6記載の静電チャック。
  14. 保護構造は、チャックベースに向かってチャック本体の下面から延び、接合材の縁部領域を囲む連続リップを含む請求項13記載の静電チャック。
  15. 保護要素は、接合材内に配置された耐食性フィラーを含む請求項6記載の静電チャック。
JP2015508932A 2012-04-26 2012-09-21 Escの接着剤の浸食を防止するための方法及び装置 Active JP6180510B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261638908P 2012-04-26 2012-04-26
US61/638,908 2012-04-26
PCT/US2012/056617 WO2013162641A1 (en) 2012-04-26 2012-09-21 Methods and apparatus toward preventing esc bonding adhesive erosion

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017138734A Division JP2017208562A (ja) 2012-04-26 2017-07-18 Escの接着剤の浸食を防止するための方法及び装置

Publications (3)

Publication Number Publication Date
JP2015515760A true JP2015515760A (ja) 2015-05-28
JP2015515760A5 JP2015515760A5 (ja) 2015-11-05
JP6180510B2 JP6180510B2 (ja) 2017-08-16

Family

ID=49477073

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2015508932A Active JP6180510B2 (ja) 2012-04-26 2012-09-21 Escの接着剤の浸食を防止するための方法及び装置
JP2017138734A Pending JP2017208562A (ja) 2012-04-26 2017-07-18 Escの接着剤の浸食を防止するための方法及び装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017138734A Pending JP2017208562A (ja) 2012-04-26 2017-07-18 Escの接着剤の浸食を防止するための方法及び装置

Country Status (6)

Country Link
US (2) US8982530B2 (ja)
JP (2) JP6180510B2 (ja)
KR (4) KR20190124348A (ja)
CN (2) CN104247003B (ja)
TW (1) TWI578436B (ja)
WO (1) WO2013162641A1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017033738A1 (ja) * 2015-08-27 2017-03-02 住友大阪セメント株式会社 静電チャック装置
JP2017216441A (ja) * 2016-05-18 2017-12-07 ラム リサーチ コーポレーションLam Research Corporation 静電チャック接合のための永久二次浸食封じ込め
JP2018014491A (ja) * 2016-07-01 2018-01-25 ラム リサーチ コーポレーションLam Research Corporation 粒子性能および金属性能の改善のためのescセラミック側壁の加工
WO2018047808A1 (ja) * 2016-09-09 2018-03-15 日本バルカー工業株式会社 シール材
JP2019012847A (ja) * 2018-09-28 2019-01-24 日本特殊陶業株式会社 半導体製造用部品
US10943808B2 (en) 2016-11-25 2021-03-09 Applied Materials, Inc. Ceramic electrostatic chuck having a V-shape seal band
KR20210089579A (ko) 2020-01-08 2021-07-16 도쿄엘렉트론가부시키가이샤 적재대 및 플라스마 처리 장치
JP7425034B2 (ja) 2021-12-01 2024-01-30 三菱電線工業株式会社 保護リング、それを備えた接着面保護構造、及び接着面保護方法
JP7445420B2 (ja) 2019-12-23 2024-03-07 日本特殊陶業株式会社 半導体製造装置用部品
US11984296B2 (en) 2017-01-05 2024-05-14 Lam Research Corporation Substrate support with improved process uniformity
JP7509586B2 (ja) 2020-06-17 2024-07-02 日本特殊陶業株式会社 保持装置

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5985316B2 (ja) * 2012-09-07 2016-09-06 東京エレクトロン株式会社 プラズマエッチング装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6140457B2 (ja) * 2013-01-21 2017-05-31 東京エレクトロン株式会社 接着方法、載置台及び基板処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6400273B2 (ja) * 2013-03-11 2018-10-03 新光電気工業株式会社 静電チャック装置
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102197189B1 (ko) * 2013-05-28 2020-12-31 주성엔지니어링(주) 기판 지지 장치
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) * 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6728196B2 (ja) * 2015-03-20 2020-07-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温ポリマー接合によって金属ベースに接合されたセラミックス静電チャック
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6606017B2 (ja) * 2016-06-07 2019-11-13 株式会社荏原製作所 基板処理装置
US10770270B2 (en) 2016-06-07 2020-09-08 Applied Materials, Inc. High power electrostatic chuck with aperture-reducing plug in a gas hole
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20180019104A1 (en) * 2016-07-14 2018-01-18 Applied Materials, Inc. Substrate processing chamber component assembly with plasma resistant seal
KR101758347B1 (ko) * 2016-08-01 2017-07-18 주식회사 엘케이엔지니어링 정전 척 및 리페어 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11776822B2 (en) * 2018-05-29 2023-10-03 Applied Materials, Inc. Wet cleaning of electrostatic chuck
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN110875230B (zh) * 2018-08-29 2022-06-14 北京华卓精科科技股份有限公司 静电卡盘保护结构、灌胶装置以及灌胶工艺
CN110890305B (zh) * 2018-09-10 2022-06-14 北京华卓精科科技股份有限公司 静电卡盘
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7203585B2 (ja) * 2018-12-06 2023-01-13 東京エレクトロン株式会社 基板支持器、基板処理装置、基板処理システム、及び基板支持器における接着剤の浸食を検出する方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111863699B (zh) * 2019-04-28 2023-12-22 北京北方华创微电子装备有限公司 承载装置及工艺腔室
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
JP7339062B2 (ja) * 2019-08-09 2023-09-05 東京エレクトロン株式会社 載置台及び基板処理装置
JP7281374B2 (ja) * 2019-09-09 2023-05-25 日本特殊陶業株式会社 保持装置および保持装置の製造方法
JP7353106B2 (ja) * 2019-09-09 2023-09-29 日本特殊陶業株式会社 保持装置
KR20210042588A (ko) 2019-10-10 2021-04-20 엘지전자 주식회사 영상을 압축 또는 복원하기 위한 방법 및 장치
CN115461856A (zh) * 2020-04-29 2022-12-09 应用材料公司 用于均匀性改善的加热器盖板
KR102644585B1 (ko) 2020-08-21 2024-03-06 세메스 주식회사 기판 처리 장치 및 이의 제조 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001308165A (ja) * 2000-04-19 2001-11-02 Sumitomo Osaka Cement Co Ltd サセプタ及びその製造方法
JP2003249541A (ja) * 2002-02-26 2003-09-05 Hitachi High-Technologies Corp ウエハステージ
JP2005033181A (ja) * 2003-05-12 2005-02-03 Tokyo Electron Ltd 処理装置
JP2006080389A (ja) * 2004-09-10 2006-03-23 Kyocera Corp ウェハ支持部材
JP2007110023A (ja) * 2005-10-17 2007-04-26 Shinko Electric Ind Co Ltd 基板保持装置
JP2008270721A (ja) * 2007-03-27 2008-11-06 Tokyo Electron Ltd 基板載置台及び基板処理装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11191534A (ja) * 1997-12-25 1999-07-13 Kyocera Corp ウエハ支持部材
JP2001068538A (ja) * 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
JP2003060019A (ja) * 2001-08-13 2003-02-28 Hitachi Ltd ウエハステージ
JP4034145B2 (ja) * 2002-08-09 2008-01-16 住友大阪セメント株式会社 サセプタ装置
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
CN1851896A (zh) * 2005-12-05 2006-10-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种静电卡盘
US7651571B2 (en) * 2005-12-22 2010-01-26 Kyocera Corporation Susceptor
JP4942471B2 (ja) * 2005-12-22 2012-05-30 京セラ株式会社 サセプタおよびこれを用いたウェハの処理方法
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
JP5016510B2 (ja) * 2007-02-09 2012-09-05 日本碍子株式会社 半導体支持装置
US7667944B2 (en) * 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
SG187387A1 (en) * 2007-12-19 2013-02-28 Lam Res Corp Film adhesive for semiconductor vacuum processing apparatus
US8652260B2 (en) * 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8313664B2 (en) * 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5423632B2 (ja) * 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001308165A (ja) * 2000-04-19 2001-11-02 Sumitomo Osaka Cement Co Ltd サセプタ及びその製造方法
JP2003249541A (ja) * 2002-02-26 2003-09-05 Hitachi High-Technologies Corp ウエハステージ
JP2005033181A (ja) * 2003-05-12 2005-02-03 Tokyo Electron Ltd 処理装置
JP2006080389A (ja) * 2004-09-10 2006-03-23 Kyocera Corp ウェハ支持部材
JP2007110023A (ja) * 2005-10-17 2007-04-26 Shinko Electric Ind Co Ltd 基板保持装置
JP2008270721A (ja) * 2007-03-27 2008-11-06 Tokyo Electron Ltd 基板載置台及び基板処理装置

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6123952B1 (ja) * 2015-08-27 2017-05-10 住友大阪セメント株式会社 静電チャック装置
US10256131B2 (en) 2015-08-27 2019-04-09 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
WO2017033738A1 (ja) * 2015-08-27 2017-03-02 住友大阪セメント株式会社 静電チャック装置
JP7063545B2 (ja) 2016-05-18 2022-05-09 ラム リサーチ コーポレーション 静電チャック接合のための永久二次浸食封じ込め
JP2017216441A (ja) * 2016-05-18 2017-12-07 ラム リサーチ コーポレーションLam Research Corporation 静電チャック接合のための永久二次浸食封じ込め
JP7401589B2 (ja) 2016-05-18 2023-12-19 ラム リサーチ コーポレーション 静電チャック接合のための永久二次浸食封じ込め
JP2022105059A (ja) * 2016-05-18 2022-07-12 ラム リサーチ コーポレーション 静電チャック接合のための永久二次浸食封じ込め
JP2018014491A (ja) * 2016-07-01 2018-01-25 ラム リサーチ コーポレーションLam Research Corporation 粒子性能および金属性能の改善のためのescセラミック側壁の加工
US11384838B2 (en) 2016-09-09 2022-07-12 Applied Materials, Inc. Seal member
KR20210114565A (ko) 2016-09-09 2021-09-23 어플라이드 머티어리얼스, 인코포레이티드 시일재
KR20190044674A (ko) 2016-09-09 2019-04-30 주식회사 발카 시일재
WO2018047808A1 (ja) * 2016-09-09 2018-03-15 日本バルカー工業株式会社 シール材
US10943808B2 (en) 2016-11-25 2021-03-09 Applied Materials, Inc. Ceramic electrostatic chuck having a V-shape seal band
US11984296B2 (en) 2017-01-05 2024-05-14 Lam Research Corporation Substrate support with improved process uniformity
JP2019012847A (ja) * 2018-09-28 2019-01-24 日本特殊陶業株式会社 半導体製造用部品
JP7445420B2 (ja) 2019-12-23 2024-03-07 日本特殊陶業株式会社 半導体製造装置用部品
KR20210089579A (ko) 2020-01-08 2021-07-16 도쿄엘렉트론가부시키가이샤 적재대 및 플라스마 처리 장치
JP7509586B2 (ja) 2020-06-17 2024-07-02 日本特殊陶業株式会社 保持装置
JP7425034B2 (ja) 2021-12-01 2024-01-30 三菱電線工業株式会社 保護リング、それを備えた接着面保護構造、及び接着面保護方法

Also Published As

Publication number Publication date
CN107527854A (zh) 2017-12-29
JP6180510B2 (ja) 2017-08-16
TW201344837A (zh) 2013-11-01
WO2013162641A1 (en) 2013-10-31
KR20220146554A (ko) 2022-11-01
TWI578436B (zh) 2017-04-11
CN104247003A (zh) 2014-12-24
KR20170109690A (ko) 2017-09-29
JP2017208562A (ja) 2017-11-24
CN104247003B (zh) 2018-06-15
KR20150013627A (ko) 2015-02-05
KR20190124348A (ko) 2019-11-04
US8982530B2 (en) 2015-03-17
US20150183187A1 (en) 2015-07-02
US20130286530A1 (en) 2013-10-31

Similar Documents

Publication Publication Date Title
JP6180510B2 (ja) Escの接着剤の浸食を防止するための方法及び装置
KR102335248B1 (ko) 플라즈마 프로세싱 챔버 내의 엘라스토머 시일의 수명을 연장시키는 크기로 형성된 에지 링
US10745807B2 (en) Showerhead with reduced backside plasma ignition
JP6728196B2 (ja) 高温ポリマー接合によって金属ベースに接合されたセラミックス静電チャック
KR102216011B1 (ko) 분리가능한 고 저항률 가스 분배 플레이트를 갖는 샤워헤드
CN110556316B (zh) 基板支撑基座
JP2023030013A (ja) V字型シールバンドを有するセラミック静電チャック
KR101141488B1 (ko) 처리중의 기판이면(裏面) 증착 감소방법 및 장치
US10557202B2 (en) Method of processing a substrate support assembly
KR102162379B1 (ko) 가열식 세라믹 면판
US11894255B2 (en) Sheath and temperature control of process kit
CN112992642A (zh) 边缘环和基片处理装置
CN114695047A (zh) 静电吸盘、下电极组件及等离子体处理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150910

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150910

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161025

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170123

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170424

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170627

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170718

R150 Certificate of patent or registration of utility model

Ref document number: 6180510

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250