JP2015073096A5 - - Google Patents

Download PDF

Info

Publication number
JP2015073096A5
JP2015073096A5 JP2014193560A JP2014193560A JP2015073096A5 JP 2015073096 A5 JP2015073096 A5 JP 2015073096A5 JP 2014193560 A JP2014193560 A JP 2014193560A JP 2014193560 A JP2014193560 A JP 2014193560A JP 2015073096 A5 JP2015073096 A5 JP 2015073096A5
Authority
JP
Japan
Prior art keywords
power
pulsed
frequency
semiconductor substrate
integer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014193560A
Other languages
English (en)
Other versions
JP6527677B2 (ja
JP2015073096A (ja
Filing date
Publication date
Priority claimed from US14/248,046 external-priority patent/US9589799B2/en
Application filed filed Critical
Publication of JP2015073096A publication Critical patent/JP2015073096A/ja
Publication of JP2015073096A5 publication Critical patent/JP2015073096A5/ja
Application granted granted Critical
Publication of JP6527677B2 publication Critical patent/JP6527677B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

図4は、様々な実施形態を実施するのに適したPECVDチャンバの概略図を示している。図示のように、反応器400は、処理チャンバ424を備え、これは、他の反応器コンポーネントを取り囲むとともに、接地ヒータブロック420と協働するシャワーヘッド414を含む容量型システムにより生成されるプラズマを収容する。周波数RF発生器402および周波数RF発生器404が、整合ネットワーク406に接続されており、これがさらにシャワーヘッド414に接続されている。整合ネットワーク406により供給される電力および周波数は、処理ガスからプラズマを発生させるのに十分なものである。コントローラは、前駆体ガスを導入し、HF RF発生器404においてHF電力をオンに切り替え、LF RF発生器402においてLF電力をオンとオフまたはハイとローの間で切り替えるための機械可読命令を供給する。コントローラ428は、機械可読システム制御ソフトウェアを実行し、機械可読命令は、大容量記憶装置に保存され、メモリデバイスにロードされ、プロセッサで実行されることで、本発明の実施形態による方法を本装置で実行させる。あるいは、制御ロジックは、コントローラ428にハードコーディングされてもよい。このような目的で、特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、すなわちFPGA)などを用いることができる。「ソフトウェア」または「コード」が用いられる場合には、いずれも、機能的に同等のハードコーディングされたロジックが代わりに用いられてもよい。
のプロセスの別の実施形態について、実験データを収集した。図6は、OPOP(酸化物/ポリシリコン・スタック)エッチングにおいて高温で堆積されるAHMの、ポリシリコンに対するエッチング選択性を、MPaを単位とする応力の関数として示している。

Claims (18)

  1. アッシャブル・ハードマスクを形成する方法であって、
    炭化水素前駆体ガスを含む処理ガスに半導体基板を暴露し、
    高周波数(HF)成分と低周波数(LF)成分とを含むデュアル高周波(RF)プラズマ源により生成されるプラズマを用いて、プラズマ化学気相成長(PECVD)プロセスによって前記半導体基板上にアッシャブル・ハードマスクを堆積させることを備え、
    堆積中は、HF電力は一定である一方で、LF電力はパルス化される、方法。
  2. 前記炭化水素前駆体ガスは、C x y の化学式で表され、xは2から10の間の整数であり、yは2から24の間の整数である、請求項1に記載の方法。
  3. 前記LF電力は、非ゼロ電力レベル間でパルス化される、請求項1に記載の方法。
  4. 前記LF電力は、前記LF電力をオンとオフで切り替えることによりパルス化される、請求項1に記載の方法。
  5. LF RF電力の周波数は、約400kHzである、請求項1からのいずれか一項に記載の方法。
  6. 前記LF電力は、約2Hz〜約200Hzの間の周波数でパルス化される、請求項1からのいずれか一項に記載の方法。
  7. 前記LF電力は、約2Hz〜約10Hzの間の周波数でパルス化される、請求項1からのいずれか一項に記載の方法。
  8. 前記堆積されるアッシャブル・ハードマスクは、応力に対する弾性率の比が1である、請求項1からのいずれか一項に記載の方法。
  9. 前記堆積されるアッシャブル・ハードマスクは、酸化物層、窒化物層、またはポリシリコン層に対するエッチング選択性が約3.6〜4.4の間である、請求項1からのいずれか一項に記載の方法。
  10. 前記堆積されるアッシャブル・ハードマスクは、水素含有量が約15%未満である、請求項1からのいずれか一項に記載の方法。
  11. 前記アッシャブル・ハードマスクは、約275℃〜550℃の間の処理温度で堆積される、請求項1から10のいずれか一項に記載の方法。
  12. アモルファスカーボン層を形成する方法であって、
    炭化水素前駆体ガスを含む処理ガスに半導体基板を暴露し、
    高周波数(HF)成分と低周波数(LF)成分とを含むデュアル高周波(RF)プラズマ源により生成されるプラズマを用いて、プラズマ化学気相成長(PECVD)プロセスによって前記半導体基板上にアモルファスカーボン層を堆積させることを備え、
    堆積中は、HF電力は一定である一方で、LF電力はパルス化される、方法。
  13. 前記炭化水素前駆体ガスは、C x y の化学式で表され、xは2から10の間の整数であり、yは2から24の間の整数である、請求項12に記載の方法。
  14. 前記LF電力は、非ゼロ電力レベル間でパルス化される、請求項1に記載の方法。
  15. 前記LF電力は、前記LF電力をオンとオフで切り替えることによりパルス化される、請求項1に記載の方法。
  16. 前記LF電力は、約2Hz〜約10Hzの間の周波数でパルス化される、請求項1から1のいずれか一項に記載の方法。
  17. 半導体基板を処理するように構成されている装置であって、
    (a)成長チャンバであって、
    シャワーヘッドと、
    高周波数(HF)成分と低周波数(LF)成分とを含むデュアル高周波(RF)プラズマ発生器と、
    整合ネットワークと、
    基板サポートと、
    1つまたは複数のガス導入口と、を有する成長チャンバと、
    (b)当該装置の動作を制御するためのコントローラであって、
    炭化水素前駆体ガスを含む処理ガスに半導体基板を暴露し、
    HF電力をオンに切り替え、
    LF電力をパルス化し、
    プラズマ化学気相成長(PECVD)プロセスによって前記半導体基板上にアッシャブル・ハードマスクを堆積させるための機械可読命令を含む、コントローラと、を備え、
    前記HF電力は一定である一方で、前記LF電力はパルス化される、装置。
  18. 前記炭化水素前駆体ガスは、C x y の化学式で表され、xは2から10の間の整数であり、yは2から24の間の整数である、請求項17に記載の装置。
JP2014193560A 2013-09-30 2014-09-24 パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク Active JP6527677B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361884832P 2013-09-30 2013-09-30
US61/884,832 2013-09-30
US14/248,046 2014-04-08
US14/248,046 US9589799B2 (en) 2013-09-30 2014-04-08 High selectivity and low stress carbon hardmask by pulsed low frequency RF power

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019005610A Division JP2019096888A (ja) 2013-09-30 2019-01-17 パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク

Publications (3)

Publication Number Publication Date
JP2015073096A JP2015073096A (ja) 2015-04-16
JP2015073096A5 true JP2015073096A5 (ja) 2017-10-26
JP6527677B2 JP6527677B2 (ja) 2019-06-05

Family

ID=52740580

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014193560A Active JP6527677B2 (ja) 2013-09-30 2014-09-24 パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
JP2019005610A Pending JP2019096888A (ja) 2013-09-30 2019-01-17 パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019005610A Pending JP2019096888A (ja) 2013-09-30 2019-01-17 パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク

Country Status (4)

Country Link
US (1) US9589799B2 (ja)
JP (2) JP6527677B2 (ja)
KR (2) KR102447424B1 (ja)
CN (2) CN104513973B (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
CN106148917B (zh) * 2015-04-03 2019-01-22 中芯国际集成电路制造(上海)有限公司 利用pecvd工艺淀积薄膜的方法和pecvd装置
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
GB201813467D0 (en) * 2018-08-17 2018-10-03 Spts Technologies Ltd Method of depositing silicon nitride
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
CN113166929A (zh) * 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
US11443919B2 (en) 2019-02-11 2022-09-13 Applied Materials, Inc. Film formation via pulsed RF plasma
KR20210132731A (ko) * 2019-03-25 2021-11-04 램 리써치 코포레이션 고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크
WO2020243342A1 (en) * 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
CN114342043A (zh) * 2019-08-30 2022-04-12 朗姆研究公司 低压下的高密度、模量和硬度的非晶碳膜
JP2021174905A (ja) 2020-04-27 2021-11-01 キオクシア株式会社 半導体装置の製造方法
US20230357921A1 (en) * 2020-09-29 2023-11-09 Lam Research Corporation Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
US20220127721A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Depositing Low Roughness Diamond Films
US11694902B2 (en) 2021-02-18 2023-07-04 Applied Materials, Inc. Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers
US20230112644A1 (en) * 2021-09-30 2023-04-13 Texas Instruments Incorporated Carbon and/or Oxygen Doped Polysilicon Resistor
WO2023196846A1 (en) * 2022-04-07 2023-10-12 Lam Research Corporation Hydrogen reduction in amorphous carbon films

Family Cites Families (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
EP0386248B1 (en) 1988-07-04 1992-12-30 Japan Oxygen Co. Ltd. Condenser/evaporator
JPH0258221A (ja) 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
JPH05508266A (ja) 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
JP2771347B2 (ja) * 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
GB2275364B (en) 1993-02-18 1996-10-16 Northern Telecom Ltd Semiconductor etching process
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
EP0653501B1 (en) 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
JP3147695B2 (ja) * 1994-02-21 2001-03-19 日新電機株式会社 ダイアモンド状炭素膜形成のためのプラズマcvd法及び装置
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JP3126594B2 (ja) * 1994-07-07 2001-01-22 株式会社半導体エネルギー研究所 プラズマcvd法を用いる成膜方法
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
US6372303B1 (en) 1997-06-16 2002-04-16 Robert Bosch Gmbh Method and device for vacuum-coating a substrate
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6422918B1 (en) 2000-01-04 2002-07-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of photoresist layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2001234340A (ja) * 2000-02-28 2001-08-31 Bosch Automotive Systems Corp 非晶質硬質炭素膜及びその成膜方法
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
JP4791636B2 (ja) * 2001-01-15 2011-10-12 日華化学株式会社 ハイブリッドパルスプラズマ蒸着装置
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JPWO2003095193A1 (ja) 2002-05-09 2005-09-08 独立行政法人理化学研究所 薄膜材料およびその製造方法
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
JP4015510B2 (ja) * 2002-09-09 2007-11-28 日本エー・エス・エム株式会社 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
JP2004238649A (ja) * 2003-02-04 2004-08-26 National Institute Of Advanced Industrial & Technology 炭素系膜被覆部材の製造方法及び装置
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP4896729B2 (ja) 2003-11-13 2012-03-14 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 保護障壁積層を有する電子デバイス
JP4725085B2 (ja) * 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP4494824B2 (ja) * 2004-02-24 2010-06-30 株式会社クラレ 表示装置用フィルムの製造方法
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5203575B2 (ja) 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7790047B2 (en) 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US8357264B2 (en) * 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
JP2011021256A (ja) * 2009-07-16 2011-02-03 Kochi Univ Of Technology ナノ結晶シリコン薄膜の成膜方法及びナノ結晶シリコン薄膜、並びに該薄膜を成膜する成膜装置
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8288292B2 (en) * 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
WO2011137059A2 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
WO2012050065A1 (ja) 2010-10-14 2012-04-19 日産化学工業株式会社 単分子層又は多分子層形成用組成物
US8778207B2 (en) * 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers
US8986921B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8969207B2 (en) 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks

Similar Documents

Publication Publication Date Title
JP2015073096A5 (ja)
CN104838479B (zh) 硅-碳-氮化物的选择性蚀刻
TWI704635B (zh) 增進製程均勻性的方法及系統
JP6527677B2 (ja) パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
JP5925802B2 (ja) 2段階での均一なドライエッチング
JP5524132B2 (ja) 薄膜形成装置の洗浄方法、薄膜形成方法、及び、薄膜形成装置
KR102364434B1 (ko) 에칭 방법
KR102342711B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR102099408B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
TWI596671B (zh) 具有混合模式脈動之蝕刻
US9275869B2 (en) Fast-gas switching for etching
JP2015111668A5 (ja)
KR102424480B1 (ko) 다공질막을 에칭하는 방법
KR102505142B1 (ko) 강화된 패터닝을 위한 에칭 정지 층 증착
JP2015038964A5 (ja)
JP2013229608A5 (ja)
KR20140053339A (ko) 실리콘-및-질소-함유 필름들에 대한 건식-식각
US9312105B2 (en) Method for etching insulation film
TW201534410A (zh) 使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程
JP2012142495A (ja) プラズマエッチング方法及びプラズマエッチング装置
KR102348077B1 (ko) 플라즈마 처리 방법
JP2021184505A (ja) 基板処理システム及び基板処理方法
JP2016136617A (ja) シリコンのエッチングおよびクリーニング
JP2021522415A (ja) 周期的高電圧バイアスを用いたプラズマ化学気相堆積
US20140179106A1 (en) In-situ metal residue clean