JP2014528940A - ニッケル含有ヒドロシリル化触媒及びその触媒を含有する組成物 - Google Patents

ニッケル含有ヒドロシリル化触媒及びその触媒を含有する組成物 Download PDF

Info

Publication number
JP2014528940A
JP2014528940A JP2014530966A JP2014530966A JP2014528940A JP 2014528940 A JP2014528940 A JP 2014528940A JP 2014530966 A JP2014530966 A JP 2014530966A JP 2014530966 A JP2014530966 A JP 2014530966A JP 2014528940 A JP2014528940 A JP 2014528940A
Authority
JP
Japan
Prior art keywords
ring structure
general formula
ligand
group
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014530966A
Other languages
English (en)
Other versions
JP6101695B2 (ja
Inventor
ブランドスタッド カート
ブランドスタッド カート
クック サイモン
クック サイモン
タン グエン ビン
タン グエン ビン
サージェナー アブリル
サージェナー アブリル
テイラー リチャード
テイラー リチャード
ツゥオ ミン−シン
ツゥオ ミン−シン
ダッシュ アスウィニ
ダッシュ アスウィニ
オルセン マシュー
オルセン マシュー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dow Silicones Corp
Original Assignee
Dow Corning Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Corning Corp filed Critical Dow Corning Corp
Publication of JP2014528940A publication Critical patent/JP2014528940A/ja
Application granted granted Critical
Publication of JP6101695B2 publication Critical patent/JP6101695B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D413/00Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and oxygen atoms as the only ring hetero atoms
    • C07D413/02Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and oxygen atoms as the only ring hetero atoms containing two hetero rings
    • C07D413/10Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and oxygen atoms as the only ring hetero atoms containing two hetero rings linked by a carbon chain containing aromatic rings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/22Organic complexes
    • B01J31/2282Unsaturated compounds used as ligands
    • B01J31/2295Cyclic compounds, e.g. cyclopentadienyls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/0272Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/22Organic complexes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/24Phosphines, i.e. phosphorus bonded to only carbon atoms, or to both carbon and hydrogen atoms, including e.g. sp2-hybridised phosphorus compounds such as phosphabenzene, phosphole or anionic phospholide ligands
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/24Phosphines, i.e. phosphorus bonded to only carbon atoms, or to both carbon and hydrogen atoms, including e.g. sp2-hybridised phosphorus compounds such as phosphabenzene, phosphole or anionic phospholide ligands
    • B01J31/2404Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring
    • B01J31/2409Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring with more than one complexing phosphine-P atom
    • B01J31/2414Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring with more than one complexing phosphine-P atom comprising aliphatic or saturated rings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/24Phosphines, i.e. phosphorus bonded to only carbon atoms, or to both carbon and hydrogen atoms, including e.g. sp2-hybridised phosphorus compounds such as phosphabenzene, phosphole or anionic phospholide ligands
    • B01J31/2404Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring
    • B01J31/2419Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring comprising P as ring member
    • B01J31/2428Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring comprising P as ring member with more than one complexing phosphine-P atom
    • B01J31/2433Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring comprising P as ring member with more than one complexing phosphine-P atom comprising aliphatic or saturated rings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J37/00Processes, in general, for preparing catalysts; Processes, in general, for activation of catalysts
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C209/00Preparation of compounds containing amino groups bound to a carbon skeleton
    • C07C209/66Preparation of compounds containing amino groups bound to a carbon skeleton from or via metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C213/00Preparation of compounds containing amino and hydroxy, amino and etherified hydroxy or amino and esterified hydroxy groups bound to the same carbon skeleton
    • C07C213/08Preparation of compounds containing amino and hydroxy, amino and etherified hydroxy or amino and esterified hydroxy groups bound to the same carbon skeleton by reactions not involving the formation of amino groups, hydroxy groups or etherified or esterified hydroxy groups
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C217/00Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton
    • C07C217/78Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton
    • C07C217/80Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of non-condensed six-membered aromatic rings
    • C07C217/82Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of non-condensed six-membered aromatic rings of the same non-condensed six-membered aromatic ring
    • C07C217/92Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of non-condensed six-membered aromatic rings of the same non-condensed six-membered aromatic ring the nitrogen atom of at least one of the amino groups being further bound to a carbon atom of a six-membered aromatic ring
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D213/00Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members
    • C07D213/02Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members
    • C07D213/04Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D213/24Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom with substituted hydrocarbon radicals attached to ring carbon atoms
    • C07D213/28Radicals substituted by singly-bound oxygen or sulphur atoms
    • C07D213/32Sulfur atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D213/00Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members
    • C07D213/02Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members
    • C07D213/04Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D213/24Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom with substituted hydrocarbon radicals attached to ring carbon atoms
    • C07D213/36Radicals substituted by singly-bound nitrogen atoms
    • C07D213/38Radicals substituted by singly-bound nitrogen atoms having only hydrogen or hydrocarbon radicals attached to the substituent nitrogen atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D213/00Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members
    • C07D213/02Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members
    • C07D213/04Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D213/24Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom with substituted hydrocarbon radicals attached to ring carbon atoms
    • C07D213/44Radicals substituted by doubly-bound oxygen, sulfur, or nitrogen atoms, or by two such atoms singly-bound to the same carbon atom
    • C07D213/53Nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D215/00Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems
    • C07D215/02Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen atoms or carbon atoms directly attached to the ring nitrogen atom
    • C07D215/12Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen atoms or carbon atoms directly attached to the ring nitrogen atom with substituted hydrocarbon radicals attached to ring carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D271/00Heterocyclic compounds containing five-membered rings having two nitrogen atoms and one oxygen atom as the only ring hetero atoms
    • C07D271/02Heterocyclic compounds containing five-membered rings having two nitrogen atoms and one oxygen atom as the only ring hetero atoms not condensed with other rings
    • C07D271/061,2,4-Oxadiazoles; Hydrogenated 1,2,4-oxadiazoles
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D295/00Heterocyclic compounds containing polymethylene-imine rings with at least five ring members, 3-azabicyclo [3.2.2] nonane, piperazine, morpholine or thiomorpholine rings, having only hydrogen atoms directly attached to the ring carbon atoms
    • C07D295/04Heterocyclic compounds containing polymethylene-imine rings with at least five ring members, 3-azabicyclo [3.2.2] nonane, piperazine, morpholine or thiomorpholine rings, having only hydrogen atoms directly attached to the ring carbon atoms with substituted hydrocarbon radicals attached to ring nitrogen atoms
    • C07D295/12Heterocyclic compounds containing polymethylene-imine rings with at least five ring members, 3-azabicyclo [3.2.2] nonane, piperazine, morpholine or thiomorpholine rings, having only hydrogen atoms directly attached to the ring carbon atoms with substituted hydrocarbon radicals attached to ring nitrogen atoms substituted by singly or doubly bound nitrogen atoms
    • C07D295/135Heterocyclic compounds containing polymethylene-imine rings with at least five ring members, 3-azabicyclo [3.2.2] nonane, piperazine, morpholine or thiomorpholine rings, having only hydrogen atoms directly attached to the ring carbon atoms with substituted hydrocarbon radicals attached to ring nitrogen atoms substituted by singly or doubly bound nitrogen atoms with the ring nitrogen atoms and the substituent nitrogen atoms separated by carbocyclic rings or by carbon chains interrupted by carbocyclic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • C07D307/02Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings
    • C07D307/34Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings having two or three double bonds between ring members or between ring members and non-ring members
    • C07D307/38Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings having two or three double bonds between ring members or between ring members and non-ring members with substituted hydrocarbon radicals attached to ring carbon atoms
    • C07D307/52Radicals substituted by nitrogen atoms not forming part of a nitro radical
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/02Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings
    • C07D333/04Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings not substituted on the ring sulphur atom
    • C07D333/06Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings not substituted on the ring sulphur atom with only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, directly attached to the ring carbon atoms
    • C07D333/22Radicals substituted by doubly bound hetero atoms, or by two hetero atoms other than halogen singly bound to the same carbon atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D413/00Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and oxygen atoms as the only ring hetero atoms
    • C07D413/14Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and oxygen atoms as the only ring hetero atoms containing three or more hetero rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F1/00Compounds containing elements of Groups 1 or 11 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F1/00Compounds containing elements of Groups 1 or 11 of the Periodic System
    • C07F1/005Compounds containing elements of Groups 1 or 11 of the Periodic System without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F1/00Compounds containing elements of Groups 1 or 11 of the Periodic System
    • C07F1/08Copper compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic System
    • C07F11/005Compounds containing elements of Groups 6 or 16 of the Periodic System compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F13/00Compounds containing elements of Groups 7 or 17 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F13/00Compounds containing elements of Groups 7 or 17 of the Periodic System
    • C07F13/005Compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/0006Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System compounds of the platinum group
    • C07F15/0033Iridium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/0006Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System compounds of the platinum group
    • C07F15/0046Ruthenium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/02Iron compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/06Cobalt compounds
    • C07F15/065Cobalt compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F3/00Compounds containing elements of Groups 2 or 12 of the Periodic System
    • C07F3/06Zinc compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0803Compounds with Si-C or Si-Si linkages
    • C07F7/0805Compounds with Si-C or Si-Si linkages comprising only Si, C or H atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • C07F7/0838Compounds with one or more Si-O-Si sequences
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • C07F7/0838Compounds with one or more Si-O-Si sequences
    • C07F7/0872Preparation and treatment thereof
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • C07F7/0838Compounds with one or more Si-O-Si sequences
    • C07F7/0872Preparation and treatment thereof
    • C07F7/0876Reactions involving the formation of bonds to a Si atom of a Si-O-Si sequence other than a bond of the Si-O-Si linkage
    • C07F7/0878Si-C bond
    • C07F7/0879Hydrosilylation reactions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • C07F7/0838Compounds with one or more Si-O-Si sequences
    • C07F7/0872Preparation and treatment thereof
    • C07F7/0889Reactions not involving the Si atom of the Si-O-Si sequence
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0896Compounds with a Si-H linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • C07F7/1872Preparation; Treatments not provided for in C07F7/20
    • C07F7/1876Preparation; Treatments not provided for in C07F7/20 by reactions involving the formation of Si-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • C07F9/02Phosphorus compounds
    • C07F9/28Phosphorus compounds with one or more P—C bonds
    • C07F9/50Organo-phosphines
    • C07F9/5045Complexes or chelates of phosphines with metallic compounds or metals
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • C07F9/02Phosphorus compounds
    • C07F9/547Heterocyclic compounds, e.g. containing phosphorus as a ring hetero atom
    • C07F9/553Heterocyclic compounds, e.g. containing phosphorus as a ring hetero atom having one nitrogen atom as the only ring hetero atom
    • C07F9/576Six-membered rings
    • C07F9/60Quinoline or hydrogenated quinoline ring systems
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/06Preparatory processes
    • C08G77/08Preparatory processes characterised by the catalysts used
    • GPHYSICS
    • G07CHECKING-DEVICES
    • G07FCOIN-FREED OR LIKE APPARATUS
    • G07F13/00Coin-freed apparatus for controlling dispensing or fluids, semiliquids or granular material from reservoirs
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2231/00Catalytic reactions performed with catalysts classified in B01J31/00
    • B01J2231/30Addition reactions at carbon centres, i.e. to either C-C or C-X multiple bonds
    • B01J2231/32Addition reactions to C=C or C-C triple bonds
    • B01J2231/323Hydrometalation, e.g. bor-, alumin-, silyl-, zirconation or analoguous reactions like carbometalation, hydrocarbation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/10Complexes comprising metals of Group I (IA or IB) as the central metal
    • B01J2531/16Copper
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/10Complexes comprising metals of Group I (IA or IB) as the central metal
    • B01J2531/17Silver
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/40Complexes comprising metals of Group IV (IVA or IVB) as the central metal
    • B01J2531/46Titanium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/40Complexes comprising metals of Group IV (IVA or IVB) as the central metal
    • B01J2531/49Hafnium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/50Complexes comprising metals of Group V (VA or VB) as the central metal
    • B01J2531/56Vanadium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/60Complexes comprising metals of Group VI (VIA or VIB) as the central metal
    • B01J2531/64Molybdenum
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/70Complexes comprising metals of Group VII (VIIB) as the central metal
    • B01J2531/74Rhenium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/80Complexes comprising metals of Group VIII as the central metal
    • B01J2531/82Metals of the platinum group
    • B01J2531/821Ruthenium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/80Complexes comprising metals of Group VIII as the central metal
    • B01J2531/82Metals of the platinum group
    • B01J2531/827Iridium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/80Complexes comprising metals of Group VIII as the central metal
    • B01J2531/84Metals of the iron group
    • B01J2531/842Iron
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/80Complexes comprising metals of Group VIII as the central metal
    • B01J2531/84Metals of the iron group
    • B01J2531/845Cobalt
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/80Complexes comprising metals of Group VIII as the central metal
    • B01J2531/84Metals of the iron group
    • B01J2531/847Nickel
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere

Abstract

組成物は、(A)ヒドロシリル化反応触媒と、(B)ヒドロシリル化反応し得る脂肪族不飽和有機基を1分子当たり平均1以上有する脂肪族不飽和化合物とを含有する。本組成物は、ヒドロシリル化反応を介して、シラン、ガム、ゲル、ゴム、又は樹脂等の反応生成物を形成することができる。成分(A)は、金属前駆体と配位子とを反応させることを含む方法によって調製することができる金属−配位子錯体を含有する。

Description

ヒドロシリル化反応を触媒するための触媒は、当該技術分野において公知であり、市販されている。このような従来のヒドロシリル化触媒は、白金、ロジウム、ルテニウム、パラジウム、オスミウム、及びイリジウムから選択される金属であってよい。あるいは、ヒドロシリル化触媒は、このような金属の化合物、例えば、塩化白金酸、塩化白金酸六水和物、二塩化白金、及び前記化合物と低分子量オルガノポリシロキサンとの錯体、又はマトリクス若しくはコア/シェル型構造にマイクロカプセル化された白金化合物であってもよい。白金と低分子量オルガノポリシロキサンとの錯体としては、白金と1,3−ジエテニル−1,1,3,3−テトラメチルジシロキサンとの錯体が挙げられる。これらの錯体は、樹脂マトリックス中にマイクロカプセル化されてもよい。代表的なヒドロシリル化触媒は、米国特許第3,159,601号、同第3,220,972号、同第3,296,291号、同第3,419,593号、同第3,516,946号、同第3,814,730号、同第3,989,668号、同第4,784,879号、同第5,036,117号、及び同第5,175,325号、並びに欧州特許第0 347 895 B号に記載されている。マイクロカプセル化されたヒドロシリル化触媒及びその調製方法は、米国特許第4,766,176号及び同第5,017,654号に例示されている通り、当該技術分野において既知である。
これらヒドロシリル化触媒は、非常にコストが高いという問題点を有する。これらヒドロシリル化触媒における金属の一部はまた、入手が困難である場合もあり、これらヒドロシリル化触媒の一部は、調製が困難である場合もある。業界では、上記従来のヒドロシリル化触媒をより安価及び/又はより容易に入手可能な代替物に置き換える必要がある。
ニッケル前駆体(Ni前駆体)及び配位子を含む成分の反応生成物、及びその反応生成物の調製方法を開示する。ヒドロシリル化反応を介して反応生成物を形成することができる組成物は、反応生成物と、ヒドロシリル化反応し得る脂肪族不飽和有機基を1分子当たり平均1以上有する脂肪族不飽和化合物とを含む。脂肪族不飽和化合物がケイ素結合水素原子を含まない場合、本組成物は、ケイ素結合水素原子を1分子当たり平均1以上有するSiH官能性化合物を更に含む。
全ての量、比率、及びパーセンテージは、特に記載のない限り、重量である。冠詞「a」、「an」、及び「the」はそれぞれ、明細書の文脈により特に示されない限り、1つ以上を指す。範囲の開示には、範囲それ自体と、その中に包含される任意の数、並びに端点が含まれる。例えば、2.0〜4.0の範囲の開示には、2.0〜4.0の範囲だけでなく、2.1、2.3、3.4、3.5、及び4.0の個々の数、並びにその範囲に包含される任意の他の数も含まれる。更に、例えば2.0〜4.0の範囲の開示には、例えば2.1〜3.5、2.3〜3.4、2.6〜3.7、及び3.8〜4.0の部分集合、並びにその範囲に包含される任意の他の部分集合も含まれる。同様に、マーカッシュ群の開示には、群全体と、その中に包含される任意の個々のメンバー及びサブグループも含まれる。例えば、マーカッシュ群、水素原子、アルキル基、アリール基、又はアラルキル基の開示は、個々のアルキルメンバー、アルキル及びアリールのサブグループ、並びにその中に包含される任意の他の個々のメンバー及びサブグループを含む。
「アルキル」は、非環状、分枝状又は非分枝状の飽和一価炭化水素基を意味する。アルキルは、メチル、エチル、プロピル(例えば、イソプロピル及び/又はn−プロピル)、ブチル(例えば、イソブチル、n−ブチル、tert−ブチル及び/又はsec−ブチル)、ペンチル(例えば、イソペンチル、ネオペンチル及び/又はtert−ペンチル)、ヘキシル、ヘプチル、オクチル、ノニル、及びデシル、並びに6個以上の炭素原子を有する分枝状飽和一価炭化水素基により例示されるが、これらに限定されない。
「アリール」は、環状の完全不飽和炭化水素基を意味する。アリールは、シクロペンタジエニル、フェニル、アントラセニル、及びナフチルにより例示されるが、これらに限定されない。単環式アリール基は、5〜9個の炭素原子、あるいは6〜7個の炭素原子、あるいは5〜6個の炭素原子を有し得る。多環式アリール基は、10〜17個の炭素原子、あるいは10〜14個の炭素原子、あるいは12〜14個の炭素原子を有し得る。
「アラルキル」は、ペンダント及び/若しくは末端アリール基を有するアルキル基、又はペンダントアルキル基を有するアリール基を意味する。代表的なアラルキル基としては、トリル、キシリル、ベンジル、フェニルエチル、フェニルプロピル、及びフェニルブチルが挙げられる。
「炭素環」及び「炭素環式」は、それぞれ、炭化水素環を意味する。炭素環は、単環式であってもよく、あるいは、縮合、架橋、又はスピロ多環式環であってもよい。単環式炭素環は、3〜9個の炭素原子、あるいは4〜7個の炭素原子、あるいは5〜6個の炭素原子を有し得る。多環式炭素環は、7〜17個の炭素原子、あるいは7〜14個の炭素原子、あるいは9〜10個の炭素原子を有し得る。炭素環は、飽和であっても部分的に不飽和であってもよい。
「シクロアルキル」は、飽和炭素環を意味する。単環式シクロアルキル基は、シクロブチル、シクロペンチル、及びシクロヘキシルにより例示される。
「ハロゲン化炭化水素」は、炭素原子に結合している1以上の水素原子が形式的にハロゲン原子で置換されている炭化水素を意味する。ハロゲン化炭化水素基としては、ハロアルキル基、ハロゲン化炭素環式基、及びハロアルケニル基が挙げられる。ハロアルキル基としては、トリフルオロメチル(CF)、フルオロメチル、トリフルオロエチル、2−フルオロプロピル、3,3,3−トリフルオロプロピル、4,4,4−トリフルオロブチル、4,4,4,3,3−ペンタフルオロブチル、5,5,5,4,4,3,3−ヘプタフルオロペンチル、6,6,6,5,5,4,4,3,3−ノナフルオロへキシル、及び8,8,8,7,7−ペンタフルオロオクチル等のフッ素化アルキル基、並びにクロロメチル及び3−クロロプロピル等の塩素化アルキル基が挙げられる。ハロゲン化炭素環式基としては、2,2−ジフルオロシクロプロピル、2,3−ジフルオロシクロブチル、3,4−ジフルオロシクロヘキシル、及び3,4−ジフルオロ−5−メチルシクロヘプチル等のフッ素化シクロアルキル基;並びに2,2−ジクロロシクロプロピル、2,3−ジクロロシクロペンチル等の塩素化シクロアルキル基が挙げられる。ハロアルケニル基としては、塩化アリルが挙げられる。
「ヘテロ原子」は、炭素を除く、http://www.iupac.org/fileadmin/user_upload/news/IUPAC_Periodic_Table−1Jun12.pdfのIUPAC元素周期表の13〜17族の元素のいずれかを意味する。「ヘテロ原子」としては、例えば、N、O、P、S、Br、Cl、F、及びIが挙げられる。
「ヘテロ原子含有基」は、炭素原子で構成され、少なくとも1つのヘテロ原子も含む有機基を意味する。ヘテロ原子含有基としては、例えば、アシル、アミド、アミン、カルボキシル、シアノ、エポキシ、ヒドロカーボンオキシ、イミノ、ケトン、ケトオキシム、メルカプト、オキシム、及び/又はチオールのうちの1以上を挙げることができる。例えば、ヘテロ原子含有基が1以上のハロゲン原子を含有する場合、ヘテロ原子含有基は、上に定義する通りのハロゲン化炭化水素基であってよい。あるいは、ヘテロ原子が酸素である場合、ヘテロ原子含有基は、アルコキシ基又はアルキルアルコキシ基等のヒドロカーボンオキシ基であってよい。
「無機ヘテロ原子含有基」は、少なくとも1つのヘテロ原子及び少なくとも1つの水素又は異なるヘテロ原子で構成される基を意味する。ヘテロ原子含有基としては、例えば、アミン、ヒドロキシル、イミノ、ニトロ、オキソ、スルホニル、及び/又はチオールのうちの1以上を挙げることができる。
「ヘテロアルキル」基は、少なくとも1つのヘテロ原子も含む非環状、分枝状又は非分枝状の、飽和一価炭化水素基を意味する。「ヘテロアルキル」としては、少なくとも1つの炭素原子がN、O、P、又はS等のヘテロ原子で置換されているハロアルキル基及びアルキル基が挙げられ、例えば、ヘテロ原子がOである場合、ヘテロアルキル基は、アルコキシ基であってよい。
「複素環」及び「複素環式」は、それぞれ、環内の炭素原子及び1以上のヘテロ原子で構成される環基を意味する。複素環中のヘテロ原子は、N、O、P、S、又はこれらの組み合わせであってよい。複素環は、単環式であってもよく、あるいは、縮合、架橋、又はスピロ多環式環であってもよい。単環式複素環は、環内に3〜9個の員原子、あるいは4〜7個の員原子、あるいは5〜6個の員原子を有し得る。多環式複素環は、7〜17個の員原子、あるいは7〜14個の員原子、あるいは9〜10個の員原子を有し得る。複素環は、飽和であっても部分的に不飽和であってもよい。
「複素芳香族」は、環内の炭素原子及び1以上のヘテロ原子で構成される完全不飽和環含有基を意味する。単環式複素芳香族基は、5〜9個の員原子、あるいは6〜7個の員原子、あるいは5〜6個の員原子を有し得る。多環式複素芳香族基は、10〜17個の員原子、あるいは10〜14個の員原子、あるいは12〜14個の員原子を有し得る。複素芳香族としては、ピリジル等のヘテロアリール基が挙げられる。複素芳香族としては、ヘテロアラルキル、すなわち、ペンダント及び/若しくは末端ヘテロアリール基を有するアルキル基、又はペンダントアルキル基を有するヘテロアリール基が挙げられる。代表的なヘテロアラルキル基としては、メチルピリジル及びジメチルピリジルが挙げられる。
本明細書で使用する略語は、以下の通り定義される。略語「cP」は、センチポアズを意味し、「cSt」はセンチストークスを意味する。「DP」は、重合度を意味する。「FTIR」は、フーリエ変換赤外分光法を意味する。「GC」は、ガスクロマトグラフィーを意味する。「GPC」は、ゲル透過クロマトグラフィーを意味する。「Mn」は、数平均分子量を意味する。Mnは、GPCを使用して測定することができる。「Mw」は、重量平均分子量を意味する。「NMR」は、核磁気共鳴を意味する。「Pa・s」は、パスカル秒を意味し、「ppm」は、百万分率を意味する。「COD」は、シクロオクタジエニルを意味する。「Et」は、エチルを意味する。「Me」は、メチルを意味する。「Ph」は、フェニルを意味する。「Pr」は、プロピルを意味し、iPr及びnPr等の様々な構造を含む。「iPr」は、イソプロピルを意味する。「nPr」は、通常のプロピルを意味する。「Bu」は、ブチルを意味し、nBu、sec−ブチル、tBu、及びiBuを含む様々な構造を含む。「iBu」は、イソブチルを意味する。「nBu」は、通常のブチルを意味する。「tBu」は、tert−ブチルを意味する。「AcAc」は、アセチルアセトネートを意味する。「2−EHA」は、2−エチルヘキサノエートを意味する。「OAc」は、アセテートを意味する。「Hex」は、ヘキセニルを意味する。「THF」は、テトラヒドロフランを意味する。「Vi」は、ビニルを意味する。
「M単位」は、式RSiO1/2(式中、各Rは、独立して、一価原子又は有機基を表す)を有するシロキサン単位を意味する。「D単位」は、式RSiO2/2(式中、各Rは、独立して、一価原子又は基を表す)を有するシロキサン単位を意味する。「T単位」は、式RSiO3/2(式中、各Rは、独立して、一価原子又は基を表す)を有するシロキサン単位を意味する。「Q単位」は、式SiO4/2を有するシロキサン単位を意味する。
「非官能性」とは、成分が、脂肪族不飽和置換基又はヒドロシリル化反応に関与するケイ素結合水素原子を有しないことを意味する。
「含まない」とは、組成物が成分を検出不可能な量しか含有しない、又はその成分を除いた同じ組成物と比較したとき、実施例の項に記載の通り測定したGC測定値を変化させるのに不十分な量しかその成分を含有しないことを意味する。例えば、本明細書に記載する組成物は、白金触媒を含まない場合もある。「白金触媒を含まない」とは、組成物が、組成物中の他の成分における不飽和基とのヒドロシリル化反応を触媒することができる白金触媒を検出不可能な量しか含有しない、又は白金触媒を除いた同じ組成物と比較したとき、実施例の項に記載の通り測定したGC測定値を変化させるのに不十分な量しか白金触媒を含有しないことを意味する。組成物は、従来の金属触媒を含まない場合もある。「従来の金属触媒を含まない」とは、組成物が、組成物中の他の成分における不飽和基とのヒドロシリル化反応を触媒することができるPt、Rh、Ru、Pd、Os、及びIrから選択される金属、又はこのような金属の化合物を検出不可能な量しか含有しない、あるいは従来の金属触媒を除いた同じ組成物と比較したとき、実施例の項に記載の通り測定したGC測定値を変化させるのに不十分な量しか従来の金属触媒を含有しないことを意味する。あるいは、本明細書に記載する組成物は、ヒドロシリル化反応触媒を含まない場合もある(すなわち、本明細書に記載する成分(A)以外の、下記成分(B)における脂肪族不飽和基のヒドロシリル化反応を触媒することができる任意の成分を含まない)。
ヒドロシリル化反応により反応することができる少なくとも1つの成分を有する組成物(組成物)は、以下を含む:
(A)Ni含有ヒドロシリル化反応触媒と、
(B)ヒドロシリル化反応し得る脂肪族不飽和有機基を1分子当たり平均1以上有する脂肪族不飽和化合物。
理論に束縛されるものではないが、Ni含有ヒドロシリル化反応触媒は、組成物のヒドロシリル化反応を触媒するのに有効であることを特徴とすると考えられる。組成物のヒドロシリル化反応により、反応生成物が調製される。反応生成物は、シラン、ガム、ゲル、ゴム、及び樹脂からなる群から選択される形態を有し得る。
成分(B)がケイ素結合水素原子を含有しない場合、組成物は、成分(A)及び(B)とは異なる、ケイ素結合水素原子を1分子当たり平均1以上有するSiH官能性化合物である成分(C)を更に含む。
組成物は、任意追加的に、上記成分(A)、成分(B)、及び成分(C)とは異なる、1以上の更なる成分を更に含んでもよい。好適な更なる成分は、(D)スペーサー、(E)増量剤、可塑剤、又はこれらの組み合わせ、(F)充填剤、(G)充填剤処理剤、(H)殺生物剤、(I)安定剤、(J)難燃剤、(K)表面改質剤、(L)鎖延長剤、(M)末端保護剤、(N)融剤、(O)老化防止添加剤、(P)顔料、(Q)酸受容体、(R)レオロジー添加剤、(S)ビヒクル、(T)界面活性剤、(U)腐食防止剤及びこれらの組み合わせにより例示される。
成分(A)は、Ni含有ヒドロシリル化反応触媒である。Ni含有ヒドロシリル化反応触媒は、Ni前駆体と配位子との反応生成物を含むか、又はその反応生成物を用いて調製される。理論に束縛されるものではないが、この反応生成物は、Ni−配位子錯体を含むと考えられる。Ni前駆体は、Ni−配位子錯体とは異なる。Ni前駆体は、Ni前駆体と配位子との反応生成物とは異なる。
Ni前駆体は、一般式(i)Ni−A(式中、各Aは、独立して、置換可能な置換基である)を有する金属化合物であってよい。理論に束縛されるものではないが、Aの1以上の例は、配位子によってNiから離れて、Ni−配位子錯体が形成され得ると考えられる。理論に束縛されるものではないが、基Aの1以上の例は、Ni前駆体と配位子との間の錯化反応によって置き換えられて、Ni−配位子錯体が形成されると考えられる。一般式(i)におけるAの各例は、同じであっても異なっていてもよい。Aの例としては、ハロゲン原子及び一価有機基が挙げられる。一価有機基は、一価炭化水素基又は一価ヘテロ原子含有基であってよい。一価ヘテロ原子含有基は、アミノ基、ハロゲン化炭化水素基、シラザン基、カルボキシレート基、カルボン酸エステル基、カルボニル基、ヒドロカーボンオキシ基、スルホン酸エステル基、スルホニルイミド基、酢酸基、及びシアノ基によって例示される。
一般式(i)におけるAについてのハロゲン原子の例としては、Br、Cl、又はIが挙げられる。Aについての一価ハロゲン化炭化水素基の例としては、ハロアルキル基、例えば、フッ素化アルキル基(例えば、CF、フルオロメチル、トリフルオロエチル、2−フルオロプロピル、3,3,3−トリフルオロプロピル、4,4,4−トリフルオロブチル、4,4,4,3,3−ペンタフルオロブチル、5,5,5,4,4,3,3−ヘプタフルオロペンチル、6,6,6,5,5,4,4,3,3−ノナフルオロへキシル、及び8,8,8,7,7−ペンタフルオロオクチル);及び塩素化アルキル基(例えば、クロロメチル及び3−クロロプロピル);ハロゲン化炭素環式基、例えば、フッ素化シクロアルキル基(例えば、2,2−ジフルオロシクロプロピル、2,3−ジフルオロシクロブチル、3,4−ジフルオロシクロヘキシル、及び3,4−ジフルオロ−5−メチルシクロヘプチル);及び塩素化シクロアルキル基(例えば、2,2−ジクロロシクロプロピル、2,3−ジクロロシクロペンチル);及びハロアルケニル基(例えば、塩化アリル)が挙げられる。
一般式(i)におけるAについての一価炭化水素基の例としては、アルキル、アルケニル、カルボン酸、アリール、及びアラルキルが挙げられるが、これらに限定されない。アルキル基は、Me、Et、Pr、Bu、ペンチル、ヘキシル、ヘプチル、エチルヘキシル、オクチル、デシル、ドデシル、ウンデシル、及びオクタデシルによって例示される。アルケニル基は、Vi、アリル、プロペニル、及びHexによって例示される。炭素環式基は、飽和炭素環式基(例えば、シクロペンチル及びシクロヘキシル等のシクロアルキル)、又は不飽和炭素環式基(例えば、シクロペンタジエニル、シクロヘキセニル、又はシクロオクタジエニル等のシクロアルケニル)によって例示される。アリール基は、Ph、トリル、キシリル、メシチル、及びナフチルによって例示される。アラルキル基は、ベンジル及び2−フェニルエチルによって例示される。
一般式(i)におけるAについてのアミノ基の例は、式−NA’(式中、各A’は、独立して、水素原子又は一価炭化水素基である)を有する。A’についての代表的な一価炭化水素基としては、アルキル(例えば、Me、Et、Pr、Bu、ペンチル、ヘキシル、ヘプチル、エチルヘキシル、オクチル、デシル、ドデシル、ウンデシル、及びオクタデシル);アルケニル(例えば、ビニル、アリル、プロペニル、及びHex);飽和炭素環式基(例えば、シクロペンチル及びシクロヘキシル等のシクロアルキル)又は不飽和炭素環式基(例えば、シクロペンタジエニル又はシクロオクタジエニル)によって例示される炭素環式基;アリール(例えば、Ph、トリル、キシリル、メシチル、及びナフチル);及びアラルキル(例えば、ベンジル又は2−フェニルエチル)が挙げられるが、これらに限定されない。あるいは、各A’は、水素原子であってもよく、Me又はEt等の1〜4個の炭素原子を有するアルキル基であってもよい。
あるいは、一般式(i)における各Aは、シラザン基であってもよい。
あるいは、一般式(i)における各Aは、カルボン酸エステル基であってもよい。Aについての好適なカルボン酸エステル基の例としては、OAc、エチルヘキサノエート(例えば、2−EHA)、ネオデカノエート、オクタノエート、及びステアレートが挙げられるが、これらに限定されない。
一般式(i)におけるAについての一価ヒドロカーボンオキシ基の例は、式−O−A”(式中、A”は、一価炭化水素基である)を有し得る。A”についての一価炭化水素基の例としては、アルキル(例えば、Me、Et、Pr、Bu、ペンチル、ヘキシル、ヘプチル、エチルヘキシル、オクチル、デシル、ドデシル、ウンデシル、及びオクタデシル);アルケニル(例えば、Vi、アリル、プロペニル、及びHex);シクロアルキル(例えば、シクロペンチル及びシクロヘキシル);アリール(例えば、Ph、トリル、キシリル、及びナフチル);アラルキル(例えば、ベンジル又は2−フェニルエチル)が挙げられるが、これらに限定されない。あるいは、各A”は、Me、Et、nPr、iPr、nBu、iBu、又はtBu等のアルキル基であってもよい。あるいは、各A”は、アルキル基であってもよく、あるいは、各A”は、Et、iPr若しくはnPr等のPr、又はBuであってもよい。
あるいは、一般式(i)における各Aは、Me、Et、nPr、iPr、nBu、iBu、又はtBu等のアルキル基であってもよい。あるいは、各Aは、独立して、Et、ベンジル、メシチル、Ph、NEt、NMe、シクロオクタジエン、エトキシド、iPr、Bu、2−EHA、エトキシ、プロポキシ、メトキシ、及びカルボニルからなる群から選択され得る。
あるいは、Ni前駆体は、下記表1に示すもの等、市販の化合物であってもよい。
Figure 2014528940
表1中、「Strem」は、Strem Chemicals Inc.(Newburyport,Massachusetts,U.S.A.)を指す。
配位子は、Niに配位する有機化合物である。本明細書における一般式では、一価有機基は、一価炭化水素基又は一価ヘテロ原子含有基であってよい。一価炭化水素基の例としては、アルキル(例えば、Me、Et、Pr、Bu、ペンチル、又はヘキシル);アルケニル(例えば、ビニル、アリル、プロペニル、及びヘキセニル);飽和炭素環式基(例えば、シクロペンチル及びシクロヘキシル等のシクロアルキル)又は不飽和炭素環式基(例えば、シクロペンタジエニル又はシクロオクタジエニル)によって例示される炭素環式基;アリール(例えば、Ph及びナフチル);アラルキル(例えば、ベンジル、トリル、キシリル、メシチル又は2−フェニルエチル)が挙げられるが、これらに限定されない。
一般式における一価ヘテロ原子含有基の例としては、ハロゲン化炭化水素基又はヒドロカーボンオキシ基が挙げられる。一価ハロゲン化炭化水素基の例としては、ハロアルキル基、例えば、フッ素化アルキル基(例えば、CF、フルオロメチル、トリフルオロエチル、2−フルオロプロピル、3,3,3−トリフルオロプロピル、及び4,4,4−トリフルオロブチル);及び塩素化アルキル基(例えば、クロロメチル)が挙げられる。ヒドロカーボンオキシ基の例としては、アルコキシ及びアラルキルオキシが挙げられる。アルコキシ基は、OMe、OEt、OPr、及びOBu;あるいはOMeによって例示される。アラルキルオキシ基は、フェニルメトキシ及びフェニルエトキシによって例示される。あるいは、一価ヘテロ原子含有基は、環内の炭素原子に結合している1以上の置換基であって、その置換基のうちの1以上がヘテロ原子を含有する、置換基を有するアリール基若しくはアラルキル基、例えば、上記アラルキルオキシであってもよく、又は
Figure 2014528940

(式中、は、結合点を意味する)等の基であってもよい。
配位子は、一般式(ii):
Figure 2014528940

を有してよい。
一般式(ii)中、添え字xは、Qの価数に依存して0〜1の整数である。Qは、酸素、硫黄、窒素、及びリンから選択される。
一般式(ii)中、A、A、A、A、及びAは、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Aは、クロロベンゼンではない。
一般式(ii)中、Aは、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択される。
あるいは、一般式(ii)中、A及びAは、一緒になって環構造を形成してもよい。あるいは、A及びAは、一緒になって環構造を形成してもよい。あるいは、A及びAは、一緒になって環構造を形成してもよい。あるいは、A及びAは、一緒になって環構造を形成してもよい。このような環構造は、一般式(ii)中のピリジン環に縮合する。
一般式(ii)の配位子の例としては、表2中の483、484、486、488、510、512、777、785、788、799、805、819、2363、6269、8838、8842、8856、8945、及び8946が挙げられる。
あるいは、配位子は、一般式(iii):
Figure 2014528940

を有してもよい。
一般式(iii)中、Qは、酸素又は硫黄である。
一般式(iii)中、A、A、A、A10、及びA12は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択される。
一般式(iii)中、A11は、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A11は、ベンジルではない。
あるいは、一般式(iii)中、A及びは、結合して環構造を形成してもよい。あるいは、A及びAは、結合して環構造を形成してもよい。あるいは、A及びA10は、結合して環構造を形成してもよい。あるいは、A11及びA12は、結合して環構造を形成してもよい。一般式(iii)の配位子の例としては、表2中の604、2920、2921、6886、7282、7377、及び10364が挙げられる。
あるいは、配位子は、一般式(iv):
Figure 2014528940

を有してもよい。
一般式(iv)中、添え字xは、Qの価数に依存して0〜1の整数である。Qは、酸素、硫黄、及び窒素から選択される。
一般式(iv)中、A15、A16、A17、A18、A20、及びA21は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択される。
一般式(iv)中、各A19は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A19は、ジフェニルホスフィンを含有しない。
あるいは、一般式(iv)中、A20及び21は、一緒になって環構造を形成してもよい。あるいは、A19及びA18は、一緒になって環構造を形成してもよい。あるいは、A18及びA17は、一緒になって環構造を形成してもよい。あるいは、A17及びA16は、一緒になって環構造を形成してもよい。あるいは、A16及びA15は、一緒になって環構造を形成してもよい。一般式(iv)の配位子の例としては、表2中の755、1430、1483、1832、2072、2915、2927、3472、3586、3746、3749、4098、4117、5479、6870、7124、7471、7496、10132、及び10218が挙げられる。
あるいは、配位子は一般式(v):
Figure 2014528940

を有してもよい。
一般式(v)中、添え字xは、Qの価数に依存して、Q〜A29が破線によって表される単結合であろうと二重結合であろうと、0〜1の整数である。添え字yは、Qの価数に依存して、Q〜A31が破線によって表される単結合であろうと二重結合であろうと、0〜1の整数である。
一般式(v)中、Q及びQは、それぞれ独立して、N、O、P、及びSから選択される。
一般式(v)中、A25、A26、A27、A28、A29、A30、A31、及びA32は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択される。
あるいは、一般式(v)中、A29及びA25は、一緒になって環構造を形成してもよい。あるいは、A25及びA26は、一緒になって環構造を形成してもよい。あるいは、A26及びA27は、一緒になって環構造を形成してもよい。あるいは、A27及びA28は、一緒になって環構造を形成してもよい。あるいは、A28及びA32は、一緒になって環構造を形成してもよい。
あるいは、一般式(v)中、A29及びA30は、一緒になって環構造を形成してもよいが、但し、形成される環構造はピロールではない。あるいは、A31及びA32は、一緒になって環構造を形成してもよいが、但し、形成される環構造はピロールではない。一般式(v)の配位子の例としては、表2中の165、788、799、805、819、1116、1125、1214、1547、3544、3547、4151、4202、7639、及び8749が挙げられる。
あるいは、配位子は、一般式(vi):
Figure 2014528940

を有してもよい。
一般式(vi)中、添え字xは、Qの価数に依存して0〜1の整数である。Qは、N、O、P、及びSから選択される。
一般式(vi)中、A45、A46、A47、A49、A50、A51、及びA52は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Qが硫黄である場合、A45は、ベンゼンではない。
一般式(vi)中、A48は、一価有機基、水素、及び無機ヘテロ原子含有基から選択される。
あるいは、一般式(vi)中、A45及びA52は、結合して環構造を形成してもよい。あるいは、A52及びA51は、結合して環構造を形成してもよい。あるいは、A51及びA50は、結合して環構造を形成してもよい。あるいは、A50及びA49は、結合して環構造を形成してもよい。あるいは、A45及びA46は、結合して環構造を形成してもよい。一般式(vi)の配位子の例としては、635、1249、1888、2061、2062、2075、2272、3096、3191、4202、4990、5177、6253、6322、6340、6372、7124、及び7534が挙げられる。
あるいは、配位子は、一般式(vii):
Figure 2014528940

を有してもよい。
一般式(vii)中、添え字xは、Q10の価数に依存して0〜1の整数である。Q10は、N、O、P、及びSから選択される。破線は、単結合又は二重結合を示す。
一般式(vii)中、A56及びA57は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択される。
一般式(vii)中、A58及びA55は、それぞれ独立して、一価有機基、水素、又は無機基から選択される。
あるいは、一般式(vii)中、A56及びA57は、結合して環構造を形成してもよい。あるいは、A55及びA56は、結合して環構造を形成してもよい。一般式(vii)の配位子の例としては、表2中の配位子732、734、735、1936、2956、6417、9042、及び10267が挙げられる。
あるいは、配位子は、一般式(viii):
Figure 2014528940

を有してもよい。
一般式(viii)中、添え字xは、Q11の価数に依存して0〜1の整数である。添え字yは、Q12の価数に依存して0〜1の整数である。Q11及びQ12は、それぞれ独立して、N、O、P、及びSから選択されるが、但し、Q11又はQ12が窒素である場合、これらはイミン窒素ではない。破線は、単結合又は二重結合を表す。
一般式(viii)中、A60、A61、A65、及びA66は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択される。
一般式(viii)中、各A62、各A63、及び各A64は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択される。
あるいは、一般式(viii)中、A60及びA61は、一緒になって環構造を形成してもよい。あるいは、A61及びA62は、一緒になって環構造を形成してもよい。あるいは、A62及びA63は、一緒になって環構造を形成してもよい。あるいは、A63及びA64は、一緒になって環構造を形成してもよい。あるいは、A64及びA65は、一緒になって環構造を形成してもよい。あるいは、A65及びA66は、一緒になって環構造を形成してもよい。あるいは、A63及びA61は、一緒になって環構造を形成してもよい。一般式(viii)の配位子の例としては、表2中の748、1214、1769、3499、3547、3746、3749、6322、6372、7534、8881、8977、9042、及び9072が挙げられる。
あるいは、配位子は、一般式(ix):
Figure 2014528940

を有してもよい。
一般式(ix)中、添え字xは、Q17の価数に依存して0〜1の整数である。Q17は、N、O、P、及びSから選択される。Q17は、あるいは、炭素であってもよいが、但し、Q17が炭素である場合、その炭素は、A81とカルボニル結合、及びA80とヒドロキシル結合を形成する(例えば、配位子2816)。Q18は、O及びSから選択される。Q19は、ヒドロキシル及びチオールから選択される。
一般式(ix)中、A82、A83、A84、及びA85は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択される。
一般式(ix)中、A80及びA81は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択される。
あるいは、一般式(ix)中、A81及びA82は、一緒になって環構造を形成してもよい。あるいは、A82及びA83は、一緒になって環構造を形成してもよい。あるいは、A83及びA84は、一緒になって環構造を形成してもよい。あるいは、A84及びA85は、一緒になって環構造を形成してもよい。一般式(ix)の配位子の例としては、表2中の2816、3500、及び3505が挙げられる。
あるいは、配位子は、一般式(x):
Figure 2014528940

を有してもよい。
一般式(x)中、添え字xは、Q16の価数に依存して0〜1の整数である。Q16は、N、O、P、及びSから選択される。Q14は、酸素及び硫黄から選択される。Q15は、ヒドロキシル及びチオールから選択される。
一般式(x)中、各A76は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択される。
一般式(x)中、A77及びA78は、それぞれ独立して、一価有機基、水素、又は無機基から選択される。
一般式(x)中、あるいは、一般式(x)中、A76及びA77は、一緒になって環構造を形成してもよい。あるいは、A77及びA78は、一緒になって環構造を形成してもよい。一般式(x)の配位子の例としては、2363、2806、及び4226が挙げられる。
あるいは、配位子は一般式(xi):
Figure 2014528940

を有してもよい。
一般式(xi)中、添え字xは、Q50の価数に依存して0〜2の整数である。Q50は、N及びCから選択される。添え字y及びzは、それぞれ独立して、A90及びA88がそれぞれ結合しているNの価数に依存して0〜1の整数である。破線は、単結合又は二重結合を示す。2つの窒素原子は、平衡負帯電アニオン、あるいは四フッ化塩素又は四フッ化ホウ素で正に帯電し得る。
一般式(xi)では、A86及び各A89は、それぞれ独立して、一価有機基、水素、又は無機ヘテロ原子含有基から選択されるが、但し、A86は、チオール又はフェニルジイソプロピルを含有しない場合がある。
一般式(xi)では、A87、A88、A90、及びA91は、それぞれ独立して、一価有機基、水素、又は無機ヘテロ原子含有基から選択される。
あるいは、一般式(xi)では、A88及びA90は、一緒になって環構造を形成してもよい。あるいは、A87及びA88は、一緒になって環構造を形成してもよい。あるいは、A87及びA86は、一緒になって環構造を形成してもよい。あるいは、A86及びA91は、一緒になって環構造を形成してもよい。あるいは、A91及びA90は、一緒になって環構造を形成してもよい。一般式(xi)の配位子の例としては、表2中の4226、6253、6340、8500、8538、8749、8881、8977、9042、10374、10376、10377、10379、10380、10381、10390、10450、10451、10452、10454、10455、10456、及び10457が挙げられる。
あるいは、配位子は、一般式(xii):
Figure 2014528940

を有してもよい。
一般式(xii)中、添え字xは、1〜5の整数である。Q20は、N及びPから選択される。破線は、単結合又は二重結合を示す。
一般式(xii)中、A90、A91、A92、A9394、及びA95は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Q20が窒素であり、かつA95が水素である場合、A90及びA91は、シクロヘキシルではなく、またA90及びA91はジ(tert−ブチル)フェノールではない。
あるいは、A90及びA91は、一緒になって環構造を形成してもよいが、但し、環がホスファラン環である場合、A92及びA93は、フェニル基を形成する。あるいは、A91及びA92は、一緒になって環構造を形成してもよい。あるいは、A92及びA93は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、環構造はインデンではない。あるいは、A93及びA94は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、Q20を含む環構造中に他のヘテロ原子は存在しない。あるいは、A94及びA95は、一緒になって環構造を形成してもよい。
一般式(xii)中、添え字xが2以上である場合、A93の2以上の例は、一緒になって環構造を形成してもよい。一般式(xii)の配位子の例としては、表2中の10383、10384、10385、10386、10387、10389、10391、10392、10394、10397、10398、10399、10400、10401、10404、10407、及び10447が挙げられる。
あるいは、配位子は一般式(xiii):
Figure 2014528940

を有してもよい。
一般式(xiii)中、添え字xは、Q21の価数に依存して0〜1の整数である。Q21は、N、O、P、及びSから選択される。
一般式(xiii)中、A96、A97、A98、A99、A100、A101、A102、A103、A104、A105、A106、A107、及びA108は、それぞれ独立して、一価有機基、水素、ハロゲン、及び無機ヘテロ原子含有基から選択される。あるいは、A96及びA97は一緒になって環構造を形成してもよい。あるいは、A99及びA100は一緒になって環構造を形成してもよい。あるいは、A96及びA108は一緒になって環構造を形成してもよい。あるいは、A108及びA107は一緒になって環構造を形成してもよい。あるいは、A107及びA106は一緒になって環構造を形成してもよい。あるいは、A106及びA105は一緒になって環構造を形成してもよい。あるいは、A105及びA104は一緒になって環構造を形成してもよいが、但し、架橋原子は、アミン基におけるNではない。あるいは、A104及びA103は一緒になって環構造を形成してもよい。あるいは、A103及びA102は一緒になって環構造を形成してもよい。あるいは、A102及びA101は一緒になって環構造を形成してもよい。一般式(xiii)の配位子の例としては、表1中の10403、10405、10406、及び10446が挙げられる。
あるいは、配位子は一般式(xiv):
Figure 2014528940

を有してもよい。
一般式(xiv)中、添え字x及びyは、それぞれ独立して、0〜5の整数である。A111、A110、及びA112は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択される。一般式(xiv)の配位子の例としては、表2中の3179が挙げられる。
あるいは、配位子は一般式(xv):
Figure 2014528940

を有してもよい。
一般式(xv)中、Q22及びQ23は、それぞれ独立して、酸素及び硫黄から選択される。A115、A116、A117、及びA118は、それぞれ独立して、一価有機基、水素、又は無機基から選択される。
あるいは、A116及びA115は結合して環構造を形成してもよい。あるいは、A118及びA119は結合して環構造を形成してもよい。一般式(xv)の配位子の例としては、表2中の4570及び8768が挙げられる。
あるいは、配位子は一般式(xvi):
Figure 2014528940

を有してもよい。
一般式(xvi)中、添え字x及びyは、それぞれ独立して、0〜4の整数である。Q24及びQ25は、それぞれ独立して、O及びSから選択される。添え字zは、0〜1の整数である。
一般式(xvi)中、各A120は、独立して、一価有機基、水素、ハロゲン、及び無機ヘテロ原子含有基から選択される。
一般式(xvi)中、A121及びA122は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択される。一般式(xvi)の配位子の例としては、表2中の4548及び6510が挙げられる。
あるいは、配位子は一般式(xvii):
Figure 2014528940

を有してもよい。
一般式(xvii)中、Q26は、O及びSから選択される。Q27は、N及びCから選択される。添え字xは、0〜4の整数である。A125、A126、A127、A128、及びA130は、それぞれ独立して、一価有機基、水素、ハロゲン、又は無機ヘテロ原子含有基から選択される。A129は、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A128及びA129は結合して環構造を形成してもよい。一般式(xvii)の配位子の例としては、表2中の10441、10444、及び10445が挙げられる。
あるいは、配位子は一般式(xviii):
Figure 2014528940

を有してもよい。
一般式(xviii)中、A132、A133、及びA134は、それぞれ独立して、一価有機基、水素、ハロゲン、又は無機ヘテロ原子含有基から選択される。
一般式(xviii)中、A131及びA135は、独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択される。一般式(xviii)のリガンドの例としては、表2中の10453が挙げられる。
あるいは、配位子は一般式(xix):
Figure 2014528940

を有してもよい。
一般式(xix)中、各A141、各A142、各A143、及び各A144は、独立して、一価有機基、水素、ハロゲン、又は無機基から選択される。
一般式(xix)中、A136、A137、A139、及びA140は、独立して、一価有機基、水素、又は無機基から選択される。一般式(xix)の配位子の例としては、表2中の10150が挙げられる。
代表的な配位子の中性形態を表2に示す。あるいは、成分(A)を調製するために用いられる配位子は、表2中の配位子からなる群から選択される配位子であってよい。
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
本明細書及び上記表において有用な様々な配位子は、市販されている(例えば、American Custom Chemical Corporation(San Diego,California,U.S.A.)、Alfa Aesar(Ward Hill,Massachusetts,U.S.A.)、Ambinter(Paris,France)、Anthem Pharmaceutical Research LLC(Newington,Connecticut,U.S.A.)、ChemBridge Corporation(San Diego,California,U.S.A.)、Combi−Blocks(San Diego,California,U.S.A.)、Gelest,Inc.(Morrisville,Pennsylvania,U.S.A.)、Interchim,Inc.(San Pedro,California,U.S.A.)、Maybridge Chemical Co.,Ltd.(Belgium)、Princeton Biomolecular Research,Inc.(Princeton,New Jersey,U.S.A.)、Sigma−Aldrich,Inc.(St.Louis,Missouri,U.S.A.)、Strem Chemicals,Inc.(Newburyport,Massachusetts,U.S.A.)、TCI America(Portland,Oregon,U.S.A.)、及びVWR International,LLC(Radnor,Pennsylvania,U.S.A.)等の様々な供給メーカーから)及び/又は有機化学における従来の合成方法を用いて調製することができる。
成分(A)は、上記配位子とNi前駆体とを結合させることを含む方法によって調製することができる。本方法は、任意追加的に、Ni前駆体と配位子とを結合させる前に、Ni前駆体若しくは配位子のいずれか、又は両方を溶媒に溶解させる工程を更に含んでもよい。好適な溶媒は、成分(S)について以下に記載するものによって例示される。あるいは、配位子は、容器内の溶媒に溶解させてもよく、その後、Ni前駆体を配位子の入った容器に添加する前に溶媒を除去してもよい。配位子及びNi前駆体の量は、配位子のNi前駆体に対するモル比(金属:配位子比)が、10:1〜1:10、あるいは2:1〜1:2、あるいは1:1〜1:4、あるいは1:1〜1:2の範囲であり得るように選択される。Ni前駆体と配位子との結合は、容器内でこれらを混合する又は容器を振盪する等の任意の便利な手段によって実施してよい。
Ni前駆体と配位子との反応は、任意の便利な条件下で、上記の通り調製したNi前駆体と配位子とを−80℃〜200℃、あるいは25℃の室温(RT)で、ある時間反応させる等により、加熱することにより、又はこれらの組み合わせにより実施してよい。加熱は、例えば、25℃超〜200℃、あるいは25℃超〜75℃で実施してよい。加熱は、加熱マントル、加熱コイルを介して、又は容器をオーブン内に入れる等の任意の便利な手段によって実施してよい。錯化反応温度は、選択される具体的なNi前駆体と配位子との反応性及び金属:配位子比を含む様々な要因に依存するが、温度は、25℃〜200℃、あるいは25℃〜75℃の範囲であり得る。錯化反応時間は、選択される反応温度を含む様々な要因に依存するが、錯化反応時間は、典型的に、1秒(s)〜48時間(h)、あるいは1分(min)〜30時間(h)、あるいは45分〜15時間の範囲であり得る。配位子及びNi前駆体は、順次結合させ、加熱してもよい。あるいは、配位子及びNi前駆体は、同時に結合させ、加熱してもよい。
成分(A)の触媒活性反応生成物を調製する方法は、更に、上記の通り調製した反応生成物を活性化することを含んでよい。反応生成物の活性化は、上記反応生成物と還元剤とを結合させることによって、Ni−配位子錯体における金属原子の形式的な酸化状態を還元することによって実施してよい。反応生成物と結合させることができる還元剤の例としては、アルカリ金属アマルガム;水素、リチウムアルミニウム水素化物(LiAlH)又はナトリウムナフタレニド等の金属水素化物;シリル水素化物(これは、下記シラン架橋剤の全て又は一部に加えても、代りであってもよい);又はトリエチル水素化ホウ素ナトリウム(NaEtBH)、トリエチル水素化ホウ素リチウム(LiEtBH)、若しくは水素化ホウ素ナトリウム(NaBH)等の金属水素化ホウ素が挙げられる。好適な還元剤としては、Chem.Rev.1996,96,877〜910に記載されているものが挙げられる。
あるいは、上記反応生成物は、上記反応生成物とイオン性活性化剤とを結合させることを含むプロセスによって活性化してもよい。このプロセスで用いるためのイオン性活性化剤の例としては、炭酸塩、例えば、Li+[CB11Br]−、Li+[CBBr]−、Li+[CB1110Br]−、及びLi+[CBBr]−、NH+[CB11Br]−、NH+[CBBr]−、NH+[CB1110Br]−、NH+[CBBr]−、Na+[CB11Br]−、Na+[CBBr]−、Na+[CB1110Br]−、及びNa+[CBBr]−;又は金属ホウ酸塩、例えば、テトラキス(ペンタフルオロフェニル)ホウ酸リチウム(LiBArF)、テトラキス(3,5−トリフルオロメチル)フェニルホウ酸リチウム、テトラキス(3,5−トリフルオロメチル)フェニルホウ酸ナトリウム、又はこれらの混合物が挙げられる。
あるいは、上記還元生成物は、上記反応生成物と中性活性化剤とを結合させることを含む方法によって活性化してもよい。この方法で用いるための中性活性化剤の例としては、トリス(ペンタフルオロフェニル)ボラン及びトリス(ペンタフルオロフェニル)アランが挙げられる。
成分(A)の触媒活性反応生成物を調製する方法は、任意追加的に、反応後に溶媒を添加することを更に含んでもよい。好適な溶媒は、成分(S)について以下に記載するものによって例示される。あるいは、本方法は、任意追加的に、(例えば、錯化反応前又は中にNi前駆体と配位子との結合を促進するために用いられる)溶媒が存在する場合、反応副生成物及び/又は溶媒を除去することを更に含んでもよい。副生成物としては、例えば、配位子がNi前駆体と反応する場合、H−A(式中、Aは、一般式(i)において上に定義した通りである)又はNi前駆体の置換可能な置換基の反応から生じる任意の種が挙げられる。副生成物は、加熱しながら又は真空下におけるストリッピング若しくは蒸留、及び/又は濾過、結晶化、又はこれらの組み合わせ等の任意の便利な手段によって除去することができる。得られる単離Ni−配位子錯体は、成分(A)の触媒活性反応生成物として用いることができる。
あるいは、反応副生成物は、成分(A)として触媒活性反応生成物を用いる前に除去しない。例えば、配位子及びNi前駆体は、溶媒除去を行って又は行わずに、そして、活性化を行って又は行わずに、上記の通り反応させてよく、得られる反応生成物(Ni−配位子錯体及び反応副生成物、並びに任意追加的に溶媒又は希釈剤を含む)を成分(A)として用いてよい。理論に束縛されるものではないが、副生成物は、Ni−配位子錯体に加えて、ヒドロシリル化反応触媒として、又は共触媒若しくは活性化剤として作用し得ると考えられる。したがって、反応生成物は、ヒドロシリル化反応を触媒し得る。
組成物は、1種の単一触媒を含有し得る。あるいは、組成物は、成分(A)として上に記載した2以上の触媒を含んでよいが、この場合、2以上の触媒は、配位子の選択、前駆体の選択、金属:配位子比、及び一般式(i)における基Aの定義等の少なくとも1つの特性が異なる。組成物は、白金触媒を含まない場合もある。あるいは、組成物は、従来の金属触媒を含まない場合もある。あるいは、組成物は、成分(A)以外の成分(B)における不飽和基のヒドロシリル化反応を触媒するであろう任意のNi化合物を含まない場合もある。あるいは、組成物は、成分(A)以外のヒドロシリル化反応触媒を含まない場合もある。あるいは、組成物は、成分(A)以外の成分(B)における不飽和基のヒドロシリル化反応を触媒するであろう任意の成分を含まない場合もある。
成分(A)は、触媒的に有効な量で組成物中に存在する。正確な量は、成分(A)の反応性、成分(B)の種類及び量、並びに存在する場合、任意の更なる成分の種類及び量を含む様々な要因に依存する。しかし、組成物中の成分(A)の量は、組成物中の全ての成分の重量に基づいて、1百万分率(ppm)〜5%、あるいは0.1%〜2%、あるいは1ppm〜1%の範囲であり得る。
成分(B)は、ヒドロシリル化反応し得る脂肪族不飽和有機基を1分子当たり平均1以上有する脂肪族不飽和化合物である。あるいは、成分(B)は、脂肪族不飽和有機基を1分子当たり平均2以上有してもよい。脂肪族不飽和有機基は、ビニル、アリル、プロペニル、ブテニル、及びヘキセニルによって例示されるが、これらに限定されないアルケニルであり得る。不飽和有機基は、エチニル、プロピニル、及びブチニルによって例示されるが、これらに限定されないアルキニル基であり得る。
組成物の成分(B)は、不飽和炭化水素であってよく、その不飽和基は、ヒドロシリル化反応を介して反応することができる。成分(B)は、モノマーであってもよい。例えば、成分(B)に好適な脂肪族不飽和有機化合物としては、アルケン類、例えばエチレン、プロペン、1−ブテン、2−ブテン、1−ペンテン、1−ヘキセン、1−ヘプテン;ハロゲン化アルケン類、例えば、塩化アリル;ジオレフィン類、例えば、ジビニルベンゼン、ブタジエン、1,5−ヘキサジエン、及び1−ブテン−3−イン;シクロオレフィン類、例えば、シクロヘキセン及びシクロヘプテン;並びにアルキン類、例えば、アセチレン、プロピン、及び1−ヘキシンが挙げられるが、これらに限定されない。
また、例えば、不飽和がエチレン性である場合、ビニルシクロヘキシルエポキシド、アリルグリシジルエーテル、メチルビニルエーテル、ジビニルエーテル、フェニルビニルエーテル、エチレングリコールのモノアリルエーテル、アリルアルデヒド、メチルビニルケトン、フェニルビニルケトン、アクリル酸、メタクリル酸、メチルアクリレート、アリルアクリレート、メチルメタクリレート、アリルメタクリレート、ビニル酢酸、酢酸ビニル、及びリノレン酸等の酸素含有脂肪族不飽和化合物を成分(B)に用いることができる。
ジヒドロフラン及びジヒドロピラン等の環内に脂肪族不飽和を含有する複素環式化合物も、成分(B)として好適である。アクリロニトリル、N−ビニルピロリドン、シアン化アルキル、ニトロエチレン等の窒素置換基を含有する不飽和化合物も、成分(B)として好適である。
あるいは、組成物の成分(B)は、ポリマーを含む。成分(B)は、ヒドロシリル化反応し得る脂肪族不飽和有機基を1分子当たり平均1以上有するベースポリマーを含み得る。成分(B)は、上記様々な化合物のポリマー(例えば、コポリマー又はターポリマー)を含み得るが、但し、少なくとも1つの脂肪族不飽和が、ヒドロシリル化反応し得る。例としては、2〜20個の炭素原子を有するオレフィン性モノマー及び4〜20個の炭素原子を有するジエンに由来するポリマー;モノオレフィン、イソモノオレフィン、及びビニル芳香族モノマー、例えば、2〜20個の炭素原子を有するモノオレフィン、4〜20個の炭素原子を有するイソモノオレフィン、及びスチレン、パラ−アルキルスチレン、パラ−メチルスチレンを含むビニル芳香族モノマーのポリマーが挙げられる。あるいは、化合物は、ポリ(ジエン類)であってよい。ジエン類に由来する大部分のポリマーは、通常、主鎖又は側鎖に不飽和エチレン性単位を含有する。代表的な例としては、ポリブタジエン、ポリイソプレン、ポリブテニレン、ポリ(アルキル−ブテニレン)(アルキルは、1〜20個の炭素原子を有するアルキル基を含む)、ポリ(フェニル−ブテニレン)、ポリペンテニレン、天然ゴム(ポリイソプレンの形態)、及びブチルゴム(イソブチレン及びイソプレンのコポリマー)が挙げられる。
あるいは、成分(B)は、脂肪族不飽和を有するハロゲン化オレフィンポリマーを含み得る。脂肪族不飽和を有するハロゲン化オレフィンポリマーの代表的な例としては、ベンジル性ハロゲンを導入するためのパラ−メチルスチレンによるイソモノオレフィンのコポリマーの臭化から得られるポリマー、ハロゲン化ポリブタジエン、ハロゲン化ポリイソブチレン、ポリ(2−クロロ−1,3−ブタジエン)、ポリクロロプレン(85%トランス)、ポリ(1−クロロ−1−ブテニレン)(Neoprene(登録商標))、及びクロロスルホン化ポリエチレンが挙げられる。
あるいは、成分(B)は、ビニルエーテル基、アクリレート基、メチルアクリレート基、及びエポキシ官能基等の上記他の化合物を含有するポリマーを含み得る。
あるいは、成分(B)は、脂肪族不飽和を有するシランを含み得る。あるいは、シランは、一般式R35 xxSiR36 (4−xx)(式中、添え字xxは、1〜4の整数、あるいは1〜3の整数、あるいは1である)を有し得る。R35は、脂肪族不飽和有機基であり、R36は、H、ハロゲン原子、及び一価有機基から選択される。
あるいは、成分(B)は、脂肪族不飽和を有する直鎖状、分枝状、環状、又は樹脂性構造を有するケイ素含有ベースポリマーを含み得る。あるいは、ベースポリマーは、直鎖状及び/又は分枝状構造を有し得る。あるいは、ベースポリマーは、樹脂性構造を有し得る。ベースポリマーは、ホモポリマー又はコポリマーであってよい。成分(B)は、1つのベースポリマーであってよい。あるいは、成分(B)は、以下の特性:構造、粘度、平均分子量、シロキサン単位、及び配列のうちの少なくとも1つが異なる2以上のベースポリマーを含み得る。ベースポリマー中の脂肪族不飽和有機基は、末端に、側枝に、又は末端及び側枝の両方に位置し得る。
成分(B)についてベースポリマー中の残りのケイ素結合水素原子は、脂肪族不飽和を含まない一価有機基であってよい。一価炭化水素基の例としては、アルキル(例えば、Me、Et、Pr、Bu、ペンチル、ヘキシル、ヘプチル、オクチル、デシル、ドデシル、ウンデシル、及びオクタデシル);シクロアルキル(例えば、シクロペンチル及びシクロヘキシル);アリール(例えば、Ph、トリル、キシリル、及びナフチル);及びアラルキル(例えば、ベンジル、1−フェニルエチル、及び2−フェニルエチル)が挙げられるが、これらに限定されない。一価ハロゲン化炭化水素基の例としては、塩素化アルキル基(例えば、クロロメチル及びクロロプロピル基)、フッ素化アルキル基(例えば、フルオロメチル、2−フルオロプロピル、3,3,3−トリフルオロプロピル、4,4,4−トリフルオロブチル、4,4,4,3,3−ペンタフルオロブチル、5,5,5,4,4,3,3−ヘプタフルオロペンチル、6,6,6,5,5,4,4,3,3−ノナフルオロヘキシル及び8,8,8,7,7−ペンタフルオロオクチル)、塩素化シクロアルキル基(例えば、2,2−ジクロロシクロプロピル、2,3−ジクロロシクロペンチル)、及びフッ素化シクロアルキル基(例えば、2,2−ジフルオロシクロプロピル、2,3−ジフルオロシクロブチル、3,4−ジフルオロシクロヘキシル及び3,4−ジフルオロ−5−メチルシクロヘプチル)が挙げられるが、これらに限定されない。他の一価の有機基の例としては、限定するものではないが、酸素原子で置換された炭化水素基(例えば、グリシドキシアルキル)、及び窒素原子で置換された炭化水素基(例えば、アミノアルキル)、及びシアノ官能基(例えば、シアノエチル及びシアノプロピル)が挙げられる。
成分(B)は、
式(I):R SiO(R SiO)(RSiO)SiR
式(II):R SiO(R SiO)(RSiO)SiR
のポリジオルガノシロキサン、又はこれらの組み合わせを含み得る。
式(I)及び(II)では、各Rは、独立して、水素原子又は脂肪族不飽和を含まない一価有機基であり、各Rは、独立して、上記のものによって例示される脂肪族不飽和有機基である。添え字aは、0又は正の数であり得る。あるいは、添え字aは、少なくとも2の平均値を有する。あるいは、添え字aは、2〜2000の範囲の値を有し得る。添え字bは、0又は正の数であり得る。あるいは、添え字bは、0〜2000の範囲の平均値を有し得る。添え字cは、0又は正の数であり得る。あるいは、添え字cは、0〜2000の範囲の平均値を有し得る。添え字dは、少なくとも2の平均値を有する。あるいは、添え字dは、2〜2000の範囲の平均値を有し得る。Rに好適な一価有機基は、成分(B)について上記した通りである。あるいは、各Rは、Me等のアルキル及びPh等のアリールによって例示される一価炭化水素基である。各Rは、独立して、成分(B)について上記した脂肪族不飽和一価有機基である。あるいは、Rは、ビニル、アリル、ブテニル、及びヘキセニル等のアルケニル基、並びにエチニル及びプロピニル等のアルキニル基によって例示される。
成分(B)は、以下のようなポリジオルガノシロキサンを含み得る:
i)ジメチルビニルシロキシ末端ポリジメチルシロキサン、
ii)ジメチルビニルシロキシ末端ポリ(ジメチルシロキサン/メチルビニルシロキサン)、
iii)ジメチルビニルシロキシ末端ポリメチルビニルシロキサン、
iv)トリメチルシロキシ末端ポリ(ジメチルシロキサン/メチルビニルシロキサン)、
v)トリメチルシロキシ末端ポリメチルビニルシロキサン、
vi)ジメチルビニルシロキシ末端ポリ(ジメチルシロキサン/メチルビニルシロキサン)、
vii)ジメチルビニルシロキシ末端ポリ(ジメチルシロキサン/メチルフェニルシロキサン)、
viii)ジメチルビニルシロキシ末端ポリ(ジメチルシロキサン/ジフェニルシロキサン)、
ix)フェニル、メチル、ビニル−シロキシ末端ポリジメチルシロキサン、
x)ジメチルヘキセニルシロキシ末端ポリジメチルシロキサン、
xi)ジメチルヘキセニルシロキシ末端ポリ(ジメチルシロキサン/メチルヘキセニルシロキサン)、
xii)ジメチルヘキセニルシロキシ末端ポリメチルヘキセニルシロキサン、
xiii)トリメチルシロキシ末端ポリ(ジメチルシロキサン/メチルヘキセニルシロキサン)、
xiv)トリメチルシロキシ末端ポリメチルヘキセニルシロキサン、
xv)ジメチルヘキセニルシロキシ末端ポリ(ジメチルシロキサン/メチルヘキセニルシロキサン)、
xvi)ジメチルビニルシロキシ末端ポリ(ジメチルシロキサン/メチルヘキセニルシロキサン)
xvii)これらの組み合わせ。
対応するオルガノハロシラン類の加水分解及び縮合、又は環状ポリジオルガノシロキサン類の平衡等の、成分(B)として使用するのに好適なポリジオルガノシロキサン流体の調製方法は、当該技術分野において周知である。
上記ポリジオルガノシロキサンに加えて又は代えて、成分(B)は、更に、R SiO1/2単位及びSiO4/2単位から本質的になるMQ樹脂、RSiO3/2単位及びR SiO2/2単位から本質的になるTD樹脂、R SiO1/2単位及びRSiO3/2単位から本質的になるMT樹脂、R SiO1/2単位、RSiO3/2単位、及びR SiO2/2単位から本質的になるMTD樹脂、又はこれらの組み合わせ等の樹脂を含み得る。
各Rは、成分(B)について上記したものによって例示される一価有機基である。あるいは、Rによって表される一価有機基は、1〜20個の炭素原子を有し得る。あるいは、Rの一価有機基の例としては、一価炭化水素基、及び一価ハロゲン化炭化水素基が挙げられるが、これらに限定されない。
樹脂は、平均3〜30モル%、あるいは0.1〜30モル%、あるいは0.1〜5モル%、あるいは3〜100モル%の脂肪族不飽和有機基を含有し得る。脂肪族不飽和有機基は、アルケニル基、アルキニル基、又はこれらの組み合わせであってよい。樹脂中の脂肪族不飽和有機基のモル%は、樹脂中のシロキサン単位の総モル数に対する樹脂中の不飽和基含有シロキサン単位のモル数の比に100を乗じたものである。
樹脂の調製方法は、当該技術分野において周知である。例えば、樹脂は、少なくともアルケニル含有末端保護試薬で、Daudtらのシリカヒドロゾル封鎖プロセスにより製造された樹脂コポリマーを処理することにより調製され得る。Daudtらの方法は、米国特許第2,676,182号に開示されている。
Daudtらの方法は、酸性条件下でシリカヒドロゾルとトリメチルクロロシラン等の加水分解性トリオルガノシラン、ヘキサメチルジシロキサン等のシロキサン又はこれらの混合物とを反応させる工程、並びにM単位及びQ単位を有するコポリマーを回収する工程を含む。得られるコポリマーは、通常、2〜5重量%のヒドロキシル基を含有する。
典型的に2%未満のケイ素結合ヒドロキシル基を含有する樹脂は、Daudtらの生成物と不飽和有機基含有末端保護剤及び脂肪族不飽和を含まない末端保護剤とを、最終生成物中に3〜30モル%の不飽和有機基をもたらすのに十分な量で反応させることにより調製することができる。末端保護剤の例としては、シラザン、シロキサン及びシランが挙げられるがこれらに限定されない。好適な末端保護剤は、当該技術分野において既知であり、米国特許第4,584,355号、同第4,591,622号、及び同第4,585,836号に例示されている。単一の末端保護剤又はこのような剤の混合物を使用して、樹脂を調製することができる。
あるいは、成分(B)は、上記ポリオルガノシロキサン以外のケイ素含有ベースポリマーを含み得る。例えば、成分(B)に好適な他の化合物としては、アルキレン若しくはポリアルキレン基等のヒドロカルビル基、又はアリーレン基によって結合されているケイ素原子を含有するシラザン及び/又はポリマー物質が挙げられる。成分(B)として有用なケイ素修飾有機化合物としては、シラン又はシロキサンセグメントとして結合している少なくとも1つのケイ素原子を有する有機ポリマーが挙げられる。ケイ素含有単位は、脂肪族不飽和を含有してよく、有機ポリマー鎖における末端及び/若しくはペンダント位置において、又はコポリマーとして結合し得る。成分(B)の他の代表的なケイ素修飾有機ポリマーは、ビニルシロキシ−、アリルシロキシ−、及びヘキセニルシロキシ−有機ポリマー等のアルケニルシロキシ官能性ポリマー、並びにシロキサン有機ブロックコポリマーによって例示されるが、これらに限定されない。シラン修飾有機ポリマーの例は、オレフィン類、イソモノオレフィン、ジエン類、エチレン又はプロピレンオキシド、及びイソモノオレフィンとビニル芳香族モノマーとのシラングラフトコポリマー等の2〜20個の炭素原子を有するビニル芳香族モノマーに由来するシリル化ポリマーである。
上記ケイ素修飾有機ポリマーの例としては、ビニルシロキシ末端又はヘキセニルシロキシ末端ポリ(ジメチルシロキサン/ヒドロカルビル)コポリマー、ビニルシロキシ末端又はヘキセニルシロキシ末端ポリ(ジメチルシロキサン/ポリオキシアルキレン)ブロックコポリマー、アルケニルオキシジメチルシロキシ末端ポリイソブチレン、及びアルケニルオキシジメチルシロキシ末端ポリジメチルシロキサン/ポリイソブチレンブロックコポリマーが挙げられる。成分(B)に好適な化合物の例は、例えば、国際公開第2003/093369号に見出すことができる。
組成物中の成分(B)の量は、組成物の反応生成物の所望の形態、成分(B)の脂肪族不飽和基の量及びヒドロシリル化反応性、成分(A)の種類及び量、並びに成分(B)及び/又は成分(C)のケイ素結合水素原子の含有量を含む様々な要因に依存する。しかし、成分(B)の量は、組成物中の全ての成分の重量に基づいて、0.1重量%〜99.9重量%の範囲であり得る。
組成物中の成分(C)は、SiH官能性化合物、すなわち、ケイ素結合水素原子を1分子当たり平均1以上有する化合物である。成分(C)は、シラン及び/又はオルガノヒドロジェンシリコン化合物を含み得る。あるいは、成分(C)は、ケイ素結合水素原子を1分子当たり平均少なくとも2つ有し得る。組成物中の成分(C)の量は、成分(C)のSiH含有量、成分(B)の不飽和基含有量、及び所望の組成物の反応生成物の特性を含む様々な要因に依存するが、成分(C)の量は、成分(B)中の脂肪族不飽和有機基に対する成分(C)中のSiH基のモル比(一般に、SiH:Vi比と呼ばれる)を0.3:1〜5:1、あるいは0.1:10〜10:1の範囲にするのに十分であり得る。成分(C)は、モノマー又はポリマー構造を有し得る。成分(C)がポリマー構造を有する場合、ポリマー構造は、直鎖状、分枝状、環状、又は樹脂性構造であってよい。成分(C)がポリマーである場合、成分(C)は、ホモポリマー又はコポリマーであってよい。成分(C)中のケイ素結合水素原子は、末端に、側枝に、又は末端及び側枝位置の両方に位置し得る。成分(C)は、1つのSiH官能性化合物であってよい。あるいは、成分(C)は、2つ以上のSiH官能性化合物の組み合わせを含み得る。成分(C)は、以下の特性:構造、平均分子量、粘度、シロキサン単位、及び配列のうちの少なくとも1つが異なる2以上のオルガノヒドロジェンポリシロキサンであってよい。
成分(C)は、式R SiH(式中、添え字eは、0、1、2、又は3であり、添え字fは、1、2、3、又は4であるが、但し、(e+f)の合計は4である)のシランを含み得る。各Rは、独立して、ハロゲン原子又は一価有機基である。Rに好適なハロゲン原子は、塩素、フッ素、臭素、及びヨウ素によって例示される;あるいは塩素である。Rに好適な一価有機基としては、一価炭化水素及び一価ハロゲン化炭化水素基が挙げられるが、これらに限定されない。一価炭化水素基としては、アルキル(例えば、Me、Et、Pr、Bu、ペンチル、ヘキシル、ヘプチル、オクチル、デシル、ドデシル、ウンデシル、及びオクタデシル);シクロアルキル(例えば、シクロペンチル及びシクロヘキシル);アリール(例えば、Ph、トリル、キシリル、及びナフチル);及びアラルキル(例えば、ベンジル、1−フェニルエチル、及び2−フェニルエチル)が挙げられるが、これらに限定されない。一価ハロゲン化炭化水素基の例としては、塩素化アルキル基(例えば、クロロメチル及びクロロプロピル基)、フッ素化アルキル基(例えば、フルオロメチル、2−フルオロプロピル、3,3,3−トリフルオロプロピル、4,4,4−トリフルオロブチル、4,4,4,3,3−ペンタフルオロブチル、5,5,5,4,4,3,3−ヘプタフルオロペンチル、6,6,6,5,5,4,4,3,3−ノナフルオロヘキシル及び8,8,8,7,7−ペンタフルオロオクチル)、塩素化シクロアルキル基(例えば、2,2−ジクロロシクロプロピル、2,3−ジクロロシクロペンチル)、及びフッ素化シクロアルキル基(例えば、2,2−ジフルオロシクロプロピル、2,3−ジフルオロシクロブチル、3,4−ジフルオロシクロヘキシル及び3,4−ジフルオロ−5−メチルシクロヘプチル)が挙げられるが、これらに限定されない。他の一価有機基の例としては、酸素原子で置換された炭化水素基(例えば、グリシドキシアルキル)、アルコキシ基(例えば、メトキシ、エトキシ、プロポキシ、及びブトキシ)、及び窒素原子で置換された炭化水素基(例えば、アミノアルキル)、及びシアノ官能基(例えば、シアノエチル及びシアノプロピル)が挙げられるが、これらに限定されない。成分(C)に好適なシランの例は、トリクロロシラン(HSiCl)、MeHSiCl、又はMeHSi(OMe)によって例示される。
あるいは、成分(C)のオルガノヒドロジェンシリコン化合物は、HR SiO1/2、R SiO1/2、HRSiO2/2、R SiO2/2、RSiO3/2、HSiO3/2及びSiO4/2単位を含むが、これらに限定されないシロキサン単位を含むポリオルガノヒドロジェンシロキサンを含み得る。上記式中、各Rは、独立して、上記脂肪族不飽和を含まない一価有機基から選択される。
成分(C)は、
式(III):R SiO(R SiO)(RHSiO)SiR
式(IV):R HSiO(R SiO)(RHSiO)SiR
のポリオルガノヒドロジェンシロキサン、又はこれらの組み合わせを含み得る。
上記式(III)及び式(IV)中、添え字gは0〜2000の範囲の平均値を有し、添え字hは2〜2000の範囲の平均値を有し、添え字iは0〜2000の範囲の平均値を有し、添え字jは0〜2000の範囲の平均値を有する。各Rは、独立して、上記一価有機基である。
成分(C)のポリオルガノヒドロジェンシロキサンは、以下によって例示される:
a)ジメチルヒドロジェンシロキシ末端ポリジメチルシロキサン、
b)ジメチルヒドロジェンシロキシ末端ポリ(ジメチルシロキサン/メチルヒドロジェンシロキサン)、
c)ジメチルヒドロジェンシロキシ末端ポリメチルヒドロジェンシロキサン、
d)トリメチルシロキシ末端ポリ(ジメチルシロキサン/メチルヒドロジェンシロキサン)、
e)トリメチルシロキシ末端ポリメチルヒドロジェンシロキサン、
f)H(CHSiO1/2単位、及びSiO4/2単位から本質的になる樹脂、及び
g)これらの組み合わせ。
オルガノハロシランの加水分解及び縮合等の成分(C)として用いるのに好適な直鎖状、分枝状、及び環状オルガノヒドロジェンポリシロキサンを調製する方法は、当該技術分野において周知である。成分(C)として用いるのに好適なオルガノヒドロジェンポリシロキサン樹脂の調製方法もまた、米国特許第5,310,843号、同第4,370,358号、及び同第4,707,531号に例示されるように周知である。
あるいは、成分(C)のオルガノヒドロジェンシリコン化合物は、式(V)の化合物を含み得る:
Figure 2014528940

式中、各R29は、独立して、水素原子及び1〜20個の員原子を含む一価有機基から選択され、添え字kは、0〜18の範囲の値を有する整数であり、添え字mは、0〜19の範囲の値を有する整数であり、k+mは、3〜20、あるいは3〜40の範囲の値を有する整数である。各R30は、独立して、上記項に記載の通り一価有機基、ハロゲン原子、又はシロキサン単位から選択される。あるいは、各R30は、独立してハロゲン原子、エーテル基、アルコキシ基、アルコキシエーテル基、アシル基、エポキシ基、アミノ基、シリル基、又は−Z−R31基(式中、各Zは、独立して、酸素原子及び2〜20個の炭素原子を含む二価炭化水素基から選択される)から選択される官能基であり、各R31基は、独立して、−BR29 32 2−u、−Si R29 32 3−v、又は式(VI)によって記載される基から選択される:
(R32 3−n29 SiO1/2(R32 2−o29 SiO2/2(R32 1−p29 SiO3/2(SiO4/2(CR29 32 1−qaa(CR29 32 2−rbb(O(CR29 32 2−scc(CR29 32 3−tdd
式中、Bは、ホウ素を指し、各R29は、上記の通りであり、w+x+y+z+aa+bb+cc+ddの合計は、少なくとも2であり、添え字nは、0〜3の範囲の値を有する整数であり、添え字oは、0〜2の範囲の値を有する整数であり、添え字pは、0〜1の範囲の値を有する整数であり、添え字qは、0〜1の範囲の値を有する整数であり、添え字rは、0〜2の範囲の値を有する整数であり、添え字sは、0〜2の範囲の値を有する整数であり、添え字tは、0〜3の範囲の値を有する整数であり、添え字uは、0〜2の範囲の値を有する整数であり、添え字vは、0〜3の範囲の値を有する整数であり、各R32は、独立して、ハロゲン原子、エーテル基、アルコキシ基、アルコキシエーテル基、アシル基、エポキシ基、アミノ基、シリル基、又はZ−G基(式中、Zは、上記の通りであり、各Gは、式(VII)によって記載されるシクロシロキサンである)から選択される官能基である:
Figure 2014528940

式中、R29及びR30は、上記の通りであり、添え字eeは、1であり、添え字ffは、0〜18の範囲の値を有する整数であり、添え字ggは、0〜18の範囲の値を有する整数であり、ff+ggは、2〜20の範囲の値を有する整数であるが、但し、式(VII)中、R32基のうちの1つは、R31基を式(VII)のシクロシロキサンに結合させるZ基によって置換されており、かつ更にaa+bb+cc+dd>0である場合、w+x+y+z>0である。
このようなオルガノヒドロジェンシリコン化合物は、市販されており、両方ともDow Corning Corporation(Midland,Michigan,U.S.A.)から市販されているSYL−OFF(登録商標)SL2 CROSSLINKER及びSYL−OFF(登録商標)SL12 CROSSLINKERが挙げられる。上記オルガノヒドロジェンシリコン化合物、及びその調製方法は、国際公開第2003/093349号及び同第2003/093369号に例示される。代表的なオルガノヒドロジェンシリコン化合物は、以下の一般式を有し得る:
Figure 2014528940

(式中、各R33は、独立して、水素原子及び一価有機基から選択され、各R34は、独立して、水素原子、一価有機基、及び式:
Figure 2014528940

の基から選択され、添え字hhは、少なくとも1の値を有する整数であり、添え字jjは、少なくとも1の値を有する整数であり、添え字iiは、0の最小値を有する整数である)。一般式中、R33の少なくとも1つの例は、水素原子である。R33及び/又はR34に好適な一価有機基は、R29について上記した基によって例示される。
組成物中の成分(C)の正確な量は、成分(A)の反応性、成分(B)の種類及び量、成分(B)がケイ素結合水素原子を含有するかどうか、並びに存在する場合、任意の追加成分(成分(C)以外)の種類及び量を含む様々な要因に依存する。しかし、組成物中の成分(C)の量は、組成物中の全ての成分の総重量に基づいて、0%〜25%、あるいは0.1%〜15%、あるいは1%〜5%の範囲であり得る。
成分(D)は、スペーサーである。スペーサーは、有機粒子、無機粒子、又はこれらの組み合わせを含み得る。スペーサーは、熱伝導性、導電性、又は両方であり得る。スペーサーは、所望の粒径を有し得、例えば、粒径は、25マイクロメートル(μm)〜125μmであり得る。スペーサーは、ガラス又はポリマー(例えば、ポリスチレン)ビーズ等の単分散ビーズを含み得る。スペーサーは、アルミナ、窒化アルミニウム、噴霧金属粉末、窒化ホウ素、銅、及び銀等の熱伝導性充填剤を含み得る。成分(D)の量は、粒径分布、それから調製される組成物又は硬化生成物の使用中に印加される圧力、使用中の温度、及びそれから調製される組成物又は硬化生成物の所望の厚さを含む様々な要因に依存する。しかし、組成物は、0.05%〜2%、あるいは0.1%〜1%の範囲の量の成分(D)を含有し得る。
成分(E)は、増量剤及び/又は可塑剤である。非官能性ポリオルガノシロキサンを含む増量剤を、組成物で使用することができる。例えば、非官能性ポリオルガノシロキサンは、式R SiO2/2の二官能性単位及び式R SiR28−の末端単位を含み得、式中、各R及び各Rは、独立して、アルキル(例えば、メチル、エチル、プロピル、及びブチル)、アルケニル(例えば、ビニル、アリル、及びヘキセニル)、アリール(例えば、Ph、トリル、キシリル、及びナフチル)、及びアラルキル基(例えば、フェニルエチル)によって例示される一価炭化水素基等の一価有機基であり、R28は、酸素原子、又は末端単位のケイ素原子を別のケイ素原子と連結させる二価基である。R28の二価連結基は、二価有機基、シリコーン有機基、又は二価炭化水素基と二価シロキサン基との組み合わせであってよい。あるいは、各R28は、独立して、酸素原子及び二価炭化水素基から選択され得る。あるいは、各R28は、酸素原子であり得る。あるいは、各R28は、アルキレン基(例えば、エチレン、プロピレン、ブチレン、又はヘキシレン)、アリーレン基(例えば、フェニレン)、又はアルキルアリーレン基(例えば、
Figure 2014528940

)によって例示される二価炭化水素基であり得る。
あるいは、R28の例は、酸素原子であり得るが、R28の異なる例は、二価炭化水素基である。非官能性ポリオルガノシロキサンは、当該技術分野において既知であり、市販されている。好適な非官能性ポリオルガノシロキサンは、限定するものではないが、ポリジメチルシロキサンにより例示される。このようなポリジメチルシロキサンとしては、DOW CORNING(登録商標)200 Fluidsが挙げられ、これは、Dow Corning Corporation(Midland,Michigan,U.S.A.)から市販され、0.00005m/s(50cSt)〜0.1m/s(100,000cSt)、あるいは0.00005m/s(50cSt)〜0.05m/s(50,000cSt)、あるいは0.0125m/s(12,500cSt)〜0.06m/s(60,000cSt)の範囲の粘度を有し得る。
有機可塑剤は、上記の非官能性ポリオルガノシロキサン増量剤に加えて、又はその代わりに、使用され得る。有機可塑剤は、当該技術分野において既知であり、市販されている。有機可塑剤は、フタル酸塩、カルボン酸塩、カルボン酸エステル、アジピン酸塩、又はこれらの組み合わせを含み得る。有機可塑剤は、ビス(2−エチルヘキシル)テレフタレート、ビス(2−エチルヘキシル)−1,4−ベンゼンジカルボキシレート、2−エチルヘキシルメチル−1,4−ベンゼンジカルボキシレート、分枝状及び直鎖の1,2シクロヘキサンジカルボン酸,ジノニルエステル、ビス(2−プロピルへプチル)フタレート、ジイソノニルアジペート、及びこれらの組み合わせからなる群より選択され得る。
有機可塑剤は、式:
Figure 2014528940

の基を1分子当たり平均少なくとも1個有し得る(式中、Rは、水素原子又は一価有機基を表す)。あるいは、Rは、分枝状又は直鎖状の一価炭化水素基を表し得る。一価有機基は、4〜15個の炭素原子、あるいは9個〜12個の炭素原子のアルキル基等、分枝状又は直鎖状の一価炭化水素基であり得る。好適な可塑剤は、アジピン酸塩、カルボン酸塩、フタル酸塩及びこれらの組み合わせからなる群より選択され得る。
あるいは、有機可塑剤は、環状炭化水素中の炭素原子に結合した上記の式の基を、1分子当たり平均少なくとも2個有し得る。有機可塑剤は、以下の一般式を有し得る:
Figure 2014528940

この式中、Z基は、3個以上の炭素原子、あるいは3〜15個の炭素原子を有する環状炭化水素基を表す。添え字kは、1〜12の範囲の値を有し得る。Z基は、飽和又は芳香族であり得る。各R10は、独立して、水素原子、又は分枝状若しくは直鎖状の一価有機基である。Rの一価有機基は、Me、Et、又はBu等のアルキル基であり得る。あるいは、R10の一価有機基は、エステル官能基であり得る。各Rは、独立して、4〜15個の炭素原子のアルキル基等、分枝状又は直鎖状の一価炭化水素基である。
好適な有機可塑剤は、当該技術分野において既知であり、市販されている。可塑剤は、以下を含み得る:フタレート、例えば、ジアルキルフタレート(例えば、ジブチルフタレート(Eastman(商標)DBP可塑剤)、ジヘプチルフタレート、ジ(2−エチルヘキシル)フタレート又はジイソデシルフタレート(DIDP)、ビス(2−プロピルヘプチル)フタレート(BASF Palatinol(登録商標)DPHP)、ジ(2−エチルヘキシル)フタレート(Eastman(商標)DOP可塑剤)、ジメチルフタレート(Eastman(商標)DMP可塑剤)、ジエチルフタレート(Eastman(商標)DMP可塑剤)、ブチルベンジルフタレート、及びビス(2−エチルヘキシル)テレフタレート(Eastman(商標)425可塑剤));ジカルボキシレート、例えば、ベンジル、C7〜C9直鎖及び分枝状アルキルエステル、1,2,ベンゼンジカルボン酸(Ferro SANTICIZER(登録商標)261A)、1,2,4−ベンゼントリカルボン酸(BASF Palatinol(登録商標)TOTM−I)、ビス(2−エチルヘキシル)−1,4−ベンゼンジカルボキシレート(Eastman(商標)168可塑剤)、2−エチルヘキシルメチル−1,4−ベンゼンジカルボキシレート;1,2シクロヘキサンジカルボン酸、ジノニルエステル、分枝状及び直鎖状(BASF Hexamoll DINCH);アジピン酸ジイソノニル;トリメリテート、例えば、トリオクチルトリメリテート(Eastman(商標)TOTM可塑剤)、トリエチレングリコールビス(2−エチルヘキサノエート)(Eastman(商標)TEG−EH可塑剤)、トリアセチン(Eastman(商標)トリアセチン);非芳香族二塩基酸エステル、例えば、アジピン酸ジオクチル、ビス(2−エチルヘキシル)アジパート(Eastman(商標)DOA可塑剤及びEastman(商標)DOA可塑剤、Kosher)、ジ−2−エチルヘキシルアジパート(BASF Plastomoll(登録商標)DOA)、セバシン酸ジオクチル、セバシン酸ジブチル、及びコハク酸ジイソデシル;脂肪族エステル、例えば、オレイン酸ブチル及びレチノール酸メチルアセチル;リン酸塩、例えば、リン酸トリクレシル及びリン酸トリブチル;塩素化パラフィン;炭化水素油、例えば、アルキルジフェニル、及び部分水素化テルフェニル;プロセス油;エポキシ可塑剤、例えば、エポキシ化大豆油及びエポキシステアリン酸ベンジル;トリス(2−エチルヘキシル)エステル;脂肪酸エステル;並びにこれらの組み合わせ。他の好適な可塑剤及びその商業的供給元の例としては、BASF Palamoll(登録商標)652及びEastman 168 Xtreme(商標)可塑剤が挙げられる。
あるいは、ポリマー可塑剤を使用することもできる。ポリマー可塑剤の例としては、様々な方法によりビニル又はアリルモノマーを重合することによって得られるアルケニルポリマー;ポリアルキレングリコールエステル(例えば、ジエチレングリコールジベンゾエート、トリエチレングリコールジベンゾエート、及びペンタエリスリトールエステル);二塩基酸(例えば、セバシン酸、アジピン酸、アゼライン酸及びフタル酸)と二価アルコール(例えば、エチレングリコール、ジエチレングリコール、トリエチレングリコール、プロピレングリコール及びジプロピレングリコール)から得られるポリエステル可塑剤;それぞれ500以上の分子量を有するポリエーテルポリオール(例えば、ポリエチレングリコール、プロピレングリコール及びポリテトラメチレングリコール)とポリスチレン(例えば、ポリスチレン及びポリ−α−メチルスチレン)とを含むポリエーテル;並びに、ポリブタジエン、ポリブテン、ポリイソブチレン、ブタジエンアクリロニトリル及びポリクロロプレンが挙げられる。
成分(E)について上述したポリオルガノシロキサン増量剤及び有機可塑剤は、それぞれ単独で、又は2以上組み合わせて使用され得る。低分子量有機可塑剤と高分子量ポリマー可塑剤とを組み合わせて使用してもよい。組成物中で使用される成分(E)の正確な量は、組成物及びその硬化生成物の所望される最終用途を含む様々な要因に依存する。しかしながら、成分(E)の量は、組成物中の全成分の総重量に基づいて0.1〜10重量%の範囲であり得る。
成分(F)は、充填剤である。充填剤は、補強充填剤、増量充填剤、伝導性充填剤、又はこれらの組み合わせを含み得る。例えば、組成物は、任意追加的に、成分(f1)補強充填剤を更に含み得、これは、存在する場合には、組成物の重量に基づいて、0.1〜95重量%、あるいは1〜60重量%の範囲の量で添加され得る。成分(f1)の正確な量は、組成物の反応生成物の形態(例えば、ゲル又はゴム)、及び任意の他の充填剤が添加されるかどうかを含む様々な要因に依存する。好適な強化充填剤の例としては、チョップトKEVLAR(登録商標)等のチョップトファイバー、及び/又はヒュームドシリカ、シリカエアロゲル、シリカキセロゲル、及び沈殿シリカ等の強化シリカ充填剤が挙げられる。ヒュームドシリカは、当該技術分野において既知であり、市販されている:例えば、Cabot Corporation(Massachusetts,U.S.A.)から名称CAB−O−SILで販売されているヒュームドシリカ。
組成物は、任意追加的に、成分(f2)増量充填剤を、組成物の重量に基づいて、0.1〜95重量%、あるいは1〜60重量%、あるいは1〜20重量%の範囲の量で更に含み得る。増量充填剤の例としては、破砕石英、酸化アルミニウム、酸化マグネシウム、炭酸カルシウム(例えば、軽質炭酸カルシウム)、酸化亜鉛、タルク、珪藻土、酸化鉄、粘土、雲母、二酸化チタン、ジルコニア、砂、カーボンブラック、グラファイト、又はこれらの組み合わせが挙げられる。増量充填剤は、当該技術分野において既知であり、例えば、U.S.Silica(Berkeley Springs,WV)により名称MIN−U−SILで販売されている破砕シリカ等のように市販されている。好適な軽質炭酸カルシウムとしては、Solvay製のWinnofil(登録商標)SPM、並びにSMI製のUltrapflex(登録商標)及びUltrapflex(登録商標)100が挙げられる。
組成物は、任意追加的に、成分(f3)伝導性充填剤を更に含み得る。成分(F)は、熱伝導性及び導電性の両方であってよい。あるいは、成分(F)は、熱伝導性及び電気絶縁性であり得る。成分(F)は、窒化アルミニウム、酸化アルミニウム、アルミニウム三水和物、チタン酸バリウム、酸化ベリリウム、窒化ホウ素、炭素繊維、ダイヤモンド、グラファイト、水酸化マグネシウム、酸化マグネシウム、金属微粒子、オニキス、炭化ケイ素、炭化タングステン、酸化亜鉛、及びこれらの組み合わせからなる群から選択され得る。成分(F)は、金属充填剤、無機充填剤、溶融性充填剤、又はこれらの組み合わせを含み得る。金属充填剤は、金属の粒子及び粒子の表面上に層を有する金属の粒子を含む。これらの層は、例えば、粒子の表面上の金属窒化物層又は金属酸化物層であってよい。好適な金属充填剤は、アルミニウム、銅、金、ニッケル、銀、及びこれらの組み合わせからなる群から選択される金属の粒子、あるいはアルミニウムの粒子により例示される。好適な金属充填剤は、更に、表面上に窒化アルミニウム、酸化アルミニウム、酸化銅、酸化ニッケル、酸化銀、及びこれらの組み合わせからなる群から選択される層を有する上記金属の粒子により例示される。例えば、金属充填剤は、表面上に酸化アルミニウム層を有するアルミニウム粒子を含み得る。
無機伝導性充填剤は、オニキス;アルミニウム三水和物;酸化アルミニウム、酸化ベリリウム、酸化マグネシウム、及び酸化亜鉛等の金属酸化物;窒化アルミニウム及び窒化ホウ素等の窒化物;炭化ケイ素及び炭化タングステン等の炭化物;並びにこれらの組み合わせにより例示される。あるいは、無機伝導性充填剤は、酸化アルミニウム、酸化亜鉛、及びこれらの組み合わせにより例示される。溶融性充填剤は、Bi、Ga、In、Sn、又はこれらの合金を含み得る。溶融性充填剤は、任意追加的に、Ag、Au、Cd、Cu、Pb、Sb、Zn、又はこれらの組み合わせを更に含み得る。好適な溶融性充填剤の例としては、Ga、In−Bi−Sn合金、Sn−In−Zn合金、Sn−In−Ag合金、Sn−Ag−Bi合金、Sn−Bi−Cu−Ag合金、Sn−Ag−Cu−Sb合金、Sn−Ag−Cu合金、Sn−Ag合金、Sn−Ag−Cu−Zn合金、及びこれらの組み合わせが挙げられる。溶融性充填剤は、50℃〜250℃、あるいは150℃〜225℃の範囲の融点を有し得る。溶融性充填剤は、共晶合金、非共晶合金、又は純金属であってよい。溶融性充填剤は市販されている。
例えば溶融性充填剤は、Indium Corporation of America(Utica,N.Y.,U.S.A.)、Arconium(Providence,R.I.,U.S.A.)、及びAIM Solder(Cranston,R.I.,U.S.A)から入手可能である。アルミニウム充填剤は、例えば、Toyal America,Inc.(Naperville,Illinois,U.S.A.)、及びValimet Inc.(Stockton,California,U.S.A.)から市販されている。銀充填剤は、Metalor Technologies U.S.A.Corp.(Attleboro,Massachusetts,U.S.A.)から市販されている。
熱伝導性充填剤は、当該技術分野において公知であり、市販されている。例えば、CB−A20S及びAl−43−Meは、昭和電工株式会社から市販されている様々な粒径の酸化アルミニウム充填剤であり、AA−04、AA−2及びAA18は、住友化学株式会社から市販されている酸化アルミニウム充填剤である。商標KADOX(登録商標)及びXX(登録商標)を有する酸化亜鉛のような酸化亜鉛は、Zinc Corporation of America(Monaca,Pennsylvania,U.S.A.)から市販されている。
充填剤粒子の形状は特に制限されていないが、丸みを帯びた又は球状の粒子は、組成物中に充填剤を高装填した際に粘度が望ましくない程度にまで増加するのを防止し得る。
成分(F)は、単一の充填剤であってもよく、又は充填剤の粒子形状、平均粒径、粒径分布、及び種類等の少なくとも1つの特性が異なる2以上の充填剤の組み合わせであってもよい。例えば、より大きな平均粒径を有する第1の充填剤及びより小さな平均粒径を有する第2の充填剤等の、充填剤の組み合わせを用いることが望ましい場合がある。より大きな平均粒径を有する第1の充填剤及び第1の充填剤よりも小さな平均粒径を有する第2の充填剤の使用は、充填効率を改善することができる、かつ/又はこのような充填剤の組み合わせを含まない組成物に比べて、組成物の粘度を低下させることができる。
充填剤の平均粒径は、成分(F)について選択される充填剤の種類、及び組成物に添加される正確な量、並びに組成物の反応生成物の最終用途を含む様々な要因に依存する。しかし、充填剤は、0.1〜80μm、あるいは0.1〜50μm、あるいは0.1〜10μmの範囲の平均粒径を有し得る。
組成物中の成分(F)の量は、組成物について選択される最終用途及び組成物の反応生成物、成分(B)の種類及び量、並びに成分(F)について選択される充填剤の種類及び量を含む様々な要因に依存する。しかし、成分(F)の量は、組成物の0体積%〜80体積%、あるいは50体積%〜75体積%、あるいは30体積%〜80体積%の範囲であり得る。理論に束縛されるものではないが、充填剤の量が80体積%を超える場合、組成物は反応して、一部の用途では寸法一体性が不十分な生成物を形成する場合があると考えられる。
組成物は、任意追加的に、成分(G)処理剤を更に含み得る。成分(G)の量は、選択される処理剤の種類、処理される粒子(成分(F)及び/又は(D)等)の種類及び量、粒子が組成物への添加前に処理されるのかどうか、又は、粒子がその場で処理されるのか等の要因に依存して変動する。しかしながら、成分(G)は、組成物中の全ての成分の重量に基づいて、0.01〜20重量%、あるいは0.1〜15重量%、あるいは0.5〜5重量%の範囲の量で使用され得る。粒子、例えば、充填剤、物理的乾燥剤、特定の難燃剤、及び/又は特定の顔料は、存在する場合には、任意追加的に、成分(G)で表面処理され得る。粒子は、組成物に添加される前に、又はその場にて、成分(G)で処理され得る。成分(G)は、アルコキシシラン、アルコキシ官能性オリゴシロキサン、環状ポリオルガノシロキサン、ヒドロキシル官能性オリゴシロキサン、例えば、ジメチルシロキサン、又はメチルフェニルシロキサン、又は脂肪酸を含み得る。脂肪酸の例としては、ステアリン酸カルシウム等のステアリン酸が挙げられる。
成分(G)として使用できる幾つかの代表的な有機ケイ素充填剤処理剤としては、シリカ充填剤を処理するのに通常使用される組成物、例えば、オルガノクロロシラン、オルガノシロキサン、オルガノシラザン(例えば、ヘキサアルキルジシラザン)、及びオルガノアルコキシシラン(例えば、C13Si(OCH、C17Si(OC、C1021Si(OCH、C1225Si(OCH、C1429Si(OC、及びCCHCHSi(OCH)が挙げられる。使用できる他の処理剤としては、アルキルチオール、脂肪酸、チタン酸塩、チタン酸塩カップリング剤、ジルコン酸塩カップリング剤、及びこれらの組み合わせが挙げられる。
あるいは、成分(G)は、式:R11 Si(OR12(4−m)(式中、添え字mは、1〜3の範囲の値を有し得るか、あるいは添え字mは、3である)を有するアルコキシシランを含み得る。各R11は、独立して、1〜50個の炭素原子、あるいは8〜30個の炭素原子、あるいは8〜18個の炭素原子の一価炭化水素基等の一価有機基である。R11は、ヘキシル、オクチル、ドデシル、テトラデシル、ヘキサデシル及びオクタデシル等のアルキル基、並びにベンジル及びフェニルエチル等の芳香族基により例示される。R11は、飽和又は不飽和、並びに、分枝状又は非分枝状であり得る。あるいは、R11は、飽和及び非分枝状であり得る。
各R12は、独立して、1〜4個の炭素原子、あるいは1〜2個の炭素原子の飽和炭化水素基である。成分(G)として用いるのに好適なアルコキシシランは、ヘキシルトリメトキシシラン、オクチルトリエトキシシラン、デシルトリメトキシシラン、ドデシルトリメトキシシラン、テトラデシルトリメトキシシラン、フェニルエチルトリメトキシシラン、オクタデシルトリメトキシシラン、オクタデシルトリエトキシシラン、及びこれらの組み合わせによって例示される。
また、アルコキシ官能性オリゴシロキサンは、処理剤としても使用され得る。例えば、好適なアルコキシ官能性オリゴシロキサンとしては、式(V):(R13O)Si(OSiR14 15(4−n)のものが挙げられる。この式中、添え字nは、1、2、又は3であるか、あるいは、添え字nは3である。各R13は、アルキル基であり得る。各R14は、1〜10個の炭素原子の不飽和一価炭化水素基であり得る。各R15は、少なくとも10個の炭素原子を有する不飽和一価炭化水素基であり得る。
金属充填剤等の特定の粒子は、アルキルチオール(例えば、オクタデシルメルカプタン)、脂肪酸(例えば、オレイン酸及びステアリン酸)、及びこれらの組み合わせで処理され得る。
アルミナ又は不動態化窒化アルミニウムのための処理剤には、アルコキシシリル官能性アルキルメチルポリシロキサン(例えば、R16 17 Si(OR18(4−o−p)の部分加水分解縮合体又は共加水分解縮合体又は混合物)、又は加水分解可能な基が、シラザン、アシルオキシ、又はオキシモを含み得る類似の物質を挙げることができる。これらの全てにおいて、上記式中のR16等のSiに連結された基は、長鎖不飽和一価炭化水素又は一価芳香族官能性炭化水素である。各R17は、独立して、一価炭化水素基であり、各R18は、独立して、1〜4個の炭素原子を有する一価炭化水素基である。上記式中、添え字oは、1、2、又は3であり、添え字pは、0、1、又は2であるが、但し、合計(o+p)は、1、2、又は3である。
他の処理剤としては、アルケニル官能性ポリオルガノシロキサンが挙げられる。好適なアルケニル官能性ポリオルガノシロキサンとしては、限定するものではないが、以下のものが挙げられる:
Figure 2014528940

(式中、添え字qは、1,500以下の値を有する)。他の処理剤としては、モノ末端保護アルコキシ官能性ポリジオルガノシロキサン、すなわち、一端にアルコキシ基を有するポリジオルガノシロキサンが挙げられる。このような処理剤は、式:R2526 SiO(R26 SiO)Si(OR27、(式中、添え字uは、0〜100、あるいは1〜50、あるいは1〜10、あるいは3〜6の値を有する)によって例示される。各R25は、独立して、アルキル基(例えば、Me、Et、Pr、Bu、ヘキシル、及びオクチル);及びアルケニル基(例えば、Vi、アリル、ブテニル、及びHex)から選択される。各R26は、独立して、アルキル基(例えば、Me、Et、Pr、Bu、ヘキシル、及びオクチル)である。各R27は、独立して、アルキル基(例えば、Me、Et、Pr、及びBu)である。あるいは、各R25、各R26、及び各R27は、Meである。あるいは、各R25は、Viである。あるいは、各R26及び各R27は、Meである。
あるいは、水素結合可能なポリオルガノシロキサンが、処理剤として有用である。充填剤の表面を処理するためのこの方法は、相溶性の部分を充填剤表面に繋ぎ止める手段として、集積している又は分散している又はその両方のいずれかの多数の水素結合を利用する。水素結合可能なポリオルガノシロキサンは、水素結合可能なケイ素結合基を1分子当たり平均少なくとも1個有する。この基は、複数のヒドロキシル官能基を有する有機基、又は少なくとも1個のアミノ官能基を有する有機基から選択され得る。水素結合可能なポリオルガノシロキサンは、水素結合が、充填剤に対するポリオルガノシロキサンにとっての主な取り付け様式であることを意味する。ポリオルガノシロキサンは、充填剤と共有結合を形成できなくてもよい。水素結合可能なポリオルガノシロキサンは、糖−シロキサンポリマー、アミノ官能性ポリオルガノシロキサン、及びこれらの組み合わせからなる群から選択され得る。あるいは、水素結合可能なポリオルガノシロキサンは、糖−シロキサンポリマーであり得る。
成分(H)は、殺生物剤である。成分(H)の量は、選択される殺生物剤の種類及び所望される効果を含む要因に依存して変動する。しかしながら、成分(H)の量は、組成物の全ての成分の重量に基づいて、0重量%超〜5重量%の範囲であり得る。成分(H)は、(h1)殺真菌剤、(h2)除草剤、(h3)殺虫薬、(h4)抗菌剤、又はこれらの組み合わせによって例示される。
成分(h1)は、殺真菌剤であり、例えば、N−置換ベンゾイミダゾールカルバメート、ベンゾイミダゾリルカルバメート(例えば、メチル2−ベンゾイミダゾリルカルバメート、エチル2−ベンゾイミダゾリルカルバメート、イソプロピル2−ベンゾイミダゾリルカルバメート、メチルN−{2−[1−(N,N−ジメチルカルバモイル)ベンゾイミダゾリル]}カルバメート、メチルN−{2−[1−(N,N−ジメチルカルバモイル)−6−メチルベンゾイミダゾリル]}カルバメート、メチルN−{2−[1−(N,N−ジメチルカルバモイル)−5−メチルベンゾイミダゾリル]}カルバメート、メチルN−{2−[1−(N−メチルカルバモイル)ベンゾイミダゾリル]}カルバメート、メチルN−{2−[1−(N−メチルカルバモイル)−6−メチルベンゾイミダゾリル]}カルバメート、メチルN−{2−[1−(N−メチルカルバモイル)−5−メチルベンゾイミダゾリル]}カルバメート、エチルN−{2−[1−(N,N−ジメチルカルバモイル)ベンゾイミダゾリル]}カルバメート、エチルN−{2−[2−(N−メチルカルバモイル)ベンゾイミダゾリル]}カルバメート、エチルN−{2−[1−(N,N−ジメチルカルバモイル)−6−メチルベンゾイミダゾリル]}カルバメート、エチルN−{2−[1−(N−メチルカルバモイル)−6−メチルベンゾイミダゾリル]}カルバメート、イソプロピルN−{2−[1−(N,N−ジメチルカルバモイル)ベンゾイミダゾリル]}カルバメート、イソプロピルN−{2−[1−(N−メチルカルバモイル)ベンゾイミダゾリル]}カルバメート、メチルN−{2−[1−(N−プロピルカルバモイル)ベンゾイミダゾリル]}カルバメート、メチルN−{2−[1−(N−ブチルカルバモイル)ベンゾイミダゾリル]}カルバメート、メトキシエチルN−{2−[1−(N−プロピルカルバモイル)ベンゾイミダゾリル]}カルバメート、メトキシエチルN−{2−[1−(N−ブチルカルバモイル)ベンゾイミダゾリル]}カルバメート、エトキシエチルN−{2−[1−(N−プロピルカルバモイル)ベンゾイミダゾリル]}カルバメート、エトキシエチルN−{2−[1−(N−ブチルカルバモイル)ベンゾイミダゾリル]}カルバメート、メチルN−{1−(N,N−ジメチルカルバモイルオキシ)ベンゾイミダゾリル]}カルバメート、メチルN−{2−[N−メチルカルバモイルオキシ)ベンゾイミダゾリル]}カルバメート、メチルN−{2−[1−(N−ブチルカルバモイルオキシ)ベンゾイミダゾリル]}カルバメート、エトキシエチルN−{2−[1−(N−プロピルカルバモイル)ベンゾイミダゾリル]}カルバメート、エトキシエチルN−{2−[1−(N−ブチルカルバモイルオキシ)ベンゾイミダゾリル]}カルバメート、メチルN−{2−[1−(N,N−ジメチルカルバモイル)−6−クロロベンゾイミダゾリル]}カルバメート、及びメチルN−{2−[1−(N,N−ジメチルカルバモイル)−6−ニトロベンゾイミダゾリル]}カルバメート)、10,10’−オキシビスフェノキサルシン(商品名:Vinyzene,OBPA)、ジ−ヨードメチル−パラ−トリルスルホン、ベンゾチオフェン−2−シクロヘキシルカルボキサミド−S,S−ジオキシド、N−(フルオロジクロリドメチルチオ)フタルイミド(商品名:Fluor−Folper及びPreventol A3)、メチル−ベンゾイミダゾール−2−イルカルバメート(商品名:Carbendazim及びPreventol BCM)、亜鉛−ビス(2−ピリジルチオ−1−オキシド)(亜鉛ピリチオン)2−(4−チアゾリル)−ベンゾイミダゾール、N−フェニル−ヨードプロパルギルカルバメート、N−オクチル−4−イソチアゾリン−3−オン、4,5−ジクロリド−2−n−オクチル−4−イソチアゾリン−3−オン、N−ブチル−1,2−ベンズイソチアゾリン−3−オン、及び/又はトリアゾリル化合物(例えば、銀を含有するゼオライトと組み合わせたテブコナゾール)が挙げられる。
成分(h2)は除草剤であり、例えば、好適な除草剤としては、アミド系除草剤(例えば、アリドクロルN,N−ジアリル−2−クロロアセトアミド、CDEA 2−クロロ−N,N−ジエチルアセトアミド、エトニプロミド(RS)−2−[5−(2,4−ジクロロフェノキシ)−2−ニトロフェノキシ]−N−エチルプロピオンアミド)、アニリド系除草剤(例えば、シサニリドシス−2,5−ジメチルピロリジン−1−カルボキシアニリド、フルフェナセット4’−フルオロ−N−イソプロピル−2−[5−(トリフルオロメチル)−1,3,4−チアジアゾール−2−イルオキシ]アセトアニリド、ナプロアニリド(RS)−α−2−ナフトキシプロピオンアニリド)、アリールアラニン系除草剤(例えば、ベンゾイルプロップN−ベンゾイル−N−(3,4−ジクロロフェニル)−DL−アラニン、フラムプロップ−M N−ベンゾイル−N−(3−クロロ−4−フルオロフェニル)−D−アラニン)、クロロアセトアニリド系除草剤(例えば、ブタクロールN−ブトキシメチル−2−クロロ−2’,6’−ジエチルアセトアニリド、メタザクロル2−クロロ−N−(ピラゾール−1−イルメチル)アセト−2’,6’−キシリジド、プリナクロル(RS)−2−クロロ−N−(1−メチルプロパ−2−イニル)アセトアニリド)、スルホンアニリド系除草剤(例えば、クロランスラム3−クロロ−2−(5−エトキシ−7−フルオロ[1,2,4]トリアゾロ[1,5−c]ピリミジン−2−イルスルホンアミド)安息香酸、メトスラム2’,6’−ジクロロ−5,7−ジメトキシ−3’−メチル[1,2,4]トリアゾロ[1,5−a]ピリミジン−2−スルホンアニリド)、抗生物質系除草剤(例えば、ビラナホス4−[ヒドロキシ(メチル)ホスフィノイル]−L−ホモアラニル−L−アラニル−L−アラニン)、安息香酸系除草剤(例えば、クロランベン3−アミノ−2,5−ジクロロ安息香酸、2,3,6−TBA 2,3,6−トリクロロ安息香酸)、ピリミジニルオキシ安息香酸系除草剤(例えば、ビスピリバック2,6−ビス(4,6−ジメトキシピリミジン−2−イルオキシ)安息香酸)、ピリミジニルチオ安息香酸系除草剤(例えば、ピリチオバック2−クロロ−6−(4,6−ジメトキシピリミジン−2−イルチオ)安息香酸)、フタル酸系除草剤(例えば、クロルタールテトラクロロテレフタル酸)、ピコリン酸系除草剤(例えば、アミノピラリド4−アミノ−3,6−ジクロロピリジン−2−カルボン酸)、キノリンカルボン酸系除草剤(例えば、キンクロラック3,7−ジクロロキノリン−8−カルボン酸)、ヒ素系除草剤(例えば、CMAカルシウムビス(水素メチルアルソネート)、MAMAアンモニウム水素メチルアルソネート、亜ヒ酸ナトリウム)、ベンソイルシクロヘキサンジオン系除草剤(例えば、メソトリオン2−(4−メシル−2−ニトロベンゾイル)シクロヘキサン−1,3−ジオン)、ベンゾフラニルアルキルスルホネート系除草剤(例えば、ベンフレセート2,3−ジヒドロ−3,3−ジメチルベンゾフラン−5−イルエタンスルホネート)、カルバミン酸塩系除草剤(例えば、カルボキサゾールメチル5−tert−ブチル−1,2−オキサゾール−3−イルカルバメート、フェナスラム(fenasulam)メチル4−[2−(4−クロロ−o−トリルオキシ)アセトアミド]フェニルスルホニルカルバメート)、カルバニル酸塩系除草剤(例えば、BCPC(RS)−sec−ブチル3−クロロカルバニレート、デスメジファムエチル3−フェニルカルバモイルオキシフェニルカルバメート、スウェップメチル3,4−ジクロロカルバニレート)、シクロヘキセンオキシム系除草剤(例えば、ブトロキシジム(RS)−(EZ)−5−(3−ブチリル−2,4,6−トリメチルフェニル)−2−(1−エトキシイミノプロピル)−3−ヒドロキシシクロヘキサ−2−エン−1−オン、テプラロキシジム(RS)−(EZ)−2−{1−[(2E)−3−クロロアリルオキシイミノ]プロピル}−3−ヒドロキシ−5−ペルヒドロピラン−4−イルシクロヘキサ−2−エン−1−オン)、シクロプロピルイソオキサゾール系除草剤(例えば、イソキサクロルトール4−クロロ−2−メシルフェニル5−シクロプロピル−1,2−オキサゾール−4−イルケトン)、ジカルボキシミド系除草剤(例えば、フルメジン2−メチル−4−(α,α,α−トリフルオロ−m−トリル)−1,2,4−オキサジアジナン−3,5−ジオン)、ジニトロアニリン系除草剤(例えば、エタルフルラリンN−エチル−α,α,α−トリフルオロ−N−(2−メチルアリル)−2,6−ジニトロ−p−トルイジン、プロジアミン5−ジプロピルアミノ−α,α,α−トリフルオロ−4,6−ジニトロ−o−トルイジン)、ジニトロフェノール系除草剤(例えば、ジノプロップ4,6−ジニトロ−o−シメン−3−オール、エチノフェンα−エトキシ−4,6−ジニトロ−o−クレゾール)、ジフェニルエーテル系除草剤(例えば、エトキシフェンO−[2−クロロ−5−(2−クロロ−α,α,α−トリフルオロ−p−トリルオキシ)ベンゾイル]−L乳酸)、ニトロフェニルエーテル系除草剤(例えば、アクロニフェン2−クロロ−6−ニトロ−3−フェノキシアニリン、ニトロフェン2,4−ジクロロフェニル4−ニトロフェニルエーテル)、ジチオカルバメート系除草剤(例えば、ダゾメット3,5−ジメチル−1,3,5−チアジアジナン−2−チオン)、ハロゲン化脂肪族系除草剤(例えば、ダラポン2,2−ジクロロプロピオン酸、クロロ酢酸)、イミダゾリノン系除草剤(例えば、イマザピル(RS)−2−(4−イソプロピル−4−メチル−5−オキソ−2−イミダゾリン−2−イル)ニコチン酸)、無機除草剤(例えば、四ホウ酸二ナトリウム十水和物、アジ化ナトリウム)、ニトリル系除草剤(例えば、クロロキシニル3,5−ジクロロ−4−ヒドロキシベンゾニトリル、イオキシニル4−ヒドロキシ−3,5−ジ−ヨードベンゾニトリル)、有機リン系除草剤(例えば、アニロホスS−4−クロロ−N−イソプロピルカルバニロイルメチルO,O−ジメチルホスホロジチオネート)、グルホシネート4−[ヒドロキシ(メチル)ホスフィノイル]−DL−ホモアラニン、フェノキシ系除草剤(例えば、クロメプロップ(RS)−2−(2,4−ジクロロ−m−トリルオキシ)プロピオンアニリド、フェンテラコール2−(2,4,5−トリクロロフェノキシ)エタノール)、フェノキシ酢酸系除草剤(例えば、MCPA(4−クロロ−2−メチルフェノキシ)酢酸)、フェノキシ酪酸系除草剤(例えば、MCPB 4−(4−クロロ−o−トリルオキシ)酪酸)、フェノキシプロピオン酸系除草剤(例えば、フェノプロップ(RS)−2−(2,4,5−トリクロロフェノキシ)プロピオン酸)、アリールオキシフェノキシプロピオン酸系除草剤(例えば、イソキサピリホップ(RS)−2−[2−[4−(3,5−ジクロロ−2−ピリジルオキシ)フェノキシ]プロピオニル]イソオキサゾリジン)、フェニレンジアミン系除草剤(例えば、ジニトラミンN,N−ジエチル−2,6−ジニトロ−4−トリフルオロメチル−m−フェニレンジアミン)、ピラゾリルオキシアセトフェノン系除草剤(例えば、ピラゾキシフェン2−[4−(2,4−ジクロロベンゾイル)−1,3−ジメチルピラゾール−5−イルオキシ]アセトフェノン)、ピラゾリルフェニル系除草剤(例えば、ピラフルフェン2−クロロ−5−(4−クロロ−5−ジフルオロメトキシ−1−メチルピラゾール−3−イル)−4−フルオロフェノキシ酢酸)、ピリダジン系除草剤(例えば、ピリダフォル6−クロロ−3−フェニルピリダジン−4−オール)、ピリダジノン系除草剤(例えば、クロリダゾン5−アミノ−4−クロロ−2−フェニルピリダジン−3(2H)−オン、オキサピラゾン5−ブロモ−1,6−ジヒドロ−6−オキソ−1−フェニルピリダジン−4−イルオキサム酸)、ピリジン系除草剤(例えば、フルロキシピル4−アミノ−3,5−ジクロロ−6−フルオロ−2−ピリジルオキシ酢酸、チアゾピルメチル2−ジフルオロメチル−5−(4,5−ジヒドロ−1,3−チアゾール−2−イル)−4−イソブチル−6−トリフルオロメチルニコチネート)、ピリミジンジアミン除草剤(例えば、イプリダム6−クロロ−N−イソプロピルピリミジン−2,4−ジアミン)、四級アンモニウム系除草剤(例えば、ジエタムクアット1,1’−ビス(ジエチルカルバモイルメチル)−4,4’−ビピリジニウム、パラクアット1,1’−ジメチル−4,4’−ビピリジニウム)、チオカルバメート系除草剤(例えば、シクロエートS−エチルシクロヘキシル(エチル)チオカルバメート、チオカルバジルS−ベンジルジ−sec−ブチルチオカルバメート)、チオカルボネート除草剤(例えば、EXD O,O−ジエチルジチオビス(チオホルメート))、チオ尿素系除草剤(例えば、メチウロン1,1−ジメチル−3−m−トリル−2−チオ尿素)、トリアジン系除草剤(例えば、トリアジフラム(RS)−N−[2−(3,5−ジメチルフェノキシ)−1−メチルエチル]−6−(1−フルオロ−1−メチルエチル)−1,3,5−トリアジン−2,4−ジアミン)、クロロトリアジン系除草剤(例えば、シプラジン6−クロロ−N−シクロプロピル−N−イソプロピル−1,3,5−トリアジン−2,4−ジアミン、プロパジン6−クロロ−N,N−ジ−イソプロピル−1,3,5−トリアジン−2,4−ジアミン)、メトキシトリアジン系除草剤(例えば、プロメトンN,N−ジ−イソプロピル−6−メトキシ−1,3,5−トリアジン−2,4−ジアミン)、メチルチオトリアジン系除草剤(例えば、シアナトリン2−(4−エチルアミノ−6−メチルチオ−1,3,5−トリアジン−2−イルアミノ)−2−メチルプロピオニトリル)、トリアジノン系除草剤(例えば、ヘキサジノン3−シクロヘキシル−6−ジメチルアミノ−1−メチル−1,3,5−トリアジン−2,4(1H,3H)−ジオン)、トリアゾール系除草剤(例えば、エプロナズN−エチル−N−プロピル−3−プロピルスルホニル−1H−1,2,4−トリアゾール−1−カルボキサミド)、トリアゾロン系除草剤(例えば、カルフェントラゾン(RS)−2−クロロ−3−{2−クロロ−5−[4−(ジフルオロメチル)−4,5−ジヒドロ−3−メチル−5−オキソ−1H−1,2,4−トリアゾール−1−イル]−4−フルオロフェニル}プロピオン酸)、トリアゾールピリミジン系除草剤(例えば、フロラスラム2’,6’,8−トリフルオロ−5−メトキシ[1,2,4]トリアゾロ[1,5−c]ピリミジン−2−スルホンアニリド)、ウラシル系除草剤(例えば、フルプロパシルイソプロピル2−クロロ−5−(1,2,3,6−テトラヒドロ−3−メチル−2,6−ジオキソ−4−トリフルオロメチルピリミジン−1−イル)ベンゾエート)、尿素系除草剤(例えば、シクルロン3−シクロ−オクチル−1,1−ジメチル尿素、モニソウロン1−(5−tert−ブチル−1,2−オキサゾール−3−イル)−3−メチル尿素)、フェニル尿素系除草剤(例えば、クロロクスロン3−[4−(4−クロロフェノキシ)フェニル]−1,1−ジメチル尿素、シデュロン1−(2−メチルシクロヘキシル)−3−フェニル尿素)、ピリミジニルスルホニル尿素系除草剤(例えば、フラザスルフロン1−(4,6−ジメトキシピリミジン−2−イル)−3−(3−トリフルオロメチル−2−ピリジルスルホニル)尿素、ピラゾスルフロン5−[(4,6−ジメトキシピリミジン−2−イルカルバモイル)スルファモイル]−1−メチルピラゾール−4−カルボン酸)、トリアジニルスルホニル尿素除草剤(例えば、チフェンスルフロン3−(4−メトキシ−6−メチル−1,3,5−トリアジン−2−イルカルバモイルスルファモイル)チオフェン−2−カルボン酸)、
チアジアゾリル尿素除草剤(例えば、テブチウロン1−(5−tert−ブチル−1,3,4−チアジアゾール−2−イル)−1,3−ジメチル尿素)、並びに/又は、未分類の除草剤(例えば、クロルフェナック(2,3,6−トリクロロフェニル)酢酸、メタゾール2−(3,4−ジクロロフェニル)−4−メチル−1,2,4−オキサジアゾリジン−3,5−ジオン、トリタック(RS)−1−(2,3,6−トリクロロベンジルオキシ)プロパン−2−オール、2,4−D、クロリムロン、及びフェノキサプロップ)、並びにこれらの組み合わせが挙げられる。
成分(h3)は、殺虫剤である。好適な殺虫剤は、アトラジン、ダイアジノン、及びクロロピリホスにより例示される。本願の目的のため、殺虫剤は、昆虫忌避剤(例えば、N,N−ジエチル−メタ−トルアミド)及びプレスロイド(例えば、ピレトリン)を含む。
成分(h4)は、抗菌剤である。好適な抗菌剤は市販されており、例えば、DOW CORNING(登録商標)5700及びDOW CORNING(登録商標)5772が挙げられ、これらはDow Corning Corporation(Midland,Michigan,U.S.A.)製である。
あるいは、成分(H)は、例えば、ホウ素無水物、ホウ砂又は八ホウ酸二ナトリウム四水和物等のホウ素含有物質を含んでよく、これは殺虫剤、殺真菌剤、及び/又は難燃剤として機能し得る。
成分(I)は、同成分を含有するが、安定剤を除いた組成物に比べて、組成物の反応速度を変化させるために用いることができる安定剤である。ヒドロシリル化硬化性組成物用の安定剤は、メチルブチノール、エチニルシクロヘキサノール、ジメチルヘキシノール、及び3,5−ジメチル−1−ヘキシン−3−オール、1−ブチン−3−オール、1−プロピン−3−オール、2−メチル−3−ブチン−2−オール、3−メチル−1−ブチン−3−オール、3−メチル−1−ペンチン−3−オール、3−フェニル−1−ブチン−3−オール、4−エチル−1−オクチン−3−オール、3,5−ジメチル−1−ヘキシン−3−オール、及び1−エチニル−1−シクロヘキサノール、並びにこれらの組み合わせ等のアセチレン性アルコール;1,3,5,7−テトラメチル−1,3,5,7−テトラビニルシクロテトラシロキサン、1,3,5,7−テトラメチル−1,3,5,7−テトラヘキセニルシクロテトラシロキサン、及びこれらの組み合わせによって例示されるメチルビニルシクロシロキサン等のシクロアルケニルシロキサン;3−メチル−3−ペンテン−1−イン、3,5−ジメチル−3−ヘキセン−1−イン等のエン−イン化合物;ベンゾトリアゾール等のトリアゾール類;ホスフィン類;メルカプタン類;ヒドラジン類;テトラメチルエチレンジアミン等のアミン類、フマル酸ジアルキル、フマル酸ジアルケニル、フマル酸ジアルコキシアルキル、マレイン酸ジアリル等のマレイン酸塩;ニトリル類;エーテル類;一酸化炭素;シクロ−オクタジエン、ジビニルテトラメチルジシロキサン等のアルケン類;ベンジルアルコール等のアルコール類;並びにこれらの組み合わせによって例示される。
あるいは、組成物中の成分(I)は、シリル化アセチレン性化合物であってもよい。理論に束縛されるものではないが、シリル化アセチレン性化合物の添加は、シリル化アセチレン性化合物を含有しない、又は上記のような有機アセチレン性アルコール安定剤を含有する組成物のヒドロシリル化から得られる反応生成物に比べて、組成物のヒドロシリル化反応から調製される反応生成物の黄変を低減すると考えられる。
シリル化アセチレン性化合物は、(3−メチル−1−ブチン−3−オキシ)トリメチルシラン、((1,1−ジメチル−2−プロピニル)オキシ)トリメチルシラン、ビス(3−メチル−1−ブチン−3−オキシ)ジメチルシラン、ビス(3−メチル−1−ブチン−3−オキシ)シランメチルビニルシラン、ビス((1,1−ジメチル−2−プロピニル)オキシ)ジメチルシラン、メチル(トリス(1,1−ジメチル−2−プロピニルオキシ))シラン、メチル(トリス(3−メチル−1−ブチン−3−オキシ))シラン、(3−メチル−1−ブチン−3−オキシ)ジメチルフェニルシラン、(3−メチル−1−ブチン−3−オキシ)ジメチルヘキセニルシラン、(3−メチル−1−ブチン−3−オキシ)トリエチルシラン、ビス(3−メチル−1−ブチン−3−オキシ)メチルトリフルオロプロピルシラン、(3,5−ジメチル−1−ヘキシン−3−オキシ)トリメチルシラン、(3−フェニル−1−ブチン−3−オキシ)ジフェニルメチルシラン、(3−フェニル−1−ブチン−3−オキシ)ジメチルフェニルシラン、(3−フェニル−1−ブチン−3−オキシ)ジメチルビニルシラン、(3−フェニル−1−ブチン−3−オキシ)ジメチルヘキセニルシラン、(シクロヘキシル−1−エチン−1−オキシ)ジメチルヘキセニルシラン、(シクロヘキシル−1−エチン−1−オキシ)ジメチルビニルシラン、(シクロヘキシル−1−エチン−1−オキシ)ジフェニルメチルシラン、(シクロヘキシル−1−エチン−1−オキシ)トリメチルシラン、及びこれらの組み合わせによって例示される。あるいは、成分(I)は、メチル(トリス(1,1−ジメチル−2−プロピニルオキシ))シラン、((1,1−ジメチル−2−プロピニル)オキシ)トリメチルシラン、又はこれらの組み合わせによって例示される。成分(I)として有用なシリル化アセチレン性化合物は、酸受容体の存在下でそれをクロロシランと反応させることによって上記アセチレン性アルコールをシリル化する等、当該技術分野において公知である方法によって調製することができる。
組成物に添加される安定剤の量は、組成物の所望の可使時間、組成物が一成分組成物であるか多成分組成物であるか、用いられる具体的な安定剤、並びに存在する場合には成分(C)の選択及び量を含む様々な要因に依存する。しかし、存在する場合、安定剤の量は、組成物中の全ての成分の重量に基づいて、0重量%〜1重量%、あるいは0重量%〜5重量%、あるいは0.001重量%〜1重量%、あるいは0.01重量%〜0.5重量%、あるいは0.0025重量%〜0.025重量%の範囲であり得る。
成分(J)は、難燃剤である。好適な難燃剤としては、例えば、カーボンブラック、水酸化アルミニウム水和物、及びケイ酸塩(例えば、ウォラストナイト)、白金及び白金化合物を挙げることができる。あるいは、難燃剤は、ハロゲン系難燃剤、例えば、デカブロモジフェニルオキシド、オクタブロモジフェニルオキシド、ヘキサブロモシクロドデカン、デカブロモビフェニルオキシド、ジフェニルオキシベンゼン(diphenyoxybenzene)、エチレンビス−テトラブロモフタルアミド、ペンタブロモエチルベンゼン、ペンタブロモベンジルアクリレート、トリブロモフェニルマレイン酸イミド、テトラブロモビスフェニルA、ビス−(トリブロモフェノキシ)エタン、ビス−(ペンタブロモフェノキシ)エタン、ポリジブロモフェニレンオキシド、トリブロモフェニルアリルエーテル、ビス−ジブロモプロピルエーテル、テトラブロモフタル酸無水物、ジブロモネオペンチルグリコール、ジブロモエチルジブロモシクロヘキサン、ペンタブロモジフェニルオキシド、トリブロモスチレン、ペンタブロモクロロシクロヘキサン、テトラブロモキシレン、ヘキサブロモシクロドデカン、臭素化ポリスチレン、テトラデカブロモジフェノキシベンゼン、トリフルオロプロペン及びPVCから選択され得る。あるいは、難燃剤は、リン系難燃剤(例えば、(2,3−ジブロモプロピル)−ホスフェート、リン、環状リン酸塩、トリアリルホスフェート、ビス−メラミニウムペンテート、ペンタエリスリトール二環式ホスフェート、ジメチルメチルホスフェート、ホスフィンオキシドジオール、トリフェニルホスフェート、トリス−(2−クロロエチル)ホスフェート)、リン酸エステル(例えば、トリクレイル(tricreyl)、トリキシレニル、イソデシルジフェニル、エチルヘキシルジフェニル)、様々なアミンのリン酸塩(例えば、リン酸アンモニウム、トリオクチル、トリブチル又はトリス−ブトキシエチルホスフェートエステル)から選択され得る。他の難燃剤としては、テトラアルキル鉛化合物(例えば、テトラエチル鉛)、ペンタカルボニル鉄、メチルシクロペンタジエニルマンガントリカルボニル、メラミン及び誘導体(例えば、メラミン塩)、グアニジン、ジシアンジアミド、スルファミン酸アンモニウム、アルミナ三水和物、及び水酸化マグネシウムアルミナ三水和物を挙げることができる。
難燃剤の量は、選択される難燃剤、及び溶媒が存在するかどうか等の要因に依存して変動する。しかし、組成物中の難燃剤の量は、組成物の全ての成分の重量に基づいて、0重量%超〜10重量%の範囲であり得る。
成分(K)は、表面改質剤である。好適な表面改質剤は、(k1)接着促進剤及び(k2)離型剤により例示される。成分(k1)に好適な接着促進剤は、遷移金属キレート、ハイドロカーボンオキシシラン、例えば、アルコキシシラン、アルコキシシランとヒドロキシ官能性ポリオルガノシロキサンとの組み合わせ、アミノ官能性シラン、又はこれらの組み合わせを含み得る。接着促進剤は、当該技術分野において公知であり、式R19 20 Si(OR214−(r+s)(式中、各R19は、独立して、少なくとも3つの炭素原子を有する一価有機基であり;R20は、アミノ、エポキシ、メルカプト、又はアクリレート基等の接着促進基を有する少なくとも1つのSiC結合置換基を含有し;添え字rは、0〜2の範囲の値を有し;添え字sは、1又は2であり;(r+s)の合計は、3以下である)を有するシランを含み得る。あるいは、接着促進剤は、上記シランの部分縮合体を含み得る。あるいは、接着促進剤は、アルコキシシランとヒドロキシ官能性ポリオルガノシロキサンとの組み合わせを含み得る。
あるいは、接着促進剤は、不飽和又はエポキシ官能性化合物を含み得る。あるいは、接着促進剤は、不飽和又はエポキシ官能性アルコキシシランを含み得る。例えば、官能性アルコキシシランは、式R22 Si(OR23(4−t)(式中、添え字tは、1、2、又は3であるか、あるいは、添え字tは1である)を有し得る。各R22は、独立して一価有機基であるが、但し、少なくとも1つのR22は、不飽和有機基又はエポキシ官能性有機基である。R22のエポキシ官能性有機基は、3−グリシドキシプロピル及び(エポキシシクロヘキシル)エチルにより例示される。R22の不飽和有機基は、3−メタクリロイルオキシプロピル、3−アクリロイルオキシプロピル、並びに、ビニル、アリル、ヘキセニル、ウンデシレニル等の不飽和一価炭化水素基により例示される。各R23は、独立して、1〜4個の炭素原子、あるいは1〜2個の炭素原子の飽和炭化水素基である。R23は、Me、Et、Pr、及びBuによって例示される。
好適なエポキシ官能性アルコキシシランの例としては、3−グリシドキシプロピルトリメトキシシラン、3−グリシドキシプロピルトリエトキシシラン、(エポキシシクロヘキシル)エチルジメトキシシラン、(エポキシシクロヘキシル)エチルジエトキシシラン及びこれらの組み合わせが挙げられる。好適な不飽和アルコキシシランの例としては、ビニルトリメトキシシラン、アリルトリメトキシシラン、アリルトリエトキシシラン、ヘキセニルトリメトキシシラン、ウンデシレニルトリメトキシシラン、3−メタクリロイルオキシプロピルトリメトキシシラン、3−メタクリロイルオキシプロピルトリエトキシシラン、3−アクリロイルオキシプロピルトリメトキシシラン、3−アクリロイルオキシプロピルトリエトキシシラン、及びこれらの組み合わせが挙げられる。
あるいは、接着促進剤は、上記のようなヒドロキシ末端ポリオルガノシロキサンとエポキシ官能性アルコキシシランの反応生成物、又はヒドロキシ末端ポリオルガノシロキサンとエポキシ官能性アルコキシシランの物理的ブレンド等のエポキシ官能性シロキサンを含み得る。接着促進剤は、エポキシ官能性アルコキシシランとエポキシ官能性シロキサンとの組み合わせを含み得る。例えば、接着促進剤は、3−グリシドキシプロピルトリメトキシシランと、ヒドロキシ末端メチルビニルシロキサン及び3−グリシドキシプロピルトリメトキシシランの反応生成物との混合物、又は3−グリシドキシプロピルトリメトキシシランとヒドロキシ末端メチルビニルシロキサンとの混合物、又は3−グリシドキシプロピルトリメトキシシランとヒドロキシ末端メチルビニル/ジメチルシロキサンコポリマーとの混合物により例示される。
あるいは、接着促進剤は、HN(CHSi(OCH、HN(CHSi(OCHCH、HN(CHSi(OCH、HN(CHSi(OCHCH、CHNH(CHSi(OCH、CHNH(CHSi(OCHCH、CHNH(CHSi(OCH、CHNH(CHSi(OCHCH、HN(CHNH(CHSi(OCH、HN(CHNH(CHSi(OCHCH、CHNH(CHNH(CHSi(OCH、CHNH(CHNH(CHSi(OCHCH、CNH(CHNH(CHSi(OCH、CNH(CHNH(CHSi(OCHCH、HN(CHSiCH(OCH、HN(CHSiCH(OCHCH、HN(CHSiCH(OCH、HN(CHSiCH(OCHCH、CHNH(CHSiCH(OCH、CHNH(CHSiCH(OCHCH、CHNH(CHSiCH(OCH、CHNH(CHSiCH(OCHCH、HN(CHNH(CHSiCH(OCH、HN(CHNH(CHSiCH(OCHCH、CHNH(CHNH(CHSiCH(OCH、CHNH(CHNH(CHSiCH(OCHCH、CNH(CHNH(CHSiCH(OCH、CNH(CHNH(CHSiCH(OCHCH及びこれらの組み合わせにより例示されるアミノ官能性アルコキシシラン等のアミノ官能性シランを含み得る。
あるいは、接着促進剤は、遷移金属キレートを含み得る。好適な遷移金属キレートとしては、チタン酸塩、アセチルアセトナトジルコニウム等のジルコン酸塩、アセチルアセトナトアルミニウム等のアルミニウムキレート、及びこれらの組み合わせが挙げられる。あるいは、接着促進剤は、遷移金属キレートとアルコキシシランとの組み合わせ、例えば、グリシドキシプロピルトリメトキシシランとアルミニウムキレート又はジルコニウムキレートとの組み合わせを含み得る。
成分(k2)は、離型剤である。好適な離型剤は、フッ素化化合物(例えば、フルオロ官能性シリコーン又はフルオロ官能性有機化合物)により例示される。
あるいは、成分(K)の表面改質剤は、組成物の反応生成物の表面の外観を変化させるために使用することができる。例えば、表面改質剤は、組成物の反応生成物の表面の光沢を増加させるために使用することができる。このような表面改質剤は、アルキル及びアリール基を有するポリジオルガノシロキサンを含み得る。例えば、DOW CORNING(登録商標)550 Fluidは、Dow Corning Corporation(Midland,Michigan,U.S.A.)から市販されている粘度0.000125m/s(125cSt)のトリメチルシロキシ末端ポリ(ジメチル/メチルフェニル)シロキサンである。
あるいは、成分(K)は、亜麻仁油、桐油、大豆油、ヒマシ油、魚油、麻実油、綿実油、オイチシカ油若しくは菜種油等の植物又は動物供給源から得られる天然油であり得る。
成分(K)の正確な量は、成分(K)として選択される表面改質剤の種類、並びに組成物及びその反応生成物の最終用途を含む様々な要因に依存する。しかしながら、成分(K)は、存在する場合、組成物の重量に基づいて0.01〜50重量部、あるいは0.01〜10重量部、あるいは0.01〜5重量部の範囲の量で組成物に添加され得る。成分(K)は、1つの接着促進剤であってもよい。あるいは、成分(K)は、以下の特性のうちの少なくとも1つが異なる2以上の異なる表面改質剤を含んでもよい:構造、粘度、平均分子量、ポリマー単位、及び配列。
鎖延長剤は、二官能性シラン及び二官能性シロキサンを含んでよく、これらは、架橋が生じる前にポリオルガノシロキサン鎖の長さを延長する。鎖延長剤は、硬化生成物の引張弾性率を減少させるために使用することができる。鎖延長剤は、これら反応において、組成物の他の成分、例えば、存在する場合、成分(B)及び/又は成分(C)中の脂肪族不飽和基及び/又はケイ素結合水素原子と競合する。比較的低重合度を有するジメチルヒドロジェンシロキシ末端ポリジメチルシロキサン(例えば、3〜50の範囲のDP)を成分(L)として用いてよい。成分(L)は、1つの鎖延長剤であってよい。あるいは、成分(L)は、以下の特性のうちの少なくとも1つが異なる2以上の異なる鎖延長剤を含んでもよい:構造、粘度、平均分子量、ポリマー単位、及び配列。
成分(M)は、M単位、すなわち、式R24 SiO1/2(式中、各R24は、独立して、一価非官能性有機基、例えば、脂肪族不飽和を含まない一価炭化水素基を表す)のシロキサン単位を含む末端保護剤である。成分(M)は、トリオルガノシリル基、例えば、(CHSiO−によって一方の末端が、そして、ケイ素結合水素原子及び/又は脂肪族不飽和有機基によって他方の末端が保護されているポリオルガノシロキサンを含み得る。成分(M)は、ポリジオルガノシロキサン(例えば、ポリジメチルシロキサン)であり得る。ケイ素結合水素末端及びトリオルガノシリル末端基を両方有するポリジオルガノシロキサンは、50%超、あるいは75%超の、ケイ素結合水素原子としての総末端基を有し得る。ポリジメチルシロキサン中のトリオルガノシリル基の量は、組成物の硬化により調製される硬化生成物の弾性率を制御するために使用することができる。理論に束縛されるものではないが、トリオルガノシリル末端基の濃度が高いほど、硬化生成物における弾性率が低くなり得ると考えられる。成分(M)は、1つの末端保護剤であってもよい。あるいは、成分(M)は、以下の特性のうちの少なくとも1つが異なる2以上の異なる末端保護剤を含んでもよい:構造、粘度、平均分子量、ポリマー単位、及び配列。
成分(N)は、融剤である。組成物は、組成物中の全ての成分の重量に基づいて、0重量%〜2重量%の融剤を含み得る。カルボン酸及びアミン等の化学活性官能基を含有する分子を融剤として用いることができる。このような融剤は、コハク酸、アビエチン酸、オレイン酸、及びアジピン酸等の脂肪酸;安息香酸等の芳香族酸;トリエタノールアミン、アミンの塩酸塩、及びアミンの臭化水素酸塩等の脂肪族アミン及びその誘導体を含み得る。融剤は当該技術分野において既知であり、市販されている。
成分(O)は、老化防止添加剤である。老化防止添加剤は、酸化防止剤、紫外線吸収剤、紫外線安定剤、熱安定剤、又はこれらの組み合わせを含み得る。好適な酸化防止剤は、当該技術分野において既知であり、市販されている。好適な酸化防止剤としては、フェノール系酸化防止剤、及びフェノール系酸化防止剤と安定剤との組み合わせが挙げられる。フェノール性酸化防止剤としては、完全に立体的に妨害されているフェノール類及び部分的に妨害されているフェノール類;並びにテトラメチル−ピペリジン誘導体等の立体的に妨害されているアミン類が挙げられる。好適なフェノール性酸化防止剤としては、ビタミンE及びIRGANOX(登録商標)1010(Ciba Specialty Chemicals,U.S.A.製)が挙げられる。IRGANOX(登録商標)1010は、ペンタエリスリトールテトラキス(3−(3,5−ジ−t−ブチル−4−ヒドロキシフェニル)プロピオネート)を含む。紫外線吸収剤の例としては、分枝状及び直鎖状の2−(2H−ベンゾトリアゾール−2−イル)−6−ドデシル−4−メチル−フェノール(TINUVIN(登録商標)571)が挙げられる。紫外線安定剤の例としては、ビス(1,2,2,6,6−ペンタメチル−4−ピペリジル)セバケート、メチル1,2,2,6,6−ペンタメチル−4−ピぺリジル/セバケート、及びこれらの組み合わせ(TINUVIN(登録商標)272)が挙げられる。これら及び他のTINUVIN(登録商標)添加剤、例えば、TINUVIN(登録商標)765は、Ciba Specialty Chemicals(Tarrytown,NY,U.S.A.)から市販されている。他の紫外線及び光安定剤も市販されており、LowLite(Chemtura製)、OnCap(PolyOne製)、及びLight Stabilizer 210(E.I.du Pont de Nemours and Company(Delaware,U.S.A.)製)によって例示される。あるいは、例えば、組成物又はその硬化生成物から安定剤を移動させる能力を最小化するために、オリゴマー性(高分子量)安定剤を用いてもよい。オリゴマーの酸化防止剤安定剤(特に障害アミン光安定剤(HALS))の例は、Ciba TINUVIN(登録商標)622であり、これは、4−ヒドロキシ−2,2,6,6−テトラメチル−1−ピペリジンエタノールと共重合したブタン二酸のジメチルエステルである。熱安定剤は、酸化鉄及びカーボンブラック、カルボン酸鉄、セリウム水和物、ジルコン酸バリウム、オクタン酸セリウム及びオクタン酸ジルコニウム、並びにポルフィリンを含み得る。
成分(O)の量は、選択される具体的な老化防止添加剤及び所望される老化防止効果を含む様々な要因に依存する。しかし、成分(O)の量は、組成物中の全ての成分の重量に基づいて、0〜5重量%、あるいは0.1重量%〜4重量%、あるいは0.5重量%〜3重量%の範囲であり得る。成分(O)は、1つの老化防止添加剤であってもよい。あるいは、成分(O)は、2以上の異なる老化防止添加剤であってもよい。
成分(P)は、顔料である。本願の目的のため、用語「顔料」は、本明細書に記載する組成物の反応生成物に色を付与するのに使用される任意の成分を含む。顔料の量は、選択される顔料の種類及び生成物の所望される色合いを含む様々な要因に依存する。例えば、組成物は、組成物中の全成分の重量に基づいて、0〜20重量%、あるいは0.001〜5重量%の顔料を含み得る。
好適な顔料の例としては、インディゴ、二酸化チタンStan−Tone 50SP01 Green(PolyOneから市販されている)及びカーボンブラックが挙げられる。カーボンブラックの代表的な非限定例としては、Shawiniganアセチレンブラック(Chevron Phillips Chemical Company LPから市販されている)、SUPERJET(登録商標)カーボンブラック(LB−1011)(Elementis Pigments Inc.(Fairview Heights,IL U.S.A.)により供給されている)、SR 511(Sid Richardson Carbon Co,(Akron,OH U.S.A.)により供給されている)、並びにN330、N550、N762、N990(Degussa Engineered Carbons(Parsippany,NJ,U.S.A.)製)が挙げられる。
成分(Q)は酸受容体である。好適な酸受容体としては、酸化マグネシウム、酸化カルシウム、及びこれらの組み合わせが挙げられる。組成物は、組成物の重量に基づいて0重量%〜2重量%の成分(Q)を含み得る。
組成物は、任意追加的に、組成物のレオロジーを改質するために、組成物の重量に基づいて最大で5重量%、あるいは1〜2重量%の成分(R)レオロジー添加剤を更に含み得る。レオロジー添加剤は、当該技術分野において既知であり、市販されている。例としては、ポリアミド、Evonkから市販されているPolyvest、King Industriesから市販されているDisparlon、Du Pontから市販されているKevlar Fibre Pulp、Nanocorから市販されているRheospan、及びLubrizolから市販されているIrcogelが挙げられる。他の好適なレオロジー添加剤としては、ポリアミドワックス、硬化ヒマシ油誘導体、及び金属石鹸(例えば、ステアリン酸カルシウム、ステアリン酸アルミニウム及びステアリン酸バリウム)、及びこれらの組み合わせが挙げられる。
あるいは、成分(R)は、25℃にて固体(ワックス)である微結晶性ワックスを含み得る。融点は、所望される温度の適用範囲の下限にてワックスが融点を有するように、選択することができる。理論に束縛されるものではないが、成分(R)は、組成物の流動性を改善する加工助剤として作用する。理論に束縛されるものではないが、ワックスの配合は、充填剤の配合、(組成物の製造中の)混練及び脱気、並びに混合(多成分組成物の複数の構成部分の適用中の静的又は動的混合)を促進し得ると考えられる。ワックスは、溶融すると加工助剤として働き、混練中の組成物において充填剤の配合、混練プロセスそれ自体、並びに、使用される場合には脱気工程を容易にすると考えられる。ワックスは、100℃以下の溶融温度では、単純な静的ミキサーでも、適用前の多成分組成物の複数の構成部分の混合を促進し得る。
成分(R)としての使用に好適なワックスは、無極性炭化水素であり得る。ワックスは、分枝状構造、環状構造、又はこれらの組み合わせを有し得る。例えば、石油微結晶性ワックスは、Strahl & Pitsch,Inc.(West Babylon,NY,U.S.A.)から入手可能であり、例としては、SP 96(62℃〜69℃の範囲の融点)、SP 18(73℃〜80℃の範囲の融点)、SP 19(76℃〜83℃の範囲の融点)、SP 26(76℃〜83℃の範囲の融点)、SP 60(79℃〜85℃の範囲の融点)、SP 617(88℃〜93℃の範囲の融点)、SP 89(90℃〜95℃の範囲の融点)、及びSP 624(90℃〜95℃の範囲の融点)が挙げられる。他の石油微結晶性ワックスとしては、Crompton Corporation(Petrolia,Pennsylvania,U.S.A.)によって商標Multiwax(登録商標)として販売されているワックスが挙げられる。これらワックスとしては、180−W(飽和分枝状及び環状無極性炭化水素を含み、79℃〜87℃の範囲の融点を有する);Multiwax(登録商標)W−445(飽和分枝状及び環状無極性炭素原子を含み、76℃〜83℃の範囲の融点を有する);及びMultiwax(登録商標)W−835(飽和分枝状及び環状無極性炭素原子を含み、73℃〜80℃の範囲の融点を有する)が挙げられる。
成分(R)の量は、選択される具体的なレオロジー添加剤及び組成物の他の成分の選択を含む様々な要因に依存する。しかしながら、成分(R)の量は、組成物中の全ての成分の重量に基づいて0部〜20部、あるいは1部〜15部、あるいは1部〜5部の範囲であり得る。成分(R)は、1つのレオロジー添加剤であってもよい。あるいは、成分(R)は、2以上の異なるレオロジー添加剤を含んでもよい。
組成物中でビヒクルを用いてもよい。ビヒクルは、組成物の流動、及びシリコーン樹脂のような特定の成分の導入を促進し得る。明細書で使用されるビヒクルは、組成物の成分の流動化を促進するが成分とは本質的に反応しないものである。ビヒクルは、組成物中の成分の溶解性及び揮発性に基づいて選択され得る。「溶解性」は、ビヒクルが組成物の成分を溶解及び/又は分散させるのに十分であることを指す。「揮発性」は、ビヒクルの蒸気圧を指す。ビヒクルの揮発性が高すぎる(蒸気圧が高すぎる)場合、ヒドロシリル化反応中に組成物中で気泡が形成される場合があり、また、気泡が亀裂を生じさせたり、あるいは他の方法で反応生成物の特性を弱めたり、有害な影響を与えたりする場合がある。しかし、ビヒクルが十分な揮発性を有しない(蒸気圧が低すぎる)場合、ビヒクルは、組成物の反応生成物中で可塑剤として残存し得る。
好適なビヒクルとしては、好適な蒸気圧を有するポリオルガノシロキサン、例えば、ヘキサメチルジシロキサン、オクタメチルトリシロキサン、ヘキサメチルシクロトリシロキサン、及び他の低分子量ポリオルガノシロキサン、例えば、5×10−7〜15×10−6/s(0.5〜1.5cSt)Dow Corning(登録商標)200 Fluids及びDow Corning(登録商標)OS FLUIDS(これらは、Dow Corning Corporation(Midland,Michigan,U.S.A.)から市販されている)が挙げられる。
あるいは、ビヒクルは、有機溶媒を含み得る。有機溶媒は、アルコール(例えば、メタノール、エタノール、イソプロパノール、ブタノール、又はn−プロパノール)、ケトン(例えば、アセトン、メチルエチルケトン、又はメチルイソブチルケトン)、芳香族炭化水素(例えば、ベンゼン、トルエン、又はキシレン)、脂肪族炭化水素(例えば、ヘプタン、ヘキサン、又はオクタン)、グリコールエーテル(例えば、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル、プロピレングリコールn−ブチルエーテル、プロピレングリコールn−プロピルエーテル、又はエチレングリコールn−ブチルエーテル)、ハロゲン化炭化水素(例えば、ジクロロメタン、1,1,1−トリクロロエタン、又は塩化メチレン)、クロロホルム、ジメチルスルホキシド、ジメチルホルムアミド、アセトニトリル、テトラヒドロフラン、ホワイトスピリット、ミネラルスピリット、ナフサ、n−メチルピロリドン、又はこれらの組み合わせであり得る。
ビヒクルの量は、選択されるビヒクルの種類、並びに組成物のために選択される他の成分の量及び種類を含む様々な要因に依存する。しかし、ビヒクルの量は、組成物中の全ての成分の重量に基づいて、1〜99重量%、あるいは2〜50重量%の範囲であり得る。成分(S)は、例えば、混合及び送達を補助するために、組成物の調製中に添加することができる。成分(S)の全て又は一部は、任意追加的に、組成物を調製した後に除去してもよい。
成分(T)は界面活性剤である。好適な界面活性剤としては、シリコーンポリエーテル、エチレンオキシドポリマー、プロピレンオキシドポリマー、エチレンオキシドとプロピレンオキシドとのコポリマー、他の非イオン性界面活性剤、及びこれらの組み合わせが挙げられる。組成物は、組成物中の全ての成分の重量に基づいて、0重量%〜0.05重量%の界面活性剤を含み得る。
成分(U)は、腐食防止剤である。好適な腐食防止剤の例としては、ベンゾトリアゾール、メルカプタベンゾトリアゾール、及び市販の腐食防止剤、例えば、2,5−ジメルカプト−1,3,4−チアジアゾール誘導体(CUVAN(登録商標)826)及びアルキルチアジアゾール(CUVAN(登録商標)484)(R.T.Vanderbilt(Norwalk,Connecticut,U.S.A.)製)が挙げられる。存在する場合、成分(U)の量は、組成物の重量に基づいて0.05重量%〜0.5重量%の範囲であり得る。
本明細書に記載する特定の成分は1つを超える機能を有し得るため、上述の組成物の成分を選択する際、成分の種類に重複があり得る。例えば、特定のアルコキシシランは、充填剤処理剤及び接着促進剤として有用であり得、脂肪酸エステル等の特定の可塑剤も、充填剤処理剤として有用であり得る。例えば、カーボンブラック等の特定の粒子は、充填剤として、及び顔料として、更には、難燃剤として有用であり得る。更なる成分を組成物に添加する場合、更なる成分は、互いに異なる。
組成物は、周囲温度又は高温での混合等の任意の便利な手段により全ての成分を合わせることを含む方法により、調製することができる。成分(I)は、存在する場合、例えば、組成物が高温で調製される及び/又は組成物が一成分組成物として調製される場合、成分(A)の前に添加してよい。
成分(G)が存在する場合、組成物は、任意追加的に、粒子成分(例えば、存在する場合、充填剤及び/又はスペーサー)を成分(G)で表面処理し、次いで、その生成物を組成物の他の成分と混合することによって調製することができる。
あるいは、組成物は、例えば、成分(I)が存在しない場合、又は組成物を使用前に長時間保存する場合、多成分組成物として調製してよい。多成分組成物では、成分(A)は、ケイ素結合水素原子を有する任意の成分、例えば、成分(C)とは別の部分で保存され、その部分は、組成物の使用直前に合わせられる。例えば、二成分組成物は、混合等の任意の従来の手段によって(B)、(A)、(F)を含む成分、及び任意追加的に上記1以上の他の追加成分を合わせて、基剤を形成することによって調製してよい。硬化剤は、混合等の任意の従来の手段によって、(B)、(C)を含む成分、及び任意追加的に上記1以上の他の追加成分を合わせることによって調製してよい。成分は、周囲温度又は高温で合わせてよい。二成分型組成物を用いるとき、基剤の量の硬化剤の量に対する重量比は、1:1〜10:1の範囲であり得る。組成物は、ヒドロシリル化反応を介して反応して反応生成物を形成する。反応生成物は、シラン、ガム、ゲル、ゴム、又は樹脂等の様々な形態を有し得る。
これら実施例は、本発明の幾つかの実施形態を例示することを意図し、特許請求の範囲に記載されている本発明の範囲を限定するものであると解釈すべきではない。実施例では、以下の成分を使用した。
脂肪族不飽和化合物は、スチレン(B1)、1−オクテン(B2)、又は1−ヘキセン(B3)であってよく、これらは全てSigma−Aldrichから入手可能である。あるいは、脂肪族不飽和化合物は、2.6meqのケイ素結合ビニル基を含有し、9400のMw、及び0.0002m/s(200cSt)の粘度を有するビニル末端ポリジメチルシロキサン(B4)であってもよく、これは、Gelest,Inc.(Morrisville,Pennsylvania,U.S.A.)からDMS−V22として市販されている。SiH官能性化合物は、1,800〜2,100の範囲のMw及び2.6meqのSiH含量を有するトリメチルシロキシ末端ポリ(メチルヒドロジェン)シロキサン(「MDM」)(C1)であってもよく、これもGelest,Inc.からHMS−992として市販されている。あるいは、SiH官能性化合物は、フェニルシラン(「HSiPh」)(C2)であってもよく、これは、Sigma−Aldrichから市販されている。
対照触媒は、DOW CORNING(登録商標)2−0707 INTであり、これは、Ptとポリオルガノシロキサンとの錯体である。DOW CORNING(登録商標)2−0707 INTは、Dow Corning Corporation(Midland,Michigan,U.S.A.)から市販されている。
以下のモデル反応のうちの1以上を用いて、成分(A)について上記した通り調製した反応生成物の触媒活性を試験することができる。成分(B3)及び(C2)を[PhSi]反応で用いて、PhSiH(C13(3−z)を含む反応生成物[I]を生成しようと試みた。成分(B3)及び(C1)を[HMTS]反応で用いて、(HC)Si−O−Si(CH)(C13)−O−Si(CHを含む反応生成物[II]を生成しようと試みた。
Figure 2014528940
参照実施例−金属前駆体の形成
Strem Chemicalsから購入した無水NiI 0.273グラム(0.87mmol)を14.8グラムのTHFに溶解させ、−35℃で冷却した。Aldrichから購入したリチウムビス(トリメチルシリル)アミド(THF中1M)1.64グラム(1.84mmol)を14.8グラムのTHFと混合し、撹拌下で−35℃にてNiI溶液にゆっくり添加した。リチウムビス(トリメチルシリル)アミド溶液を添加した後、溶液は緑/茶〜濃茶色になった。温度を室温まで昇温し、撹拌下で3時間かけて60℃まで加熱した。得られた0.025Mの溶液を前駆体Ni−2として以下の実施例で用いた。
実施例1−金属−配位子錯体の形成
0.025モル(M)濃度のNi前駆体をTHFと混合するか、又は前駆体がTHFに不溶性であった場合、好適な溶媒と混合して、ジメチルスルホキシド(DSO)、トルエン、及びヘキサンから選択される配位子を溶解させることによって、前駆体溶液を調製した。ニッケル(II)ブロミドジメトキシエタン及びニッケル(II)ビストリメチルシリルアミドを、Ni前駆体として用いた。0.025M濃度の配位子とTHFとを混合することによって、上の表2に示す各配位子の溶液も調製した。上で調製した各配位子溶液を、バイアル1本あたり85マイクロリットル(μL)で2ミリリットル(mL)のバイアルに分注した。成分(A)として評価するためのサンプルを調製するために、上記金属前駆体溶液のうちの1つを、配位子を収容しているバイアルに添加し、更に85マイクロリットル(μL)のTHFを添加し、バイアルの内容物を2時間25℃の室温で300RPMにて混合した。金属:配位子比が1:1又は1:2になるように、十分な量の金属前駆体溶液を添加した。バイアル中の得られた混合物を−17℃の温度に冷却した。活性化剤を添加し、バイアルを室温に戻した。活性化剤は、0.05M濃度のLiBArFのTHF溶液又はNaEtBHのトルエン溶液、95μLであった。バイアル内容物を2時間混合した。得られたバイアル内容物を、ヒドロシリル化の触媒における使用について評価した。
実施例2−[PhSi]反応
[PhSi]反応を実施するために、ドデカン及び1−ヘキサン(B3)中PhSiH(C2)を、実施例1に従って調製したバイアルに添加した。バイアルに添加したPhSiH(C2)の量は、ドデカン中6.25M(H又はSiHとして)のPhSiH(C2)170μL、又は37.6μLのドデカン中PhSiH(C2)132.4μLのいずれかであった。1−ヘキセン(B3)の量は、145μLであった。各バイアルを50℃で一晩(16時間)混合した。得られた各バイアルの内容物を、下記方法に従ってGCで分析した。
実施例3−[HMTS]反応
[HMTS]反応を実施するために、1−ヘキセン(B3)及び1,1,1,3,5,5,5−ヘプタメチルトリシロキサン(C1)を、実施例1に従って調製したバイアルに添加した。添加した1−ヘキセンの量は、145μLであった。ヘプタメチルトリシロキサン(C1)の量は、ドデカン中3.4M(H又はSiHとして)の濃度のヘプタメチルトリシロキサン(C1)312μL、又は22μLのドデカン中290μLのヘプタメチルトリシロキサン(C1)であった。各バイアルを50℃で一晩(16時間)混合した。得られた各バイアルの内容物を、下記方法に従ってGCで分析した。
実施例4−GC測定
上記実施例で調製したサンプルについてガスクロマトグラフィー(GC)分析を行った。水素炎イオン化検出器(FID)を備えるHewlett−Packard 7890Aガスクロマトグラフを用いて、GC分析を実施した。Leap Combi−Palロボットを用いて自動で注入を行った。表3に詳述する通りシステムを構築した。
Figure 2014528940
GC温度プログラムの詳細は、表4中に記載する通りであり、オーブンは300℃の一定温度である。
Figure 2014528940
ドデカンを内部標準として用いて、クロマトグラフィー分析値を重力測定的に定量する。ドデカン及びフェニルシランの溶液から5%(w/w)で反応前に内部標準を導入した。分析物の理論的応答係数を計算し、ChemStationに入力して自動的に較正表を作製し、内部標準の存在下で分析物の濃度を定量的に計算した(等式1)。
RF分析物=([分析物]/面積分析物)×(面積IS/[IS])×RFIS(1)
等式1中の用語は、以下の通り定義される:RF分析物=分析物の応答係数、[分析物]=分析物の濃度、面積分析物=分析物のピーク面積、面積IS=内部標準のピーク面積、[IS]=内部標準の濃度、RFIS=内部標準の応答係数。
分析物の濃度、及びそれに対応して収量に依存して、0.3%〜10%の範囲の測定値の実験的及び機器的誤差、相対標準偏差を包含する。結果を表5に示す。
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
Figure 2014528940
表5では、Ni−1はニッケル(II)ブロミドジメトキシエタンであり、Ni−2はニッケル(II)ビストリメチルシリルアミドであった。

Claims (27)

  1. (1)Ni前駆体及び配位子を含む成分を合わせて、反応生成物を調製することを含む方法であって、
    前記Ni前駆体が、式(i)Ni−A(式中、各Aは、独立して、少なくとも1つのハロゲン原子を含有する一価有機基である)を有し、
    前記配位子が、
    一般式(xi)の化合物:
    Figure 2014528940

    (式中、添え字xは、0〜2の整数であり、添え字y及びzは、それぞれ独立して、0〜1の整数であり、破線は、単結合又は二重結合を示し、Q50は、N及びCから選択されるが、但し、2つのN原子は、平衡負帯電アニオンで正に帯電し得、A86及び各A89は、それぞれ独立して、一価有機基、水素、又は無機ヘテロ原子含有基から選択されるが、但し、A86は、チオール又はフェニルジイソプロピルを含有しなくてよく、A8788、A90、及びA91は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A88及びA90は、一緒になって環構造を形成してもよく、A87及びA88は、一緒になって環構造を形成してもよく、A87及びA86は、一緒になって環構造を形成してもよく、A86及びA91は、一緒になって環構造を形成してもよく、A91及びA90は、一緒になって環構造を形成してもよい)、又は
    及び
    Figure 2014528940

    のうちの1つである、方法。
  2. (1)Ni前駆体及び配位子を含む成分を合わせて、反応生成物を調製することを含む方法であって、
    前記Ni前駆体が、式(i)Ni−A(式中、各Aは、独立して、少なくとも1つのケイ素原子を含有する一価有機基である)を有し、
    前記配位子が、一般式(ii)、一般式(iv)、一般式(vi)、一般式(vii)、一般式(viii)、一般式(ix)、一般式(x)、一般式(xi)、又は一般式(xvi)のうちの1つであり、
    一般式(ii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、窒素、及びリンから選択され、A、A、A、A、及びAは、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A6は、クロロベンゼンではなく、Aは、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよい)であるか;又は
    一般式(iv)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、及び窒素から選択され、A15、A16、A17、A18、A20、及びA21は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A19は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A19はジフェニルホスフィンを含有せず、A20及びA21は、一緒になって環構造を形成してもよいが、但し、A19及びA18は、一緒になって環構造を形成してもよく、A18及びA17は、一緒になって環構造を形成してもよく、A17及びA16は、一緒になって環構造を形成してもよく、A16及びA15は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(vi)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、N、O、P、及びSから選択され、A45、A46、A47、A49、A50、A51、及びA52は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Qが硫黄である場合、A45は、ベンゼンではなく、A48は、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが;但し、A45及びA52は、結合して環構造を形成してもよく、A52及びA51は、結合して環構造を形成してもよく、A51及びA50は、結合して環構造を形成してもよく、A50及びA49は、結合して環構造を形成してもよく、A45及びA46は、結合して環構造を形成してもよい)であるか;又は
    一般式(vii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、破線は、単結合又は二重結合を示し、A56及びA57は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A58及びA55は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A56及びA57は、結合して環構造を形成してもよく、A55及びA56は、結合して環構造を形成してもよい)であるか;又は
    一般式(viii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、添え字yは、0〜1の整数であり、Q11及びQ12は、それぞれ独立して、N、O、P、及びSから選択されるが、但し、Q11又はQ12が窒素である場合、これらはイミン窒素ではなく、破線は、単結合又は二重結合を表し、A60、A61、A65、及びA66は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A62、各A63、及び各A64は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A60及びA61は、一緒になって環構造を形成してもよく、A61及びA62は、一緒になって環構造を形成してもよく、A62及びA63は、一緒になって環構造を形成してもよく、A63及びA64は、一緒になって環構造を形成してもよく、A64及びA65は、一緒になって環構造を形成してもよく、A65及びA66は、一緒になって環構造を形成してもよく、A63及びA61は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(ix)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q17が、N、O、P、及びS、及びCから選択されるが、但しQ17がCである場合、該炭素は、A81とカルボニル結合を、及びA80とヒドロキシル基を形成し、Q18は、O及びSから選択され、Q19は、ヒドロキシル及びチオールから選択され、A82、A83、A84、及びA85は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A80及びA81は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A81及びA82は、一緒になって環構造を形成してもよく、A82及びA83は、一緒になって環構造を形成してもよく、A83及びA84は、一緒になって環構造を形成してもよく、A84及びA85は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(x)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q16は、N、O、P、及びSから選択され、Q14は、酸素及び硫黄から選択され、Q15は、ヒドロキシル及びチオールから選択され、各A76は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A77及びA78は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A76及びA77は、一緒になって環構造を形成してもよく、A77及びA78は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xi)の化合物が、
    Figure 2014528940

    (式中、添え字xは、0〜2の整数であり、添え字y及びzは、それぞれ独立して、0〜1の整数であり、破線は、単結合又は二重結合を示し、Q50は、N及びCから選択されるが、但し、2つのN原子は、平衡負帯電アニオンで正に帯電し得、A86及び各A89は、それぞれ独立して、一価有機基、水素、又は無機ヘテロ原子含有基から選択されるが、但し、A86は、チオール又はフェニルジイソプロピルを含有しなくてよく、A8788、A90、及びA91は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A88及びA90は、一緒になって環構造を形成してもよく、A87及びA88は、一緒になって環構造を形成してもよく、A87及びA86は、一緒になって環構造を形成してもよく、A86及びA91は、一緒になって環構造を形成してもよく、A91及びA90は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xvi)が、
    Figure 2014528940

    (式中、添え字x及びyは、それぞれ独立して、0〜4の整数であり、Q24及びQ25は、それぞれ独立して、O及びSから選択され、添え字zは、0〜1の整数であり、各A120は、独立して、一価有機基、水素、ハロゲン、及び無機ヘテロ原子含有基から選択され、A121及びA122は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択される)である、方法。
  3. 以下の条件:
    前記配位子が、一般式(ii)を有し、且つ前記配位子が、表2中の8945であるか;又は
    前記配位子が、一般式(iv)を有し、且つ前記配位子が、表2中の配位子7496及び10132からなる群から選択されるか;又は
    前記配位子が、一般式(vi)を有し、且つ前記配位子が、表2中の配位子7534からなる群から選択されるか;又は
    前記配位子が、一般式(vii)を有し、且つ前記配位子が、表2中の配位子1936、2956及び9072からなる群から選択されるか;又は
    前記配位子が、一般式(viii)を有し、且つ前記配位子が、表2中の配位子7534及び9072からなる群から選択されるか;又は
    前記配位子が、一般式(ix)を有し、且つ前記配位子が、表2中の配位子3500からなる群から選択されるか;又は
    前記配位子が、一般式(x)を有し、且つ前記配位子が、表2中の配位子2806からなる群から選択されるか;又は
    前記配位子が、一般式(xi)を有し、且つ前記配位子が、表2中の配位子8538からなる群から選択されるか;又は
    前記配位子が、一般式(xvi)を有し、且つ前記配位子が、表2中の配位子4548からなる群から選択される、のうちの少なくとも1つが満たされる、請求項2に記載の方法。
  4. (2)イオン性活性化剤(LiBArF)を前記反応生成物と合わせることを更に含む、請求項1〜3のいずれか一項に記載の方法。
  5. (1)Ni前駆体及び配位子を含む成分を合わせて、反応生成物を調製することを含む方法であって、
    前記Ni前駆体が、式(i)Ni−A(式中、各Aは、独立して、少なくとも1つのハロゲン原子を含む一価有機基である)を有し、
    前記配位子が、一般式(ii)、一般式(iii)、一般式(iv)、一般式(vi)、一般式(vii)、一般式(viii)、一般式(xii)、又は一般式(xiii)のうちの1つであり、
    一般式(ii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、窒素、及びリンから選択され、A、A、A、A、及びAは、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A6は、クロロベンゼンではなく、Aは、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよい)であるか;又は
    一般式(iii)が、
    Figure 2014528940

    (式中、Qは、酸素又は硫黄であり、A、A、A、A10、及びA12は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A11は、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A11は、ベンジルではなく、但し、A及びAは、結合して環構造を形成してもよく、A及びAは、結合して環構造を形成してもよく、A及びA10は、結合して環構造を形成してもよく、A11及びA12は、結合して環構造を形成してもよい)であるか;又は
    一般式(iv)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、及び窒素から選択され、A15、A16、A17、A18、A20、及びA21は、−それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A19は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A19はジフェニルホスフィンを含有せず、A20及びA21は、一緒になって環構造を形成してもよいが、但し、A19及びA18は、一緒になって環構造を形成してもよく、A18及びA17は、一緒になって環構造を形成してもよく、A17及びA16は、一緒になって環構造を形成してもよく、A16及びA15は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(vi)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、N、O、P、及びSから選択され、A45、A46、A47、A49、A50、A51、及びA52は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Qが硫黄である場合、A45は、ベンゼンではなく、A48は、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが;但し、A45及びA52は、結合して環構造を形成してもよく、A52及びA51は、結合して環構造を形成してもよく、A51及びA50は、結合して環構造を形成してもよく、A50及びA49は、結合して環構造を形成してもよく、A45及びA46は、結合して環構造を形成してもよい)であるか;又は
    一般式(vii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、破線は、単結合又は二重結合を示し、A56及びA57は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A58及びA55は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A56及びA57は、結合して環構造を形成してもよく、A55及びA56は、結合して環構造を形成してもよい)であるか;又は
    一般式(viii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、添え字yは、0〜1の整数であり、Q11及びQ12は、それぞれ独立して、N、O、P、及びSから選択されるが、但し、Q11又はQ12が窒素である場合、これらはイミン窒素ではなく、破線は、単結合又は二重結合を表し、A60、A61、A65、及びA66は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A62、各A63、及び各A64は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A60及びA61は、一緒になって環構造を形成してもよく、A61及びA62は、一緒になって環構造を形成してもよく、A62及びA63は、一緒になって環構造を形成してもよく、A63及びA64は、一緒になって環構造を形成してもよく、A64及びA65は、一緒になって環構造を形成してもよく、A65及びA66は、一緒になって環構造を形成してもよく、A63及びA61は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xii)が、
    Figure 2014528940

    (式中、添え字xは、1〜5の整数であり、Q20は、N及びPから選択され、破線は、単結合又は二重結合を示し、A90、A91、A92、A9394、及びA95は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Q20が窒素であり、且つA95が水素である場合、A90及びA91はシクロヘキシルではなく、またA90及びA91は、ジ(tert−ブチル)フェノールではなく;但し、A90及びA91は、一緒になって環構造を形成してもよいが、但し、環がホスファラン環である場合、A92及びA93は、フェニル基を形成するか、あるいは、A91及びA92は、一緒になって環構造を形成してもよく、A92及びA93は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、環構造はインデンではなく、A93及びA94は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、Q20を含む環構造中に他のヘテロ原子は存在せず、A94及びA95は、一緒になって環構造を形成してもよいが、但し、添え字xが2以上である場合、A93の2以上の例は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xiii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q21は、N、O、P、及びSから選択され、A96、A97、A98、A99、A100、A101、A102、A103、A104、A105、A106、A107、及びA108は、それぞれ独立して、一価有機基、水素、ハロゲン、及び無機ヘテロ原子含有基から選択されるが、但し、A96及びA97は、一緒になって環構造を形成してもよく、A99及びA100は、一緒になって環構造を形成してもよく、A96及びA108は、一緒になって環構造を形成してもよく、A108及びA107は、一緒になって環構造を形成してもよく、A107及びA106は、一緒になって環構造を形成してもよく、A106及びA105は、一緒になって環構造を形成してもよく、A105及びA104は、一緒になって環構造を形成してもよいが、但し、架橋原子は、アミン基中のNではなく、但し、A104及びA103は、一緒になって環構造を形成してもよく、A103及びA102は、一緒になって環構造を形成してもよく、A102及びA101は、一緒になって環構造を形成してもよい)である、方法。
  6. 以下の条件:
    前記配位子が、一般式(ii)を有し、且つ前記配位子が、表2中の配位子510、8838、8856、及び8945からなる群から選択されるか;又は
    前記配位子が、一般式(iii)を有し、且つ前記配位子が、表2中の配位子10364からなる群から選択されるか;又は
    前記配位子が、一般式(iv)を有し、且つ前記配位子が、表2中の配位子3746、10132、及び10218からなる群から選択されるか;又は
    前記配位子が、一般式(vi)を有し、且つ前記配位子が、表2中の配位子2272からなる群から選択されるか;又は
    前記配位子が、一般式(vii)を有し、且つ前記配位子が、表2中の配位子1936、2956及び6417からなる群から選択されるか;又は
    前記配位子が、一般式(viii)を有し、且つ前記配位子が、表2中の配位子3746からなる群から選択されるか;又は
    前記配位子が、一般式(xii)を有し、且つ前記配位子が、表2中の配位子10394からなる群から選択されるか;又は
    前記配位子が、一般式(xiii)を有し、且つ前記配位子が、表2中の配位子10405からなる群から選択される、のうちの少なくとも1つが満たされる、請求項5に記載の方法。
  7. (1)Ni前駆体及び配位子を含む成分を合わせて、反応生成物を調製することを含む方法であって、
    前記Ni前駆体が、式(i)Ni−A(式中、各Aは、独立して、少なくとも1つのケイ素原子を含む一価有機基である)を有し、
    前記配位子が、一般式(ii)、一般式(iii)、一般式(iv)、一般式(v)、一般式(vi)、一般式(vii)、一般式(viii)、一般式(xi)、一般式(xii)、一般式(xv)、一般式(xviii)、及び一般式(xix)のうちの1つであり、
    一般式(ii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、窒素、及びリンから選択され、A、A、A、A、及びAは、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Aは、クロロベンゼンではなく、Aは、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよい)であるか;又は
    一般式(iii)が、
    Figure 2014528940

    (式中、Qは、酸素又は硫黄であり、A、A、A、A10、及びA12は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A11は、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A11は、ベンジルではなく、但し、A及びAは、結合して環構造を形成してもよく、A及びAは、結合して環構造を形成してもよく、A及びA10は、結合して環構造を形成してもよく、A11及びA12は、結合して環構造を形成してもよい)であるか;又は
    一般式(iv)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、及び窒素から選択され、A15、A16、A17、A18、A20、及びA21は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A19は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A19はジフェニルホスフィンを含有せず、A20及びA21は、一緒になって環構造を形成してもよいが、但し、A19及びA18は、一緒になって環構造を形成してもよく、A18及びA17は、一緒になって環構造を形成してもよく、A17及びA16は、一緒になって環構造を形成してもよく、A16及びA15は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(v)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、破線は、単結合又は二重結合を意味し、添え字yは、0〜1の整数であり、Q及びQは、それぞれ独立して、N、O、P、及びSから選択され、A25、A26、A27、A28、A29、A30、A31、及びA32は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A29及びA25は、一緒になって環構造を形成してもよく、A25及びA26は、一緒になって環構造を形成してもよく、A26及びA27は、一緒になって環構造を形成してもよく、A27及びA28は、一緒になって環構造を形成してもよく、A28及びA32は、一緒になって環構造を形成してもよく、A29及びA30は、一緒になって環構造を形成してもよいが、但し、A29及びA30を一緒に結合させることによって形成される環構造は、ピロールではなく、A31及びA32は、一緒になって環構造を形成してもよいが、但し、A31及びA32を一緒に結合させることによって形成される環構造は、ピロールではない)であるか;又は
    一般式(vi)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、N、O、P、及びSから選択され、A45、A46、A47、A49、A50、A51、及びA52は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Qが硫黄である場合、A45は、ベンゼンではなく、A48は、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが;但し、A45及びA52は、結合して環構造を形成してもよく、A52及びA51は、結合して環構造を形成してもよく、A51及びA50は、結合して環構造を形成してもよく、A50及びA49は、結合して環構造を形成してもよく、A45及びA46は、結合して環構造を形成してもよい)であるか;又は
    一般式(vii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、破線は、単結合又は二重結合を示し、A56及びA57は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A58及びA55は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A56及びA57は、結合して環構造を形成してもよく、A55及びA56は、結合して環構造を形成してもよい)であるか;又は
    一般式(viii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、添え字yは、0〜1の整数であり、Q11及びQ12は、それぞれ独立して、N、O、P、及びSから選択されるが、但し、Q11又はQ12が窒素である場合、これらはイミン窒素ではなく、破線は、単結合又は二重結合を表し、A60、A61、A65、及びA66は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A62、各A63、及び各A64は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A60及びA61は、一緒になって環構造を形成してもよく、A61及びA62は、一緒になって環構造を形成してもよく、A62及びA63は、一緒になって環構造を形成してもよく、A63及びA64は、一緒になって環構造を形成してもよく、A64及びA65は、一緒になって環構造を形成してもよく、A65及びA66は、一緒になって環構造を形成してもよく、A63及びA61は、一緒になって環構造を形成してもよい)であるか、
    一般式(xi)の化合物が、
    Figure 2014528940

    (式中、添え字xは、0〜2の整数であり、添え字y及びzは、それぞれ独立して、0〜1の整数であり、破線は、単結合又は二重結合を示し、Q50は、N及びCから選択されるが、但し、2つのN原子は、平衡負帯電アニオンで正に帯電し得、A86及び各A89は、それぞれ独立して、一価有機基、水素、又は無機ヘテロ原子含有基から選択されるが、但し、A86は、チオール又はフェニルジイソプロピルを含有しなくてよく、A8788、A90、及びA91は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A88及びA90は、一緒になって環構造を形成してもよく、A87及びA88は、一緒になって環構造を形成してもよく、A87及びA86は、一緒になって環構造を形成してもよく、A86及びA91は、一緒になって環構造を形成してもよく、A91及びA90は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xii)が、
    Figure 2014528940

    (添え字xは、1〜5の整数であり、Q20は、N及びPから選択され、破線は、単結合又は二重結合を示し、A90、A91、A92、A9394、及びA95は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Q20が窒素であり、且つA95が水素である場合、A90及びA91はシクロヘキシルではないが、またA90及びA91は、ジ(tert−ブチル)フェノールではなく;但し、A90及びA91は、一緒になって環構造を形成してもよいが、但し、環がホスファラン環である場合、A92及びA93は、フェニル基を形成するか、あるいは、A91及びA92は、一緒になって環構造を形成してもよく、A92及びA93は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、環構造はインデンではなく、A93及びA94は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、Q20を含む環構造中に他のヘテロ原子は存在せず、A94及びA95は、一緒になって環構造を形成してもよいが、但し、添え字xが2以上である場合、A93の2以上の例は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xv)が、
    Figure 2014528940

    (式中、Q22及びQ23は、それぞれ独立して、酸素及び硫黄から選択され、A115、A116、A117、及びA118は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A116及びA115は、結合して環構造を形成してもよく、A118及びA119は、結合して環構造を形成してもよい)であるか;又は
    一般式(xviii)が、
    Figure 2014528940

    (式中、A132、A133、及びA134は、それぞれ独立して、一価有機基、水素、ハロゲン、又は無機ヘテロ原子含有基から選択され、A131及びA135は、独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択される)であり
    一般式(xix)が、
    Figure 2014528940

    (式中、各A141、各A142、各A143、及び各A144は、独立して、一価有機基、水素、ハロゲン、又は無機基から選択され、A136、A137、A139、及びA140は、独立して、一価有機基、水素、又は無機基から選択される)である、方法。
  8. 以下の条件:
    前記配位子が、一般式(ii)を有し、且つ前記配位子が、表2中の配位子483、484、486、488、512、777、785、819、6269、8842、及び8946からなる群から選択されるか;又は
    前記配位子が、一般式(iii)を有し、且つ前記配位子が、表2中の配位子604、2920、及び2921からなる群から選択されるか;又は
    前記配位子が、一般式(iv)を有し、且つ前記配位子が、表2中の配位子1430、1832、2072、3749、5479、及び7124からなる群から選択されるか;又は
    前記配位子が、一般式(v)を有し、且つ前記配位子が、表2中の配位子165、1125、1214、4202、及び8749からなる群から選択されるか;又は
    前記配位子が、一般式(vi)を有し、且つ前記配位子が、表2中の配位子635、1888、2062、3096、4202、6340、及び7124からなる群から選択されるか;又は
    前記配位子が、一般式(vii)を有し、且つ前記配位子が、表2中の配位子732、2956、及び10267からなる群から選択されるか;又は
    前記配位子が、一般式(viii)を有し、且つ前記配位子が、表2中の配位子1214、1769、3499、3547、及び8977からなる群から選択されるか;又は
    前記配位子が、一般式(xi)を有し、且つ前記配位子が、表2中の配位子6253、6340、8500、8749、8977、10380、及び10390からなる群から選択されるか;又は
    前記配位子が、一般式(xii)を有し、且つ前記配位子が、表2中の配位子10404からなる群から選択されるか;又は
    前記配位子が、一般式(xv)を有し、且つ前記配位子が、表2中の配位子8768からなる群から選択されるか;又は
    前記配位子が、一般式(xviii)を有し、且つ前記配位子が、表2中の配位子10453からなる群から選択されるか;又は
    前記配位子が、一般式(xix)を有し、且つ前記配位子が、表2中の配位子10150である、のうちの少なくとも1つが満たされる、請求項7に記載の方法。
  9. (2)前記反応生成物を還元剤(Aldrich製NaEt3BH)と合わせることを更に含む、請求項5〜8のいずれか一項に記載の方法。
  10. (1)Ni前駆体及び配位子を含む成分を合わせて、反応生成物を調製することを含む方法であって、
    前記Ni前駆体が、式(i)Ni−A(式中、各Aは、独立して、少なくとも1つのハロゲン原子を含む一価有機基である)を有し、
    前記配位子が、一般式(ii)、一般式(iii)、一般式(iv)、一般式(v)、一般式(vi)、一般式(vii)、一般式(viii)、一般式(ix)、一般式(xi)、一般式(xii)、一般式(xiii)、一般式(xvii)、又は一般式(xviii)のうちの1つであり、
    一般式(ii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、窒素、及びリンから選択され、A、A、A、A、及びAは、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A6は、クロロベンゼンではなく、Aは、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよい)であるか;又は
    一般式(iii)が、
    Figure 2014528940

    (式中、Qは、酸素又は硫黄であり、A、A、A、A10、及びA12は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A11は、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A11は、ベンジルではなく、但し、A及びAは、結合して環構造を形成してもよく、A及びAは、結合して環構造を形成してもよく、A及びA10は、結合して環構造を形成してもよく、A11及びA12は、結合して環構造を形成してもよい)であるか;又は
    一般式(iv)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、及び窒素から選択され、A15、A16、A17、A18、A20、及びA21は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A19は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A19はジフェニルホスフィンを含有せず、A20及びA21は、一緒になって環構造を形成してもよいが、但し、A19及びA18は、一緒になって環構造を形成してもよく、A18及びA17は、一緒になって環構造を形成してもよく、A17及びA16は、一緒になって環構造を形成してもよく、A16及びA15は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(v)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、破線は、単結合又は二重結合を意味し、添え字yは、0〜1の整数であり、Q及びQは、それぞれ独立して、N、O、P、及びSから選択され、A25、A26、A27、A28、A29、A30、A31、及びA32は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが;但し、A29及びA25は、一緒になって環構造を形成してもよく、A25及びA26は、一緒になって環構造を形成してもよく、A26及びA27は、一緒になって環構造を形成してもよく、A27及びA28は、一緒になって環構造を形成してもよく、A28及びA32は、一緒になって環構造を形成してもよく、A29及びA30は、一緒になって環構造を形成してもよいが、但し、A29及びA30を一緒に結合させることによって形成される環構造は、ピロールではなく、A31及びA32は、一緒になって環構造を形成してもよいが、但し、A31及びA32を一緒に結合させることによって形成される環構造は、ピロールではない)であるか;又は
    一般式(vi)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、N、O、P、及びSから選択され、A45、A46、A47、A49、A50、A51、及びA52は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Qが硫黄である場合、A45は、ベンゼンではなく、A48は、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A45及びA52は、結合して環構造を形成してもよく、A52及びA51は、結合して環構造を形成してもよく、A51及びA50は、結合して環構造を形成してもよく、A50及びA49は、結合して環構造を形成してもよく、A45及びA46は、結合して環構造を形成してもよい)であるか;又は
    一般式(vii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、破線は、単結合又は二重結合を示し、A56及びA57は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A58及びA55は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A56及びA57は、結合して環構造を形成してもよく、A55及びA56は、結合して環構造を形成してもよい)であるか;又は
    一般式(viii)が、
    Figure 2014528940

    (添え字xは、0〜1の整数であり、添え字yは、0〜1の整数であり、Q11及びQ12は、それぞれ独立して、N、O、P、及びSから選択されるが、但し、Q11又はQ12が窒素である場合、これらはイミン窒素ではなく、破線は、単結合又は二重結合を表し、A60、A61、A65、及びA66は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A62、各A63、及び各A64は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A60及びA61は、一緒になって環構造を形成してもよく、A61及びA62は、一緒になって環構造を形成してもよく、A62及びA63は、一緒になって環構造を形成してもよく、A63及びA64は、一緒になって環構造を形成してもよく、A64及びA65は、一緒になって環構造を形成してもよく、A65及びA66は、一緒になって環構造を形成してもよく、A63及びA61は、一緒になって環構造を形成してもよい)であるか、
    一般式(ix)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q17が、N、O、P、及びS、及びCから選択されるが、但しQ17がCである場合、該炭素が、A81とカルボニル結合、及びA80とヒドロキシル基を形成し、Q18は、O及びSから選択され、Q19は、ヒドロキシル及びチオールから選択され、A82、A83、A84、及びA85は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A80及びA81は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A81及びA82は、一緒になって環構造を形成してもよく、A82及びA83は、一緒になって環構造を形成してもよく、A83及びA84は、一緒になって環構造を形成してもよく、A84及びA85は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xi)の化合物が、
    Figure 2014528940

    (式中、添え字xは、0〜2の整数であり、添え字y及びzは、それぞれ独立して、0〜1の整数であり、破線は、単結合又は二重結合を示し、Q50は、N及びCから選択されるが、但し、2つのN原子は、平衡負帯電アニオンで正に帯電し得、A86及び各A89は、それぞれ独立して、一価有機基、水素、又は無機ヘテロ原子含有基から選択されるが、但し、A86は、チオール又はフェニルジイソプロピルを含有しなくてよく、A8788、A90、及びA91は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A88及びA90は、一緒になって環構造を形成してもよく、A87及びA88は、一緒になって環構造を形成してもよく、A87及びA86は、一緒になって環構造を形成してもよく、A86及びA91は、一緒になって環構造を形成してもよく、A91及びA90は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xii)が、
    Figure 2014528940

    (式中、添え字xは、1〜5の整数であり、Q20は、N及びPから選択され、破線は、単結合又は二重結合を示し、A90、A91、A92、A9394、及びA95は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Q20が窒素であり、且つA95が水素である場合、A90及びA91はシクロヘキシルではないが、またA90及びA91は、ジ(tert−ブチル)フェノールではなく;但し、A90及びA91は、一緒になって環構造を形成してもよいが、但し、環がホスファラン環である場合、A92及びA93は、フェニル基を形成するか、あるいは、A91及びA92は、一緒になって環構造を形成してもよく、A92及びA93は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、環構造はインデンではなく、A93及びA94は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、Q20を含む環構造中に他のヘテロ原子は存在せず、A94及びA95は、一緒になって環構造を形成してもよいが、但し、添え字xが2以上である場合、A93の2以上の例は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xiii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q21は、N、O、P、及びSから選択され、A96、A97、A98、A99、A100、A101、A102、A103、A104、A105、A106、A107、及びA108は、それぞれ独立して、一価有機基、水素、ハロゲン、及び無機ヘテロ原子含有基から選択されるが、但し、A96及びA97は、一緒になって環構造を形成してもよく、A99及びA100は、一緒になって環構造を形成してもよく、A96及びA108は、一緒になって環構造を形成してもよく、A108及びA107は、一緒になって環構造を形成してもよく、A107及びA106は、一緒になって環構造を形成してもよく、A106及びA105は、一緒になって環構造を形成してもよく、A105及びA104は、一緒になって環構造を形成してもよいが、但し、架橋原子は、アミン基中のNではなく、但し、A104及びA103は、一緒になって環構造を形成してもよく、A103及びA102は、一緒になって環構造を形成してもよく、A102及びA101は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xvii)が、
    Figure 2014528940

    (式中、Q26は、O及びSから選択され、Q27は、N及びCから選択され、添え字xは、0〜4の整数であり、A125、A126、A127、A128、及びA130は、それぞれ独立して、一価有機基、水素、ハロゲン、又は無機ヘテロ原子含有基から選択され、A129は、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A128及びA129は、結合して環構造を形成してもよい)であるか;又は
    一般式(xviii)が、
    Figure 2014528940

    (式中、A132、A133、及びA134は、それぞれ独立して、一価有機基、水素、ハロゲン、又は無機ヘテロ原子含有基から選択され、A131及びA135は、独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択される)である、方法。
  11. 以下の条件:
    前記配位子が、一般式(ii)を有し、且つ前記配位子が、表2中の配位子483、486、488、777、785、819、6269、及び8842からなる群から選択されるか;又は
    前記配位子が、一般式(iii)を有し、且つ前記配位子が、表2中の配位子604、2920、2921、及び7377からなる群から選択されるか;又は
    前記配位子が、一般式(iv)を有し、且つ前記配位子が、表2中の配位子1430、2072、3749、及び7124からなる群から選択されるか;又は
    前記配位子が、一般式(v)を有し、且つ前記配位子が、表2中の配位子819、1125、1214、及び4202からなる群から選択されるか;又は
    前記配位子が、一般式(vi)を有し、且つ前記配位子が、表2中の配位子635、1888、2061、2062、3096、4202、4990、6253、6340、及び7124からなる群から選択されるか;又は
    前記配位子が、一般式(vii)を有し、且つ前記配位子が、表2中の配位子734及び2956からなる群から選択されるか;又は
    前記配位子が、一般式(viii)を有し、且つ前記配位子が、表2中の配位子1214、3499、3749、及び8977からなる群から選択されるか;又は
    前記配位子が、一般式(ix)を有し、且つ前記配位子が、表2中の配位子2816からなる群から選択されるか;又は
    前記配位子が、一般式(xi)を有し、且つ前記配位子が、表2中の配位子6253、6340、8500、8977、10374、10377、10380、及び10390からなる群から選択されるか;又は
    前記配位子が、一般式(xii)を有し、且つ前記配位子が、表2中の配位子10386からなる群から選択されるか;又は
    前記配位子が、一般式(xiii)を有し、且つ前記配位子が、表2中の配位子10446からなる群から選択されるか;又は
    前記配位子が、一般式(xvii)を有し、且つ前記配位子が、表2中の配位子10444からなる群から選択されるか;又は
    前記配位子が、一般式(xviii)を有し、且つ前記配位子が、表2中の配位子10453からなる群から選択される、のうちの少なくとも1つが満たされる、請求項10に記載の方法。
  12. (1)Ni前駆体及び配位子を含む成分を合わせて、反応生成物を調製することを含む方法であって、
    前記Ni前駆体が、式(i)Ni−A(式中、各Aは、独立して、少なくとも1つのケイ素原子を含む一価有機基である)を有し、
    前記配位子が、一般式(ii)、一般式(iii)、一般式(iv)、一般式(v)、一般式(vi)、一般式(vii)、一般式(viii)、一般式(ix)、一般式(x)、一般式(xi)、一般式(xii)、一般式(xiii)、一般式(xiv)、一般式(xv)、一般式(xvi)、又は一般式(xvii)のうちの1つであり、
    一般式(ii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、窒素、及びリンから選択され、A、A、A、A、及びAは、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A6は、クロロベンゼンではなく、Aは、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよい)であるか;又は
    一般式(iii)が、
    Figure 2014528940

    (式中、Qは、酸素又は硫黄であり、A、A、A、A10、及びA12は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A11は、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A11は、ベンジルではなく、但し、A及びAは、結合して環構造を形成してもよく、A及びAは、結合して環構造を形成してもよく、A及びA10は、結合して環構造を形成してもよく、A11及びA12は、結合して環構造を形成してもよい)であるか;又は
    一般式(iv)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、及び窒素から選択され、A15、A16、A17、A18、A20、及びA21は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A19は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A19はジフェニルホスフィンを含有せず、A20及びA21は、一緒になって環構造を形成してもよいが、但し、A19及びA18は、一緒になって環構造を形成してもよく、A18及びA17は、一緒になって環構造を形成してもよく、A17及びA16は、一緒になって環構造を形成してもよく、A16及びA15は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(v)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、破線は、単結合又は二重結合を意味し、添え字yは、0〜1の整数であり、Q及びQは、それぞれ独立して、N、O、P、及びSから選択され、A25、A26、A27、A28、A29、A30、A31、及びA32は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A29及びA25は、一緒になって環構造を形成してもよく、A25及びA26は、一緒になって環構造を形成してもよく、A26及びA27は、一緒になって環構造を形成してもよく、A27及びA28は、一緒になって環構造を形成してもよく、A28及びA32は、一緒になって環構造を形成してもよく、A29及びA30は、一緒になって環構造を形成してもよいが、但し、A29及びA30を一緒に結合させることによって形成される環構造は、ピロールではなく、A31及びA32は、一緒になって環構造を形成してもよいが、但し、A31及びA32を一緒に結合させることによって形成される環構造は、ピロールではない)であるか;又は
    一般式(vi)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、N、O、P、及びSから選択され、A45、A46、A47、A49、A50、A51、及びA52は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Qが硫黄である場合、A45は、ベンゼンではなく、A48は、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが;但し、A45及びA52は、結合して環構造を形成してもよく、A52及びA51は、結合して環構造を形成してもよく、A51及びA50は、結合して環構造を形成してもよく、A50及びA49は、結合して環構造を形成してもよく、A45及びA46は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(vii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、破線は、単結合又は二重結合を示し、A56及びA57は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A58及びA55は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A56及びA57は、結合して環構造を形成してもよく、A55及びA56は、結合して環構造を形成してもよい)であるか;又は
    一般式(viii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、添え字yは、0〜1の整数であり、Q11及びQ12は、それぞれ独立して、N、O、P、及びSから選択されるが、但し、Q11又はQ12が窒素である場合、これらはイミン窒素ではなく、破線は、単結合又は二重結合を表し、A60、A61、A65、及びA66は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A62、各A63、及び各A64は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A60及びA61は、一緒になって環構造を形成してもよく、A61及びA62は、一緒になって環構造を形成してもよく、A62及びA63は、一緒になって環構造を形成してもよく、A63及びA64は、一緒になって環構造を形成してもよく、A64及びA65は、一緒になって環構造を形成してもよく、A65及びA66は、一緒になって環構造を形成してもよく、A63及びA61は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(ix)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q17が、N、O、P、及びS、及びCから選択されるが、但しQ17がCである場合、該炭素は、A81とカルボニル結合を、及びA80とヒドロキシル基を形成し、Q18は、O及びSから選択され、Q19は、ヒドロキシル及びチオールから選択され、A82、A83、A84、及びA85は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A80及びA81は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A81及びA82は、一緒になって環構造を形成してもよく、A82及びA83は、一緒になって環構造を形成してもよく、A83及びA84は、一緒になって環構造を形成してもよく、A84及びA85は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(x)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q16は、N、O、P、及びSから選択され、Q14は、酸素及び硫黄から選択され、Q15は、ヒドロキシル及びチオールから選択され、各A76は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A77及びA78は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A76及びA77は、一緒になって環構造を形成してもよく、A77及びA78は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xi)の化合物が、
    Figure 2014528940

    (式中、添え字xは、0〜2の整数であり、添え字y及びzは、それぞれ独立して、0〜1の整数であり、破線は、単結合又は二重結合を示し、Q50は、N及びCから選択されるが、但し、2つのN原子は、平衡負帯電アニオンで正に帯電し得、A86及び各A89は、それぞれ独立して、一価有機基、水素、又は無機ヘテロ原子含有基から選択されるが、但し、A86は、チオール又はフェニルジイソプロピルを含有しなくてよく、A8788、A90、及びA91は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A88及びA90は、一緒になって環構造を形成してもよく、A87及びA88は、一緒になって環構造を形成してもよく、A87及びA86は、一緒になって環構造を形成してもよく、A86及びA91は、一緒になって環構造を形成してもよく、A91及びA90は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xii)が、
    Figure 2014528940

    (式中、添え字xは、1〜5の整数であり、Q20は、N及びPから選択され、破線は、単結合又は二重結合を示し、A90、A91、A92、A9394、及びA95は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Q20が窒素であり、且つA95が水素である場合、A90及びA91はシクロヘキシルではないが、またA90及びA91は、ジ(tert−ブチル)フェノールではなく;但し、A90及びA91は、一緒になって環構造を形成してもよいが、但し、環がホスファラン環である場合、A92及びA93は、フェニル基を形成するか、あるいは、A91及びA92は、一緒になって環構造を形成してもよく、A92及びA93は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、環構造はインデンではなく、A93及びA94は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、Q20を含む環構造中に他のヘテロ原子は存在せず、A94及びA95は、一緒になって環構造を形成してもよいが、但し、添え字xが2以上である場合、A93の2以上の例は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xiii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q21は、N、O、P、及びSから選択され、A96、A97、A98、A99、A100、A101、A102、A103、A104、A105、A106、A107、及びA108は、それぞれ独立して、一価有機基、水素、ハロゲン、及び無機ヘテロ原子含有基から選択されるが、但し、A96及びA97は、一緒になって環構造を形成してもよく、A99及びA100は、一緒になって環構造を形成してもよく、A96及びA108は、一緒になって環構造を形成してもよく、A108及びA107は、一緒になって環構造を形成してもよく、A107及びA106は、一緒になって環構造を形成してもよく、A106及びA105は、一緒になって環構造を形成してもよく、A105及びA104は、一緒になって環構造を形成してもよいが、但し、架橋原子は、アミン基中のNではなく、但し、A104及びA103は、一緒になって環構造を形成してもよく、A103及びA102は、一緒になって環構造を形成してもよく、A102及びA101は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xiv)が、
    Figure 2014528940

    (式中、添え字x及びyは、それぞれ独立して、0〜5の整数であり、A111、A110、及びA112は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択される)であるか;又は
    一般式(xv)が、
    Figure 2014528940

    (式中、Q22及びQ23は、それぞれ独立して、酸素及び硫黄から選択され、A115、A116、A117、及びA118は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A116及びA115は、結合して環構造を形成してもよく、A118及びA119は、結合して環構造を形成してもよい)であるか;又は
    一般式(xvi)が、
    Figure 2014528940

    (式中、添え字x及びyは、それぞれ独立して、0〜4の整数であり、Q24及びQ25は、それぞれ独立して、O及びSから選択され、添え字zは、0〜1の整数であり、各A120は、独立して、一価有機基、水素、ハロゲン、及び無機ヘテロ原子含有基から選択され、A121及びA122は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択される)であるか;又は
    一般式(xvii)が、
    Figure 2014528940

    (式中、Q26は、O及びSから選択され、Q27は、N及びCから選択され、添え字xは、0〜4の整数であり、A125、A126、A127、A128、及びA130は、それぞれ独立して、一価有機基、水素、ハロゲン、又は無機ヘテロ原子含有基から選択され、A129は、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A128及びA129は、結合して環構造を形成してもよい)である、方法。
  13. 以下の条件:
    前記配位子が、一般式(ii)を有し、且つ前記配位子が、表2中の配位子484、510、8838、8856、及び8945からなる群から選択されるか;又は
    前記配位子が、一般式(iii)を有し、且つ前記配位子が、表2中の配位子6886、7282、及び10364からなる群から選択されるか;又は
    前記配位子が、一般式(iv)を有し、且つ前記配位子が、表2中の配位子755、1483、2915、2927、3472、3746、4098、4117、6870、7471、7496、及び10132からなる群から選択されるか;又は
    前記配位子が、一般式(v)を有し、且つ前記配位子が、表2中の配位子788、799、805、1116、1547、及び3544からなる群から選択されるか;又は
    前記配位子が、一般式(vi)を有し、且つ前記配位子が、表2中の配位子1249、2075、2272、3191、5177、6322、6372、及び7534からなる群から選択されるか;又は
    前記配位子が、一般式(vii)を有し、且つ前記配位子が、表2中の配位子735、1936、2956、6417、及び9042からなる群から選択されるか;又は
    前記配位子が、一般式(viii)を有し、且つ前記配位子が、表2中の配位子748、3746、6322、6372、7534、8881、9042、及び9072からなる群から選択されるか;又は
    前記配位子が、一般式(ix)を有し、且つ前記配位子が、表2中の配位子3500からなる群から選択されるか;又は
    前記配位子が、一般式(x)を有し、且つ前記配位子が、表2中の配位子2806からなる群から選択されるか;又は
    前記配位子が、一般式(xi)を有し、且つ前記配位子が、表2中の配位子8538、8881、9042、10376、10379、10381、10390、10450、10452、10454、及び10456からなる群から選択されるか;又は
    前記配位子が、一般式(xii)を有し、且つ前記配位子が、表2中の配位子10383、10385、10387、10389、10392、10398、10400、及び10447からなる群から選択されるか;又は
    前記配位子が、一般式(xiii)を有し、且つ前記配位子が、表2中の配位子10405からなる群から選択されるか;又は
    前記配位子が、一般式(xiv)を有し、且つ前記配位子が、表2中の配位子3179からなる群から選択されるか;又は
    前記配位子が、一般式(xv)を有し、且つ前記配位子が、表2中の配位子4570からなる群から選択されるか;又は
    前記配位子が、一般式(xvi)を有し、且つ前記配位子が、表2中の配位子4548からなる群から選択されるか;又は
    前記配位子が、一般式(xvii)を有し、且つ前記配位子が、表2中の配位子10441及び10445からなる群から選択される、のうちの少なくとも1つが満たされる、請求項12に記載の方法。
  14. (2)前記反応生成物をイオン性活性化剤と合わせることを更に含む、請求項10〜13のいずれか一項に記載の方法。
  15. (1)Ni前駆体及び配位子を含む成分を合わせて、反応生成物を調製することを含む方法であって、
    前記Ni前駆体が、式(i)Ni−A(式中、各Aは、独立して、少なくとも1つのハロゲン原子を含む一価有機基である)を有し、
    前記配位子が、一般式(ii)、一般式(iii)、一般式(iv)、一般式(v)、一般式(vi)、一般式(vii)、一般式(viii)、一般式(ix)、一般式(x)、一般式(xi)、一般式(xii)、一般式(xiii)、一般式(xiv)、一般式(xv)、一般式(xvi)、又は一般式(xvii)のうちの1つであり、
    一般式(ii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、窒素、及びリンから選択され、A、A、A、A、及びAは、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A6は、クロロベンゼンではなく、Aは、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよい)であるか;又は
    一般式(iii)が、
    Figure 2014528940

    (式中、Qは、酸素又は硫黄であり、A、A、A、A10、及びA12は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A11は、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A11は、ベンジルではなく、但し、A及びAは、結合して環構造を形成してもよく、A及びAは、結合して環構造を形成してもよく、A及びA10は、結合して環構造を形成してもよく、A11及びA12は、結合して環構造を形成してもよい)であるか;又は
    一般式(iv)が、
    Figure 2014528940

    (添え字xは、0〜1の整数であり、Qは、酸素、硫黄、及び窒素から選択され、A15、A16、A17、A18、A20、及びA21は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A19は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A19は、ジフェニルホスフィンを含有せず、A20及びA21は、一緒になって環構造を形成してもよいが、但し、A19及びA18は、一緒になって環構造を形成してもよく、A18及びA17は、一緒になって環構造を形成してもよく、A17及びA16は、一緒になって環構造を形成してもよく、A16及びA15は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(v)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、破線は、単結合又は二重結合を意味し、添え字yは、0〜1の整数であり、Q及びQは、それぞれ独立して、N、O、P、及びSから選択され、A25、A26、A27、A28、A29、A30、A31、及びA32は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A29及びA25は、一緒になって環構造を形成してもよく、A25及びA26は、一緒になって環構造を形成してもよく、A26及びA27は、一緒になって環構造を形成してもよく、A27及びA28は、一緒になって環構造を形成してもよく、A28及びA32は、一緒になって環構造を形成してもよく、A29及びA30は、一緒になって環構造を形成してもよいが、但し、A29及びA30を一緒に結合させることによって形成される環構造は、ピロールではなく、A31及びA32は、一緒になって環構造を形成してもよいが、但し、A31及びA32を一緒に結合させることによって形成される環構造は、ピロールではない)であるか;又は
    一般式(vi)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、N、O、P、及びSから選択され、A45、A46、A47、A49、A50、A51、及びA52は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Qが硫黄である場合、A45は、ベンゼンではなく、A48は、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが;但し、A45及びA52は、結合して環構造を形成してもよく、A52及びA51は、結合して環構造を形成してもよく、A51及びA50は、結合して環構造を形成してもよく、A50及びA49は、結合して環構造を形成してもよく、A45及びA46は、結合して環構造を形成してもよい)であるか;又は
    一般式(vii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、破線は、単結合又は二重結合を示し、A56及びA57は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A58及びA55は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A56及びA57は、結合して環構造を形成してもよく、A55及びA56は、結合して環構造を形成してもよい)であるか;又は
    一般式(viii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、添え字yは、0〜1の整数であり、Q11及びQ12は、それぞれ独立して、N、O、P、及びSから選択されるが、但し、Q11又はQ12が窒素である場合、これらはイミン窒素ではなく、破線は、単結合又は二重結合を表し、A60、A61、A65、及びA66は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A62、各A63、及び各A64は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A60及びA61は、一緒になって環構造を形成してもよく、A61及びA62は、一緒になって環構造を形成してもよく、A62及びA63は、一緒になって環構造を形成してもよく、A63及びA64は、一緒になって環構造を形成してもよく、A64及びA65は、一緒になって環構造を形成してもよく、A65及びA66は、一緒になって環構造を形成してもよく、A63及びA61は一緒になって環構造を形成してもよい)である、
    一般式(ix)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q17が、N、O、P、及びS、及びCから選択されるが、但しQ17がCである場合、該炭素は、A81とカルボニル結合を、及びA80とヒドロキシル基を形成し、Q18は、O及びSから選択され、Q19は、ヒドロキシル及びチオールから選択され、A82、A83、A84、及びA85は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A80及びA81は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A81及びA82は、一緒になって環構造を形成してもよく、A82及びA83は、一緒になって環構造を形成してもよく、A83及びA84は、一緒になって環構造を形成してもよく、A84及びA85は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(x)が、
    Figure 2014528940

    (添え字xは、0〜1の整数であり、Q16は、N、O、P、及びSから選択され、Q14は、酸素及び硫黄から選択され、Q15は、ヒドロキシル及びチオールから選択され、各A76は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A77及びA78は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A76及びA77は、一緒になって環構造を形成してもよく、A77及びA78は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xi)の化合物が、
    Figure 2014528940

    (式中、添え字xは、0〜2の整数であり、添え字y及びzは、それぞれ独立して、0〜1の整数であり、破線は、単結合又は二重結合を示し、Q50は、N及びCから選択されるが、但し、2つのN原子は、平衡負帯電アニオンで正に帯電し得、A86及び各A89は、それぞれ独立して、一価有機基、水素、又は無機ヘテロ原子含有基から選択されるが、但し、A86は、チオール又はフェニルジイソプロピルを含有しなくてよく、A8788、A90、及びA91は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A88及びA90は、一緒になって環構造を形成してもよく、A87及びA88は、一緒になって環構造を形成してもよく、A87及びA86は、一緒になって環構造を形成してもよく、A86及びA91は、一緒になって環構造を形成してもよく、A91及びA90は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xii)が、
    Figure 2014528940

    (式中、添え字xは、1〜5の整数であり、Q20は、N及びPから選択され、破線は、単結合又は二重結合を示し、A90、A91、A92、A9394、及びA95は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Q20が窒素であり、且つA95が水素である場合、A90及びA91はシクロヘキシルではないが、またA90及びA91は、ジ(tert−ブチル)フェノールではなく;但し、A90及びA91は、一緒になって環構造を形成してもよいが、但し、環がホスファラン環である場合、A92及びA93は、フェニル基を形成するか、あるいは、A91及びA92は、一緒になって環構造を形成してもよく、A92及びA93は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、環構造はインデンではなく、A93及びA94は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、Q20を含む環構造中に他のヘテロ原子は存在せず、A94及びA95は、一緒になって環構造を形成してもよいが、但し、添え字xが2以上である場合、A93の2以上の例は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xiii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q21は、N、O、P、及びSから選択され、A96、A97、A98、A99、A100、A101、A102、A103、A104、A105、A106、A107、及びA108は、それぞれ独立して、一価有機基、水素、ハロゲン、及び無機ヘテロ原子含有基から選択されるが、但し、A96及びA97は、一緒になって環構造を形成してもよく、A99及びA100は、一緒になって環構造を形成してもよく、A96及びA108は、一緒になって環構造を形成してもよく、A108及びA107は、一緒になって環構造を形成してもよく、A107及びA106は、一緒になって環構造を形成してもよく、A106及びA105は、一緒になって環構造を形成してもよく、A105及びA104は、一緒になって環構造を形成してもよいが、但し、架橋原子は、アミン基中のNではなく、但し、A104及びA103は、一緒になって環構造を形成してもよく、A103及びA102は、一緒になって環構造を形成してもよく、A102及びA101は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xiv)が、
    Figure 2014528940

    (式中、添え字x及びyは、それぞれ独立して、0〜5の整数であり、A111、A110、及びA112は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択される)であるか;又は
    一般式(xv)が、
    Figure 2014528940

    (式中、Q22及びQ23は、それぞれ独立して、酸素及び硫黄から選択され、A115、A116、A117、及びA118は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A116及びA115は、結合して環構造を形成してもよく、A118及びA119は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xvi)が、
    Figure 2014528940

    (式中、添え字x及びyは、それぞれ独立して、0〜4の整数であり、Q24及びQ25は、それぞれ独立して、O及びSから選択され、添え字zは、0〜1の整数であり、各A120は、独立して、一価有機基、水素、ハロゲン、及び無機ヘテロ原子含有基から選択され、A121及びA122は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択される)であるか;又は
    一般式(xvii)が、
    Figure 2014528940

    (式中、Q26は、O及びSから選択され、Q27は、N及びCから選択され、添え字xは、0〜4の整数であり、A125、A126、A127、A128、及びA130は、それぞれ独立して、一価有機基、水素、ハロゲン、又は無機ヘテロ原子含有基から選択され、A129は、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A128及びA129は、結合して環構造を形成してもよい)である、方法。
  16. 以下の条件:
    前記配位子が、一般式(ii)を有し、且つ前記配位子が、表2中の配位子510、788、799からなる群から選択されるか;又は
    前記配位子が、一般式(iii)を有し、且つ前記配位子が、表2中の配位子7282及び10364からなる群から選択されるか;又は
    前記配位子が、一般式(iv)を有し、且つ前記配位子が、表2中の配位子755、2915、3472、3746、4098、4117、6870、7496、10132及びからなる群から選択されるか;又は
    前記配位子が、一般式(v)を有し、且つ前記配位子が、表2中の配位子788、799、1116、及び1547からなる群から選択されるか;又は
    前記配位子が、一般式(vi)を有し、且つ前記配位子が、表2中の配位子1249、2075、2272、3191、5177、6322、6372、及び7534からなる群から選択されるか;又は
    前記配位子が、一般式(vii)を有し、且つ前記配位子が、表2中の配位子735、1936、2956、及び9042からなる群から選択されるか;又は
    前記配位子が、一般式(viii)を有し、且つ前記配位子が、表2中の配位子3746、6322、6372、7534、8881、9042、及び9072からなる群から選択されるか;又は
    前記配位子が、一般式(ix)を有し、且つ前記配位子が、表2中の配位子3500からなる群から選択されるか;又は
    前記配位子が、一般式(x)を有し、且つ前記配位子が、表2中の配位子2806からなる群から選択されるか;又は
    前記配位子が、一般式(xi)を有し、且つ前記配位子が、表2中の配位子8538、8881、9042、10376、10379、10381、10450、10452、及び10454からなる群から選択されるか;又は
    前記配位子が、一般式(xii)を有し、且つ前記配位子が、表2中の配位子10385、10392、10398、10400、及び10407からなる群から選択されるか;又は
    前記配位子が、一般式(xiii)を有し、且つ前記配位子が、表2中の配位子10403及び10405からなる群から選択されるか;又は
    前記配位子が、一般式(xiv)を有し、且つ前記配位子が、表2中の配位子3179からなる群から選択されるか;又は
    前記配位子が、一般式(xv)を有し、且つ前記配位子が、表2中の配位子4570からなる群から選択されるか;又は
    前記配位子が、一般式(xvi)を有し、且つ前記配位子が、表2中の配位子4548からなる群から選択されるか;又は
    前記配位子が、一般式(xvii)を有し、且つ前記配位子が、表2中の10441及び10445からなる群から選択される、のうちの少なくとも1つが満たされる、請求項15に記載の方法。
  17. (1)Ni前駆体及び配位子を含む成分を合わせて、反応生成物を調製することを含む方法であって、
    前記Ni前駆体が、式(i)Ni−A(式中、各Aは、独立して、少なくとも1つのケイ素原子を含む一価有機基である)を有し、
    前記配位子が、一般式(ii)、一般式(iii)、一般式(iv)、一般式(v)、一般式(vi)、一般式(vii)、一般式(viii)、一般式(ix)、一般式(x)、一般式(xi)、一般式(xii)、一般式(xiii)、一般式(xv)、一般式(xvi)、又は一般式(xviii)のうちの1つであり、
    一般式(ii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、窒素、及びリンから選択され、A、A、A、A、及びAは、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A6は、クロロベンゼンではなく、Aは、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよく、A及びAは、一緒になって環構造を形成してもよい)であるか;又は
    一般式(iii)が、
    Figure 2014528940

    (式中、Qは、酸素又は硫黄であり、A、A、A、A10、及びA12は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A11は、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A11は、ベンジルではなく、但し、A及びAは、結合して環構造を形成してもよく、A及びAは、結合して環構造を形成してもよく、A及びA10は、結合して環構造を形成してもよく、A11及びA12は、結合して環構造を形成してもよい)であるか;又は
    一般式(iv)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、酸素、硫黄、及び窒素から選択され、A15、A16、A17、A18、A20、及びA21は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A19は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A19はジフェニルホスフィンを含有せず、A20及びA21は、一緒になって環構造を形成してもよいが、但し、A19及びA18は、一緒になって環構造を形成してもよく、A18及びA17は、一緒になって環構造を形成してもよく、A17及びA16は、一緒になって環構造を形成してもよく、A16及びA15は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(v)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、破線は、単結合又は二重結合を意味し、添え字yは、0〜1の整数であり、Q及びQは、それぞれ独立して、N、O、P、及びSから選択され、A25、A26、A27、A28、A29、A30、A31、及びA32は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A29及びA25は、一緒になって環構造を形成してもよく、A25及びA26は、一緒になって環構造を形成してもよく、A26及びA27は、一緒になって環構造を形成してもよく、A27及びA28は、一緒になって環構造を形成してもよく、A28及びA32は、一緒になって環構造を形成してもよく、A29及びA30は、一緒になって環構造を形成してもよいが、但し、A29及びA30を一緒に結合させることによって形成される環構造は、ピロールではなく、A31及びA32は、一緒になって環構造を形成してもよいが、但し、A31及びA32を一緒に結合させることによって形成される環構造は、ピロールではない)であるか;又は
    一般式(vi)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Qは、N、O、P、及びSから選択され、A45、A46、A47、A49、A50、A51、及びA52は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Qが硫黄である場合、A45は、ベンゼンではなく、A48は、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが;但し、A45及びA52は、結合して環構造を形成してもよく、A52及びA51は、結合して環構造を形成してもよく、A51及びA50は、結合して環構造を形成してもよく、A50及びA49は、結合して環構造を形成してもよく、A45及びA46は、結合して環構造を形成してもよい)であるか;又は
    一般式(vii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、破線は、単結合又は二重結合を示し、A56及びA57は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A58及びA55は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A56及びA57は、結合して環構造を形成してもよく、A55及びA56は、結合して環構造を形成してもよい)であるか;又は
    一般式(viii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、添え字yは、0〜1の整数であり、Q11及びQ12は、それぞれ独立して、N、O、P、及びSから選択されるが、但し、Q11又はQ12が窒素である場合、これらはイミン窒素ではなく、破線は、単結合又は二重結合を表し、A60、A61、A65、及びA66は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、各A62、各A63、及び各A64は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、A60及びA61は、一緒になって環構造を形成してもよく、A61及びA62は、一緒になって環構造を形成してもよく、A62及びA63は、一緒になって環構造を形成してもよく、A63及びA64は、一緒になって環構造を形成してもよく、A64及びA65は、一緒になって環構造を形成してもよく、A65及びA66は、一緒になって環構造を形成してもよく、A63及びA61は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(ix)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q17が、N、O、P、及びS、及びCから選択されるが、但しQ17がCである場合、該炭素は、A81とカルボニル結合を、及びA80とヒドロキシル基を形成し、Q18は、O及びSから選択され、Q19は、ヒドロキシル及びチオールから選択され、A82、A83、A84、及びA85は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A80及びA81は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A81及びA82は、一緒になって環構造を形成してもよく、A82及びA83は、一緒になって環構造を形成してもよく、A83及びA84は、一緒になって環構造を形成してもよく、A84及びA85は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(x)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q16は、N、O、P、及びSから選択され、Q14は、酸素及び硫黄から選択され、Q15は、ヒドロキシル及びチオールから選択され、各A76は、独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択され、A77及びA78は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A76及びA77は、一緒になって環構造を形成してもよく、A77及びA78は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xi)の化合物が、
    Figure 2014528940

    (式中、添え字xは、0〜2の整数であり、添え字y及びzは、それぞれ独立して、0〜1の整数であり、破線は、単結合又は二重結合を示し、Q50は、N及びCから選択されるが、但し、2つのN原子は、平衡負帯電アニオンで正に帯電し得、A86及び各A89は、それぞれ独立して、一価有機基、水素、又は無機ヘテロ原子含有基から選択されるが、但し、A86は、チオール又はフェニルジイソプロピルを含有しなくてよく、A8788、A90、及びA91は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択されるが、但し、A88及びA90は、一緒になって環構造を形成してもよく、A87及びA88は、一緒になって環構造を形成してもよく、A87及びA86は、一緒になって環構造を形成してもよく、A86及びA91は、一緒になって環構造を形成してもよく、A91及びA90は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xii)が、
    Figure 2014528940

    (式中、添え字xは、1〜5の整数であり、Q20は、N及びPから選択され、破線は、単結合又は二重結合を示し、A90、A91、A92、A9394、及びA95は、それぞれ独立して、一価有機基、水素、ハロゲン原子、及び無機ヘテロ原子含有基から選択されるが、但し、Q20が窒素であり、且つA95が水素である場合、A90及びA91はシクロヘキシルではないが、またA90及びA91は、ジ(tert−ブチル)フェノールではなく;但し、A90及びA91は、一緒になって環構造を形成してもよいが、但し、環がホスファラン環である場合、A92及びA93は、フェニル基を形成するか、あるいは、A91及びA92は、一緒になって環構造を形成してもよく、A92及びA93は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、環構造はインデンではなく、A93及びA94は、一緒になって環構造を形成してもよいが、但し、Q20が窒素である場合、Q20を含む環構造中に他のヘテロ原子は存在せず、A94及びA95は、一緒になって環構造を形成してもよいが、但し、添え字xが2以上である場合、A93の2以上の例は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xiii)が、
    Figure 2014528940

    (式中、添え字xは、0〜1の整数であり、Q21は、N、O、P、及びSから選択され、A96、A97、A98、A99、A100、A101、A102、A103、A104、A105、A106、A107、及びA108は、それぞれ独立して、一価有機基、水素、ハロゲン、及び無機ヘテロ原子含有基から選択されるが、但し、A96及びA97は、一緒になって環構造を形成してもよく、A99及びA100は、一緒になって環構造を形成してもよく、A96及びA108は、一緒になって環構造を形成してもよく、A108及びA107は、一緒になって環構造を形成してもよく、A107及びA106は、一緒になって環構造を形成してもよく、A106及びA105は、一緒になって環構造を形成してもよく、A105及びA104は、一緒になって環構造を形成してもよいが、但し、架橋原子は、アミン基中のNではなく、但し、A104及びA103は、一緒になって環構造を形成してもよく、A103及びA102は、一緒になって環構造を形成してもよく、A102及びA101は、一緒になって環構造を形成してもよい)であるか;又は
    一般式(xv)が、
    Figure 2014528940

    (式中、Q22及びQ23は、それぞれ独立して、酸素及び硫黄から選択され、A115、A116、A117、及びA118は、それぞれ独立して、一価有機基、水素、又は無機基から選択されるが、但し、A116及びA115は、結合して環構造を形成してもよく、A118及びA119は、結合して環構造を形成してもよい)であるか;又は
    一般式(xvi)が、
    Figure 2014528940

    (式中、添え字x及びyは、それぞれ独立して、0〜4の整数であり、Q24及びQ25は、それぞれ独立して、O及びSから選択され、添え字zは、0〜1の整数であり、各A120は、独立して、一価有機基、水素、ハロゲン、及び無機ヘテロ原子含有基から選択され、A121及びA122は、それぞれ独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択される)であるか;又は
    一般式(xviii)が、
    Figure 2014528940

    (式中、A132、A133、及びA134は、それぞれ独立して、一価有機基、水素、ハロゲン、又は無機ヘテロ原子含有基から選択され、A131及びA135は、独立して、一価有機基、水素、及び無機ヘテロ原子含有基から選択される)である、方法。
  18. 以下の条件:
    前記配位子が、一般式(ii)を有し、且つ前記配位子が、表2中の483、486、488、512、777、785、6269、及び8842からなる群から選択されるか;又は
    前記配位子が、一般式(iii)を有し、且つ前記配位子が、表2中の7377からなる群から選択されるか;又は
    前記配位子が、一般式(iv)を有し、且つ前記配位子が、表2中の2072、3586、及び7124からなる群から選択されるか;又は
    前記配位子が、一般式(v)を有し、且つ前記配位子が、表2中の165、1125、1214、3547、4151、4202、7639、及び8749からなる群から選択されるか;又は
    前記配位子が、一般式(vi)を有し、且つ前記配位子が、表2中の635、1888、2061、2062、4202、4990、6253、6340、及び7124からなる群から選択されるか;又は
    前記配位子が、一般式(vii)を有し、且つ前記配位子が、表2中の2956及び10267からなる群から選択されるか;又は
    前記配位子が、一般式(viii)を有し、且つ前記配位子が、表2中の1214、若しくは1769、3499、3547、3749、及び8977からなる群から選択されるか;又は
    前記配位子が、一般式(ix)を有し、且つ前記配位子が、表2中の2816及び3505からなる群から選択されるか;又は
    前記配位子が、一般式(x)を有し、且つ前記配位子が、表2中の2363及び4226からなる群から選択されるか;又は
    前記配位子が、一般式(xi)を有し、且つ前記配位子が、表2中の4226、6253、8500、8749、8977、10380、10390、10451、10455、及び10457からなる群から選択されるか;又は
    前記配位子が、一般式(xii)を有し、且つ前記配位子が、表2中の10384、10386、10391、及び10399からなる群から選択されるか;又は
    前記配位子が、一般式(xiii)を有し、且つ前記配位子が、表2中の10406及び10446からなる群から選択されるか;又は
    前記配位子が、一般式(xv)を有し、且つ前記配位子が、表2中の8768、からなる群から選択されるか;又は
    前記配位子が、一般式(xvi)を有し、且つ前記配位子が、表2中の6510からなる群から選択されるか;又は
    前記配位子が、一般式(xviii)を有し、且つ前記配位子が、表2中の10453からなる群から選択される、のうちの少なくとも1つが満たされる、請求項17に記載の方法。
  19. (2)前記反応生成物を還元剤(NaEt3BH)と合わせることを更に含む、請求項15〜18のいずれか一項に記載の方法。
  20. 前記反応生成物が、Ni−配位子錯体と、Ni前駆体及び配位子の反応、又はその複反応の副生成物と、を含む、請求項1〜19のいずれか一項に記載の方法。
  21. 前記副生成物の全て又は一部を除去することを更に含む、請求項20に記載の方法。
  22. ヒドロシリル化触媒として前記方法によって調製される生成物を用いることを更に含む、請求項1〜21のいずれか一項に記載の方法。
  23. (A)請求項1〜9のいずれか一項に記載の方法によって調製される生成物と、
    (B)ヒドロシリル化反応し得る脂肪族不飽和有機基を1分子当たり平均1以上有する脂肪族不飽和化合物と、
    (c)ポリオルガノヒドロジェンシロキサンと、を含む、組成物。
  24. (A)請求項10〜19のいずれか一項に記載の方法によって調製される生成物と、
    (B)ヒドロシリル化反応し得る脂肪族不飽和有機基を1分子当たり平均1以上有する脂肪族不飽和化合物と、
    (C)式R SiH(式中、添え字eは、0、1、2、又は3であり;添え字fは、1、2、3、又は4であるが、但し、(e+f)の合計は4であり、各Rは、独立して、水素原子又は一価有機基である)のシランと、を含む、組成物。
  25. 前記組成物が、1以上の追加成分を更に含み、前記追加成分が、成分(A)、(B)、及び(C)とは異なり、且つ(D)スペーサー、(E)増量剤、可塑剤、又はこれらの組み合わせ;(F)充填剤、(G)充填剤処理剤;(H)殺生物剤;(I)安定剤、(J)難燃剤;(K)表面改質剤;(L)鎖延長剤;(M)末端保護剤;(N)融剤;(O)老化防止添加剤;(P)顔料;(Q)酸受容体;(R)レオロジー添加剤;(S)ビヒクル;(T)界面活性剤;(U)腐食防止剤;及びこれらの組み合わせからなる群から選択される、請求項21又は請求項22に記載の組成物。
  26. 請求項23〜25のいずれか一項に記載の組成物を製造する方法であって、
    前記組成物を製造するために、成分(A)、(B)、及び(C)を含む成分を混合すること、又は
    成分(A)及び(B)と、任意追加的に、(D)、(E)、(F)、(G)、(H)、(I)、(J)、(K)、(L)、(M)、(N)、(O)、(P)、(Q)、(R)、(S)、(T)、及び(U)のうちの1以上とを含む成分を混合して、硬化剤を形成すること、成分(B)及び(C)と、任意追加的に、(D)、(E)、(F)、(G)、(H)、(I)、(J)、(K)、(L)、(M)、(N)、(O)、(P)、(Q)、(R)、(S)、(T)、及び(U)のうちの1以上とを含む成分を混合して、基剤を形成すること、並びに前記硬化剤と前記基剤とを混合すること、を含む、方法。
  27. 請求項23〜25のいずれか一項に記載の組成物の反応生成物。
JP2014530966A 2011-09-20 2012-09-20 ニッケル含有ヒドロシリル化触媒及びその触媒を含有する組成物 Active JP6101695B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161536799P 2011-09-20 2011-09-20
US61/536,799 2011-09-20
PCT/US2012/056202 WO2013043785A2 (en) 2011-09-20 2012-09-20 Nickel containing hydrosilylation catalysts and compositions containing the catalysts

Publications (2)

Publication Number Publication Date
JP2014528940A true JP2014528940A (ja) 2014-10-30
JP6101695B2 JP6101695B2 (ja) 2017-03-22

Family

ID=55456473

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014530966A Active JP6101695B2 (ja) 2011-09-20 2012-09-20 ニッケル含有ヒドロシリル化触媒及びその触媒を含有する組成物

Country Status (5)

Country Link
US (1) US9545624B2 (ja)
EP (1) EP2758416B8 (ja)
JP (1) JP6101695B2 (ja)
CN (1) CN103814040B (ja)
WO (1) WO2013043785A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018504452A (ja) * 2014-12-19 2018-02-15 ダウ コーニング コーポレーションDow Corning Corporation 配位子成分、関連する反応生成物、活性化反応生成物、ヒドロシリル化触媒、及び配位子成分を含むヒドロシリル化硬化性組成物、及びそれを調製するための関連する方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2996804B1 (en) * 2013-05-15 2020-12-30 Momentive Performance Materials Inc. Activation of metal salts with silylhydrides and their use in hydrosilylation reactions
WO2014201082A1 (en) 2013-06-12 2014-12-18 Arizona Board Of Regents On Behalf Of Arizona State University First-row transition metal hydrogenation and hydrosilylation catalysts
JP6333710B2 (ja) * 2014-06-12 2018-05-30 国立研究開発法人産業技術総合研究所 有機ケイ素化合物の製造方法及び触媒組成物
JP6515930B2 (ja) 2014-08-12 2019-05-22 国立大学法人九州大学 ヒドロシリル化反応触媒
JP6327426B2 (ja) 2014-08-12 2018-05-23 国立大学法人九州大学 ヒドロシリル化反応触媒
JP6761997B2 (ja) 2014-08-19 2020-09-30 国立大学法人九州大学 ヒドロシリル化鉄触媒
US10058542B1 (en) 2014-09-12 2018-08-28 Thioredoxin Systems Ab Composition comprising selenazol or thiazolone derivatives and silver and method of treatment therewith
CN107847921B (zh) 2015-07-14 2022-01-14 国立大学法人九州大学 氢化硅烷化反应催化剂
CN106881131B (zh) * 2015-12-15 2019-11-12 中国科学院大连化学物理研究所 一种负载型非贵金属催化剂及其制备和在腈合成上的应用
KR102607033B1 (ko) 2016-01-22 2023-11-29 신에쓰 가가꾸 고교 가부시끼가이샤 신규 아이소사이아나이드 화합물 및 하이드로실릴화 반응 촉매
KR20190125374A (ko) 2017-02-28 2019-11-06 고쿠리쓰다이가쿠호진 규슈다이가쿠 히드로실릴화 반응, 수소화 반응 및 히드로실란 환원 반응용 촉매
CN113244963B (zh) * 2021-05-17 2022-05-27 杭州师范大学 聚乙二醇n,p配位非贵金属催化剂及其制备和在烯烃催化硅氢加成反应中的应用

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4925649B1 (ja) * 1970-03-13 1974-07-02
JPS60127363A (ja) * 1983-10-28 1985-07-08 ゼネラル・エレクトリック・カンパニイ ヒドロシル化反応用ニッケル錯体触媒
JPH10513489A (ja) * 1995-01-24 1998-12-22 イー・アイ・デュポン・ドゥ・ヌムール・アンド・カンパニー α−オレフィン類およびオレフィンポリマー類、およびその製法
JP2000169513A (ja) * 1998-12-09 2000-06-20 Mitsui Chemicals Inc オレフィン重合用触媒および重合方法
CN1342717A (zh) * 2000-09-14 2002-04-03 中国科学院化学研究所 一种长链支化聚乙烯弹性体的制备方法
CN1563020A (zh) * 2004-04-02 2005-01-12 中国科学院上海有机化学研究所 手性膦配体、合成及其在不对称催化反应中的应用
WO2005058917A2 (en) * 2003-12-17 2005-06-30 The Penn State Research Foundation New oxazoline ligands for asymmetric catalysis
CN1672793A (zh) * 2005-01-07 2005-09-28 吉林大学 β-二亚胺镍类化合物/烷基铝催化体系及其应用
JP2005529868A (ja) * 2002-04-04 2005-10-06 デグサ アクチエンゲゼルシャフト 二座配位子としてのビスホスフィン
CN1844171A (zh) * 2006-05-11 2006-10-11 复旦大学 聚降冰片烯的制备方法
CN1861255A (zh) * 2006-05-11 2006-11-15 复旦大学 氮氮双齿配体的三配位一价态镍烯烃聚合催化剂及其制备方法
JP2007523914A (ja) * 2004-02-26 2007-08-23 ウニベルズィタイト・ヘント オレフィンメタセシスおよび原子またはグループ移動反応に使用するための金属錯体
JP2008208348A (ja) * 2007-01-30 2008-09-11 Sanyo Chem Ind Ltd ウレタン樹脂組成物
CN101613425A (zh) * 2009-07-21 2009-12-30 浙江大学 具有双峰和/或宽峰分布分子量分布的聚乙烯的催化剂
WO2010091047A1 (en) * 2009-02-06 2010-08-12 Dow Global Technologies Inc. Process of making aluminum alkyls
WO2011006044A2 (en) * 2009-07-10 2011-01-13 Momentive Performance Materials Inc. Hydrosilylation catalysts
JP2011511160A (ja) * 2008-02-01 2011-04-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード β−ジケチミナト配位子含有新金属前駆体
WO2012071358A2 (en) * 2010-11-24 2012-05-31 Momentive Performance Materials Inc. In-situ activation of metal complexes containing terdentate nitrogen ligands used as hydrosilylation catalysts

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2676182A (en) 1950-09-13 1954-04-20 Dow Corning Copolymeric siloxanes and methods of preparing them
US3159601A (en) 1962-07-02 1964-12-01 Gen Electric Platinum-olefin complex catalyzed addition of hydrogen- and alkenyl-substituted siloxanes
US3296291A (en) 1962-07-02 1967-01-03 Gen Electric Reaction of silanes with unsaturated olefinic compounds
US3220972A (en) 1962-07-02 1965-11-30 Gen Electric Organosilicon process using a chloroplatinic acid reaction product as the catalyst
NL131800C (ja) 1965-05-17
NL129346C (ja) 1966-06-23
US3516946A (en) 1967-09-29 1970-06-23 Gen Electric Platinum catalyst composition for hydrosilation reactions
US3814730A (en) 1970-08-06 1974-06-04 Gen Electric Platinum complexes of unsaturated siloxanes and platinum containing organopolysiloxanes
US3989667A (en) 1974-12-02 1976-11-02 Dow Corning Corporation Olefinic siloxanes as platinum inhibitors
US3989668A (en) 1975-07-14 1976-11-02 Dow Corning Corporation Method of making a silicone elastomer and the elastomer prepared thereby
US4087585A (en) 1977-05-23 1978-05-02 Dow Corning Corporation Self-adhering silicone compositions and preparations thereof
US4284751A (en) 1980-05-14 1981-08-18 Products Research & Chemical Corp. Polyurethane sealant system
US4370358A (en) 1980-09-22 1983-01-25 General Electric Company Ultraviolet curable silicone adhesives
US4584355A (en) 1984-10-29 1986-04-22 Dow Corning Corporation Silicone pressure-sensitive adhesive process and product with improved lap-shear stability-I
US4585836A (en) 1984-10-29 1986-04-29 Dow Corning Corporation Silicone pressure-sensitive adhesive process and product with improved lap-shear stability-II
US4591622A (en) 1984-10-29 1986-05-27 Dow Corning Corporation Silicone pressure-sensitive adhesive process and product thereof
JPS61195129A (ja) 1985-02-22 1986-08-29 Toray Silicone Co Ltd 有機けい素重合体の製造方法
JP2512468B2 (ja) 1987-04-13 1996-07-03 鐘淵化学工業株式会社 硬化性樹脂組成物
US4784879A (en) 1987-07-20 1988-11-15 Dow Corning Corporation Method for preparing a microencapsulated compound of a platinum group metal
US4766176A (en) 1987-07-20 1988-08-23 Dow Corning Corporation Storage stable heat curable organosiloxane compositions containing microencapsulated platinum-containing catalysts
JP2630993B2 (ja) 1988-06-23 1997-07-16 東レ・ダウコーニング・シリコーン株式会社 ヒドロシリル化反応用白金系触媒含有粒状物およびその製造方法
JPH0214244A (ja) 1988-06-30 1990-01-18 Toray Dow Corning Silicone Co Ltd 加熱硬化性オルガノポリシロキサン組成物
US5580925A (en) 1989-02-28 1996-12-03 Kanegafuchi Chemical Industry, Co., Ltd. Curable organic polymers containing hydrosilyl groups
US5036117A (en) 1989-11-03 1991-07-30 Dow Corning Corporation Heat-curable silicone compositions having improved bath life
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
JP3029680B2 (ja) 1991-01-29 2000-04-04 東レ・ダウコーニング・シリコーン株式会社 オルガノペンタシロキサンおよびその製造方法
GB9103191D0 (en) 1991-02-14 1991-04-03 Dow Corning Platinum complexes and use thereof
JP2511348B2 (ja) 1991-10-17 1996-06-26 東レ・ダウコーニング・シリコーン株式会社 オルガノポリシロキサンおよびその製造方法
ES2190567T3 (es) 1992-07-01 2003-08-01 Exxonmobil Chem Patents Inc Precursores de catalizadores de metal de transicion de los grupos 5 y 6.
US5248715A (en) 1992-07-30 1993-09-28 Dow Corning Corporation Self-adhering silicone rubber with low compression set
US5683527A (en) 1996-12-30 1997-11-04 Dow Corning Corporation Foamable organosiloxane compositions curable to silicone foams having improved adhesion
US6034240A (en) 1998-03-09 2000-03-07 Symyx Technologies, Inc. Substituted aminomethylphosphines, coordination complexes of aminomethylphosphines and their synthesis
US6350916B1 (en) 1998-04-17 2002-02-26 Symyx Technologies, Inc. Selective oxidation of alcohols to aldehydes or ketones
JP3444199B2 (ja) 1998-06-17 2003-09-08 信越化学工業株式会社 熱伝導性シリコーンゴム組成物及びその製造方法
US6974878B2 (en) 2001-03-21 2005-12-13 Symyx Technologies, Inc. Catalyst ligands, catalytic metal complexes and processes using same
US6521793B1 (en) 1998-10-08 2003-02-18 Symyx Technologies, Inc. Catalyst ligands, catalytic metal complexes and processes using same
US20020010291A1 (en) 1998-12-04 2002-01-24 Vince Murphy Ionic liquids and processes for production of high molecular weight polyisoolefins
AU2165000A (en) 1998-12-04 2000-06-19 Symyx Technologies, Inc. Combinatorial discovery and testing of ionic liquids
US6177519B1 (en) 1999-03-02 2001-01-23 Exxon Chemical Patents, Inc. Silane grafted copolymers of an isomonoolefin and a vinyl aromatic monomer
US6197714B1 (en) * 1999-03-23 2001-03-06 Cryovac, Inc. Supported catalysts and olefin polymerization processes utilizing same
US6362309B1 (en) 1999-04-01 2002-03-26 Symyx Technologies, Inc. Polymerization catalyst ligands, catalytic metal complexes and compositions and processes using and method of making same
WO2001019786A1 (en) 1999-09-10 2001-03-22 Symyx Technologies Catalyst ligands, catalytic metal complexes and processes using and methods of making same
US6177585B1 (en) 2000-05-19 2001-01-23 Dow Corning Corporation Bimetallic platinum catalysts for hydrosilations
US7078164B1 (en) 2000-06-19 2006-07-18 Symyx Technologies, Inc. High throughput screen for identifying polymerization catalysts from potential catalysts
US6790298B2 (en) 2000-07-10 2004-09-14 Tini Alloy Company Method of fabrication of free standing shape memory alloy thin film
WO2003010207A1 (fr) 2001-07-23 2003-02-06 Shanghai Institute Of Organic Chemistry, Chinese Academy Of Sciences Catalyseurs de polymerisation ou de copolymerisation d'olefines, leur procede de preparation et leur utilisation
ATE363504T1 (de) 2002-05-01 2007-06-15 Dow Corning Organowasserstoffsiliziumverbindungen
DE60314127T2 (de) 2002-05-01 2008-01-24 Dow Corning Corp., Midland Zusammensetzungen mit verlängerter verarbeitungszeit
DE10257938A1 (de) 2002-12-12 2004-06-24 Oxeno Olefinchemie Gmbh Verfahren zur Herstellung von Metallkomplexen der Gruppen 6 bis 10 des Periodensystems und ihr Einsatz als Katalysatoren
GB0305927D0 (en) 2003-03-14 2003-04-23 Ic Innovations Ltd Compound
GB0306430D0 (en) 2003-03-20 2003-04-23 Bp Chem Int Ltd Polymerisation and oligomerisation catalysts
BRPI0508148B1 (pt) 2004-03-17 2015-09-01 Dow Global Technologies Inc Interpolímero de etileno em multibloco, derivado reticulado e composição”
JP2005306994A (ja) 2004-04-21 2005-11-04 Shin Etsu Chem Co Ltd 皮膜形成シリコーンエマルジョン組成物
GB0410749D0 (en) 2004-05-14 2004-06-16 Dow Corning Ireland Ltd Coating apparatus
JP2008503602A (ja) 2004-06-16 2008-02-07 ダウ グローバル テクノロジーズ インコーポレイティド チーグラー・ナッタ研究のための装置および方法
WO2006119311A2 (en) 2005-05-02 2006-11-09 Symyx Technologies, Inc. High surface area metal and metal oxide materials and methods of making same
MY157940A (en) 2005-09-15 2016-08-15 Dow Global Technologies Inc Catalytic olefin block copolymers with controlled block sequence distribution
CN101326255B (zh) 2005-12-08 2013-09-25 陶氏康宁公司 生产有机硅压敏粘合剂的连续方法
RU2448127C2 (ru) 2006-07-03 2012-04-20 Дау Корнинг Корпорейшн Химически отверждаемая "все в одном" уплотнение-проставка теплой кромки
US20100184883A1 (en) 2006-10-10 2010-07-22 Tommy Detemmerman Extenders For Organosiloxane Compositions
EP1925623A1 (en) 2006-11-24 2008-05-28 Total Petrochemicals Research Feluy Polymerisation of ethylene and alpha-olefins with pyrrol-iminophenol complexes.
GB0708347D0 (en) 2007-05-01 2007-06-06 Dow Corning Polymer compositions
GB0724914D0 (en) 2007-12-21 2008-01-30 Dow Corning Moisture curable compositions
WO2010104534A1 (en) 2009-03-12 2010-09-16 Dow Corning Corporation Thermal interface materials and mehtods for their preparation and use
JP5509312B2 (ja) 2009-03-23 2014-06-04 ダウ コーニング コーポレーション 化学硬化性一体型ウォームエッジスペーサ及びシール
CN101787045B (zh) * 2010-02-08 2012-10-03 浙江大学 钌化合物催化硅氢加成反应的方法
JP6019034B2 (ja) 2010-11-24 2016-11-02 モメンティブ パフォーマンス マテリアルズ インコーポレイテッド 多価不飽和化合物の金属触媒モノヒドロシリル化
CN102179266B (zh) * 2011-03-18 2012-11-28 杭州师范大学 一种硅氢加成反应用负载型催化剂及其制备方法
BR112014008322A2 (pt) 2012-04-17 2017-04-18 Momentive Performance Mat Inc catalisador de alta atividade para reações de hidrossililação e métodos para a fabricação do mesmo

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4925649B1 (ja) * 1970-03-13 1974-07-02
JPS60127363A (ja) * 1983-10-28 1985-07-08 ゼネラル・エレクトリック・カンパニイ ヒドロシル化反応用ニッケル錯体触媒
JPH10513489A (ja) * 1995-01-24 1998-12-22 イー・アイ・デュポン・ドゥ・ヌムール・アンド・カンパニー α−オレフィン類およびオレフィンポリマー類、およびその製法
JP2000169513A (ja) * 1998-12-09 2000-06-20 Mitsui Chemicals Inc オレフィン重合用触媒および重合方法
CN1342717A (zh) * 2000-09-14 2002-04-03 中国科学院化学研究所 一种长链支化聚乙烯弹性体的制备方法
JP2005529868A (ja) * 2002-04-04 2005-10-06 デグサ アクチエンゲゼルシャフト 二座配位子としてのビスホスフィン
WO2005058917A2 (en) * 2003-12-17 2005-06-30 The Penn State Research Foundation New oxazoline ligands for asymmetric catalysis
JP2007523914A (ja) * 2004-02-26 2007-08-23 ウニベルズィタイト・ヘント オレフィンメタセシスおよび原子またはグループ移動反応に使用するための金属錯体
CN1563020A (zh) * 2004-04-02 2005-01-12 中国科学院上海有机化学研究所 手性膦配体、合成及其在不对称催化反应中的应用
CN1672793A (zh) * 2005-01-07 2005-09-28 吉林大学 β-二亚胺镍类化合物/烷基铝催化体系及其应用
CN1844171A (zh) * 2006-05-11 2006-10-11 复旦大学 聚降冰片烯的制备方法
CN1861255A (zh) * 2006-05-11 2006-11-15 复旦大学 氮氮双齿配体的三配位一价态镍烯烃聚合催化剂及其制备方法
JP2008208348A (ja) * 2007-01-30 2008-09-11 Sanyo Chem Ind Ltd ウレタン樹脂組成物
JP2011511160A (ja) * 2008-02-01 2011-04-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード β−ジケチミナト配位子含有新金属前駆体
WO2010091047A1 (en) * 2009-02-06 2010-08-12 Dow Global Technologies Inc. Process of making aluminum alkyls
WO2011006044A2 (en) * 2009-07-10 2011-01-13 Momentive Performance Materials Inc. Hydrosilylation catalysts
CN101613425A (zh) * 2009-07-21 2009-12-30 浙江大学 具有双峰和/或宽峰分布分子量分布的聚乙烯的催化剂
WO2012071358A2 (en) * 2010-11-24 2012-05-31 Momentive Performance Materials Inc. In-situ activation of metal complexes containing terdentate nitrogen ligands used as hydrosilylation catalysts
JP2013544824A (ja) * 2010-11-24 2013-12-19 モメンティブ パフォーマンス マテリアルズ インコーポレイテッド ヒドロシリル化触媒として使用される三座窒素配位子を有する金属錯体のin−situ活性化

Non-Patent Citations (8)

* Cited by examiner, † Cited by third party
Title
BAI G. ET AL., ORGANOMETALLICS, vol. 24(24), JPN6016036788, 2005, pages 5901 - 5908, ISSN: 0003406036 *
BRUNNER H. ET AL., JOURNAL OF ORGANOMETALLIC CHEMISTRY, vol. 335,pp.15-27, JPN6016016859, 1987, ISSN: 0003312800 *
CHAULAGAIN, MANI RAJ ET AL.: "Alkyne hydrosilylation catalyzed by nickel complexes of N-heterocyclic carbenes", TETRAHEDRON, vol. 62(32), JPN6016036785, 2006, pages 7560 - 7566, ISSN: 0003406034 *
ECKERT N. A. ET AL., INORG. CHEM., vol. 42(5), JPN6016036790, 2003, pages 1720 - 1725, ISSN: 0003406037 *
KAUL F. A. R. ET AL., ORGANOMETALLICS, vol. vol.26, no.4,pp.988-999, JPN6016016861, 2007, ISSN: 0003312802 *
KISO, Y. ET AL.: "Silicon hydrides and nickel complexes. I. Phosphine-nickel(II) complexes as hydrosilylation cataly", JOURNAL OF ORGANOMETALLIC CHEMISTRY, vol. 50(1), JPN6016036784, 1973, pages 297 - 310, ISSN: 0003406033 *
SU B. ET AL., POLYM INT, vol. 58,pp.1051-1057, JPN6016016860, 2009, ISSN: 0003312801 *
TANABIKI M. ET AL., ORGANOMETALLICS, vol. 26(24), JPN6016036787, 2007, pages 6055 - 6058, ISSN: 0003406035 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018504452A (ja) * 2014-12-19 2018-02-15 ダウ コーニング コーポレーションDow Corning Corporation 配位子成分、関連する反応生成物、活性化反応生成物、ヒドロシリル化触媒、及び配位子成分を含むヒドロシリル化硬化性組成物、及びそれを調製するための関連する方法

Also Published As

Publication number Publication date
EP2758416B1 (en) 2018-05-09
WO2013043785A3 (en) 2013-06-27
EP2758416A2 (en) 2014-07-30
US9545624B2 (en) 2017-01-17
EP2758416B8 (en) 2018-08-01
JP6101695B2 (ja) 2017-03-22
WO2013043785A2 (en) 2013-03-28
US20140183398A1 (en) 2014-07-03
CN103814040B (zh) 2016-08-31
CN103814040A (zh) 2014-05-21

Similar Documents

Publication Publication Date Title
JP6101695B2 (ja) ニッケル含有ヒドロシリル化触媒及びその触媒を含有する組成物
JP6117210B2 (ja) イリジウムを含有するヒドロシリル化触媒及びその触媒を含有する組成物
JP6117211B2 (ja) ルテニウム含有ヒドロシリル化触媒及びこの触媒を含有する組成物
JP6117233B2 (ja) ヒドロシリル化反応触媒及び硬化性組成物並びにこれらの調製及び使用方法
JP2014531446A (ja) コバルト含有ヒドロシリル化触媒及びその触媒を含有する組成物
JP2014528012A (ja) 金属含有ヒドロシリル化触媒及びこの触媒を含有する組成物
JP2014532045A (ja) 鉄含有ヒドロシリル化触媒及びこの触媒を含有する組成物
JP2014527970A (ja) マンガン含有ヒドロシリル化触媒及びこの触媒を含有する組成物
JP6824189B2 (ja) 配位子成分、関連する反応生成物、活性化反応生成物、ヒドロシリル化触媒、及び配位子成分を含むヒドロシリル化硬化性組成物、及びそれを調製するための関連する方法
JP2014520904A (ja) ヒドロシリル化反応硬化性組成物、並びに、その調製及び使用方法
WO2013043797A1 (en) Titanium containing hydrosilylation catalysts and compositions containing the catalysts
JP2015501287A (ja) バナジウム含有ヒドロシリル化触媒及びこの触媒を含有する組成物
WO2013043765A2 (en) Hafnium containing hydrosilylation catalysts and compositions containing the catalysts
WO2013043764A2 (en) Silver containing hydrosilylation catalysts and compositions containing the catalysts
WO2013043799A1 (en) Zirconium containing hydrosilylation catalysts and compositions containing the catalysts
WO2013043767A2 (en) Rhenium containing hydrosilylation catalysts and compositions containing the catalysts
WO2013043798A2 (en) Zinc containing hydrosilylation catalysts and compositions containing the catalysts
WO2013043766A1 (en) Molybdenum containing hydrosilylation reaction catalysts and compositions containing the catalysts
WO2013043792A2 (en) Copper containing hydrosilylation catalysts and compositions containing the catalysts

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150915

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20160406

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160509

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20160526

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160711

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161221

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170130

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170227

R150 Certificate of patent or registration of utility model

Ref document number: 6101695

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250