JP2011511160A - β−ジケチミナト配位子含有新金属前駆体 - Google Patents

β−ジケチミナト配位子含有新金属前駆体 Download PDF

Info

Publication number
JP2011511160A
JP2011511160A JP2010544842A JP2010544842A JP2011511160A JP 2011511160 A JP2011511160 A JP 2011511160A JP 2010544842 A JP2010544842 A JP 2010544842A JP 2010544842 A JP2010544842 A JP 2010544842A JP 2011511160 A JP2011511160 A JP 2011511160A
Authority
JP
Japan
Prior art keywords
precursor
metal
reactant
reaction chamber
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010544842A
Other languages
English (en)
Inventor
デュッサラ、クリスティアン
フェイスト、ベンジャミン・ジェイ.
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2011511160A publication Critical patent/JP2011511160A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C251/00Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
    • C07C251/02Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups
    • C07C251/04Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C251/06Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of a saturated carbon skeleton
    • C07C251/08Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of a saturated carbon skeleton being acyclic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/406Oxides of iron group metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers

Abstract

基板上に金属含有薄膜を堆積する方法および組成物は、気相金属−有機前駆体を1つまたはそれ以上の基板を含む反応チャンバに導入することを含む。前記前駆体は、少なくとも1つのβ−ジケチミナト配位子を有し、かつ一般式:M(R1C(NR4)CR2C(NR5)R3)2Lnを有する。
ここで、Mはニッケル、コバルト、ルテニウム、イリジウム、パラジウム、白金、銀および金から選ばれる金属である。それぞれのR1-5はH; および C1 − C4直鎖または分岐アルキル基、アルキルシリル基、アルキルアミド基、アルコキシ基、またはアルキルシリルアミド基から別個に選択される有機配位子である。各Lは炭化水素;酸素含有炭化水素:アミン;ポリアミン;ビピリジン;酸素含有へテロ環、窒素含有ヘテロ環、およびそれらの組合せから別個に選択され、およびnは0も4も含めて0から4の範囲の整数である。
金属含有膜は、前記基板が約100℃と約 500℃の間の温度に維持しながら前記基板上に堆積される。
【選択図】なし

Description

この発明は一般的に半導体、光電池、LCF-TFTまたは平面型デバイスの製造に用いられる組成物、方法および装置に関する。特に、本発明は基板上への金属膜の堆積のための新しい前駆体に関する。
相補型金属酸化物半導体(“CMOS”)回路の設計および製造が発展することを続けているので、産業は結果として得られる膜がある求められる特性を有するように基板上への堆積膜の新しくかつ新規な方法を絶えず追求している。例えば、遷移金属薄膜は銅相互接続の接着/シード層として、および磁気ランダムアクセスメモリデバイスの磁気抵抗多層として用いることができる。
ニッケルおよびコバルト膜は、未来の半導体デバイスに対して望ましい。幾つかのCMOS 構造において、NiSi およびCoSi2膜は、従来のトランジスタに一般に用いられている金属ゲートにやがては置き換えられ得る。コバルトシリサイドは、コバルトシリサイドをシリコン上にエピタキシャル成長をさせる、これらの良好な熱および化学安定性、低抵抗性、広いプロセス窓、シリコン結晶格子に対する小格子ミスマッチのために、デバイススケールダウンプロセスに有益である。さらに、ニッケル酸化物およびコバルト酸化物はMRAMまたはFeRAM型デバイスの構築に用いることができる。最近、主要産業は妥当なスループットを持つそのような薄膜を堆積するために適切に選択し、かつ受容可能な純度は化学蒸着法(“CVD”)および原子層堆積(“ALD”)のような蒸気相堆積技術である。基板上に膜を堆積するこれらの技術の両方は、熱的に安定、容易に蒸発、反応性であり、かつ円滑に分解する前駆体の使用を要求する。
したがって、後期遷移金属層を含む膜を堆積する方法および前駆体に対する必要が存在する。
本発明の態様は、基板上に膜を堆積する新規な方法および組成物を提供する。一般的に、開示された組成物および方法は少なくとも1つのβ−ジケチミナト配位子を持つ前駆体化合物を利用する。
ある態様において、基板上に金属含有薄膜を堆積する方法は、気相金属−有機前駆体を1つまたはそれ以上の基板を含む反応チャンバに導入することを含む。前駆体は、少なくとも1つのβ−ジケチミナト配位子を有し、かつ一般式:M(R1C(NR4)CR2C(NR5)R3)2Lnを有する。
ここで、Mはニッケル、コバルト、ルテニウム、イリジウム、パラジウム、白金、銀および金から選ばれる金属である。それぞれのR1-5はH; および C1 − C4直鎖または分岐アルキル基、アルキルシリル基、アルキルアミド基、アルコキシ基、またはアルキルシリルアミド基から別個に選択される有機配位子である。各Lは炭化水素;酸素含有炭化水素:アミン;ポリアミン;ビピリジン;酸素含有へテロ環、窒素含有ヘテロ環、およびそれらの組合せから別個に選択され、かつnは0も4も含めて0から4の範囲の整数である。
金属含有膜は、基板が約100℃と約 500℃の間の温度に維持しながら、基板上に堆積される。ある態様において、金属含有薄膜を基板上に堆積する前駆体は一般式:M(R1C(NR4)CR2C(NR5)R3)2Lnを有する少なくとも1つのβ−ジケチミナト配位子を持つ金属−有機前駆体を含む。
ここで、Mはニッケル、コバルト、ルテニウム、イリジウム、パラジウム、白金、銀および金から選ばれる金属である。それぞれのR1-5はH; および C1 −C4直鎖または分岐アルキル基、アルキルシリル基、アルキルアミド基、アルコキシ基、またはアルキルシリルアミド基から別個に選択される有機配位子である。各Lは炭化水素;酸素含有炭化水素:アミン;ポリアミン;ビピリジン;酸素含有へテロ環、窒素含有ヘテロ環、およびそれらの組合せから別個に選択され、およびnは0も4も含めて0から4の範囲の整数である。
本発明の他の態様は、制限しない、1つまたはそれ以上の次の特徴を含むことができる。
− 少なくとも1つの反応物質は、反応チャンバ内に導入される;
− 金属含有膜は、酸素を含み、かつ反応物質は酸素;酸素ラジカル(例えばO・またはOH・);オゾン;一酸化窒素;一酸化二窒素;二酸化窒素;水蒸気;過酸化水素;およびそれらの混合物から選択される少なくとも1つの要素である;
− 金属含有膜は、窒素を含み、かつ反応物質は窒素;アンモニア;ヒドラジン;アルキル誘導体;窒素含有ラジカル(例えばN・、NH・、またはNH2・);一酸化窒素;一酸化二窒素;二酸化窒素;アミン;およびそれらの混合物から選択される少なくとも1つの要素である;
− 金属含有膜は、炭素を含み、かつ反応物質はメタン;エタン;プロパン;ブタン;エチレン;プロピレン;ターシャリーブチレン;イソブチレン;四塩化炭素;およびそれらの混合物から選択される少なくとも1つの要素である
− 金属含有膜は、シリコンを含み、かつ反応物質はSiH4;Si2H6;Si3H8; Si(NR1R2)4 、ここでR1およびR2 は別個にH または直鎖、分岐または環式のC1-C6 アルキル基から選ばれる; (SiH3)3N ;(SiH3)2O;一般式SiHx(OR1)4-xのアルコキシシラン、ここでxは0および4を含む0から4の範囲の整数、R1は別個にH または直鎖、分岐または環式のC1-C6 アルキル基である;一般式Si(OH)x(OR1)4-xのシラノール、ここでxは0および4を含む0から4の範囲の整数、R1は別個にH または直鎖、分岐または環式のC1-C6 アルキル基である;一般式SiHx(NR1R2)4-xのアミノシラン、ここでxは0および4を含む0から4の範囲の整数、R1およびR2 は別個にH または直鎖、分岐または環式のC1-C6 アルキル基である;およびそれらの混合物から選択される少なくとも1つの要素である;
− 金属含有膜は、ゲルマニウムを含み、かつ反応物質はGeH4;Ge2H6;Ge3H8; Ge(NR1R2)4 、ここでR1およびR2 は別個にH または直鎖、分岐または環式のC1-C6 アルキル基である;(GeH3)3N; (GeH3)2O;一般式GeHx(OR1)4-xのアルコキシゲルマン、ここでxは0および4を含む0から4の範囲の整数、R1は別個にH または直鎖、分岐または環式のC1-C6 アルキル基である;一般式Ge(OH)x(OR1)4-xのゲルマノール 、ここでxは0および4を含む0から4の範囲の整数、R1は別個にH または直鎖、分岐または環式のC1-C6 アルキル基である; 一般式GeHx(NR1R2)4-xのアミノゲルマン、ここでxは0および4を含む0から4の範囲の整数、R1およびR2 は別個にH または直鎖、分岐または環式のC1-C6 アルキル基である;およびそれらの混合物から選択される少なくとも1つの要素である;
− 反応物質はSi(OH)(OtBu)3; SiH(NMe2)3 ; SiH2(NHtBu)2 ;およびSiH2(NEt2)2から選択される少なくとも1つの要素である;
− 反応物質はGe(OH)(OtBu)3; GeH(NMe2)3;GeH2(NHtBu)2 ;およびGeH2(NEt2)2から選択される少なくとも1つの要素である;
− 前駆体および反応物質は反応チャンバ内に化学気相型堆積(“CVD”)法と調和して同時に導入される;
− 前駆体および反応物質は反応チャンバ内に原子層型堆積(“ALD”)法と調和して同時に導入される;
− 前駆体および反応物質は一緒に混合され、かつ反応チャンバ内に混合物として導入される;
− 前駆体および反応物質は反応チャンバ内にパルスされる;
− 反応物質は反応チャンバ内に導入される前に、反応物質を遠隔位置プラズマシステムに通過させることによって、そのラジカル形態に分解される;
− 前駆体は、約80℃以下、好ましくは約35℃以下の融点を有する;
− 基板は、約150℃と約350℃の間の温度に維持される;
− 前駆体は、約130℃で約0.1 torrより大きい蒸気圧を有する;および
− 前駆体は、Ni(pda)2;Ni(dmpda)2;Ni(depda)2;Ni(diPrpda)2;Co(pda)2;Co(dmpda)2;Co(depda)2;およびCo(diPrpda)から選択される。
前述の事項は、次により理解されるであろう本発明の詳細な説明のためにむしろ広く本発明の特徴および技術有益さを概要する。本発明の追加の特徴および有益さは本発明の請求の範囲の主題を形成することをこの後に述べるであろう。開示される概念および特有の態様は本発明の同じ目的をなす他の構造に変更または設計するための根拠としてすでに利用できることを当業者によって正当に評価されるべきである。そのような等価な構成は添付の請求の範囲に示すように本発明の精神および範囲から離れないことを当業者によってまた認識されるべきである。
表記法および命名法
ある用語は種々の成分および構成を参照するために次の記述および請求の範囲に亘って用いられる。この文献は、名前が異なるが機能ではない成分間を識別するつもりがない。ここで使用されるように用語“アルキル基”は炭素および水素原子をもっぱら含む飽和官能基を指す。さらに、用語“アルキル基”は直鎖、分岐または環式のアルキル基を指すことができる。直鎖アルキル基の例は、制限されず、メチル基、エチル基、プロピル基、ブチル基等を含む。分岐アルキル基の例は、制限されず、t−ブチルを含む。環式アルキル基の例は、制限されず、シクロプロピル基、シクロヘキシル基等を含む。
ここで用いられるように、略語“Me”はメチル基を指し、略語“Et”はエチル基を指し、略語“t-Bu”はターシャリーブチル基を指し、略語“pda”はペンタ−2,4−ジケチミナト、略語“dmpda”はN,N’−ジメチル−ペンタン−2,4−ジケチミナトを指し、略語“depda”はN,N’−ジエチル−ペンタンテ−2,4−ジケチミナトを指し、略語“diPrpda”はN,N’−ジイソプロピル−ペンタン−2,4−ジケチミナトを指す。
ここに用いられるように、R基を記述することの文脈に用いられるとき、用語“別個に”は主題R基が異なる上付き文字を意味する他のR基に対して別個に選択されるだけでなく、同じR基の幾つかの追加の種に対しても別個に選択されることを意味することを理解すべきである。例えば、式GeR1 x (NR2R3)(4-x)、ここでxは2または3であり、2または3R1基は必要ではないが、互いにまたはR2 もしくはR3と等しくてもよい。さらに、別に規定しない限り、R基の値は異なる式で用いられる場合、互いに別個であることを理解すべきである。
好ましい態様の説明
ある態様において、基板上に金属含有薄膜を堆積する方法は気相金属−有機前駆体を1つまたはそれ以上の基板を含む反応チャンバに導入することを含む。前駆体は、少なくとも1つのβ−ジケチミナト配位子を有し、かつ一般式:M(R1C(NR4)CR2C(NR5)R3)2Ln
Figure 2011511160
を有する。
ここで、Mはニッケル、コバルト、ルテニウム、イリジウム、パラジウム、白金、銀および金から選ばれる金属である。それぞれのR1-5はH; および C1 − C4直鎖または分岐アルキル基、アルキルシリル基、アルキルアミド基、アルコキシ基、またはアルキルシリルアミド基から別個に選択される有機配位子である。各Lは炭化水素;酸素含有炭化水素:アミン;ポリアミン;ビピリジン;酸素含有へテロ環、窒素含有ヘテロ環、およびそれらの組合せから別個に選択され、かつnは0も4も含めて0から4の範囲の整数である。
一般的に、開示される前駆体は低融点を有する。少なくとも1つの態様において、前駆体は約80℃より低い、択一的に約35℃未満の融点を有する。幾つかの態様において、前駆体の蒸気圧は130℃で0.1 torrより大きい。
開示された前駆体化合物は、それらの当該技術で知られた幾つかの堆積方法を用いて堆積することができる。適切な堆積方法の例は、制限されない、従来のCVD、低圧力化学蒸着法(LPCVD)、原子層堆積(ALD)、パルス化学蒸着法(P-CVD)、プラズマ増強原子層堆積(PE-CVD)、またはそれらの組合せを含む。ある態様において、前駆体は気相で反応チャンバに導入できる。反応チャンバは、制限されない、冷壁型反応器、熱壁型反応器、枚葉ウェハ式反応器、マルチウェハ式反応器、または結果として前駆体を反応し、層を形成するのに適する条件下の他の型の堆積システムのような堆積方法が生じる装置内の幾つかの容器またはチャンバであってもよい。前駆体は、希ガス(例えばN2, He, Ar等)を前駆体にバブリングする、および希ガスプラス気相前駆体混合物を反応器に供することによって反応チャンバ内に導入してもよい。別の態様において、前駆体は液体として気化器、ここで気化される、に供給することができる。液体前駆体は、溶媒または安定化剤(例えばオクタン、ヘキサン、ペンタン、テトラメチルシラン等)を混合することができる。気化およびバブリングの文脈は当業者によって一般的に理解されるであろう。
一般的に、反応チャンバは金属層または膜が堆積されるべき1つまたはそれ以上の基板を含む。1つまたはそれ以上の基板は、半導体製造に用いられる幾つかの適切な基板であってもよい。適切な基板の例は、制限されない、シリコン基板、シリカ基板、窒化シリコン基板、シリコンオキシ窒化物基板、タングステン基板、またはそれらの組合せを含む。さらに、タングステン、貴金属(例えば白金、パラジウム、ロジウムまたは金)を含む基板は使用できる。
幾つかの態様において、1つまたはそれ以上の反応物質も反応チャンバに導入される。反応物質の種類の選択は、基板に堆積される金属膜の性状に影響を及ぼすかもしれない。すなわち、もし反応物質が酸素、窒素、シリコン、炭素またはゲルマニウムを含むと、そのとき結果として得られる膜もまた金属と一緒にこれら(のみまたは組合せ)を含む。可能性のある膜の幾つかの例は制限されないが、次の種類の膜;MN, MC, MO, MSi, MSiN, MSiON, MGe; M1M2OxNy, and MOxNyを含む。
態様において、反応チャンバは約1 mtorrから約100 torr、択一的に約1 torrから10 torrの圧力範囲に維持されてもよい。また、反応チャンバ内の温度は約100℃から約500℃、択一的に約120℃から約450℃、択一的に約150℃から約350℃の範囲にあってもよい。
前駆体および幾つかの任意反応物質は反応チャンバ内に連続して(ALDのように)または同時に(CVDのように)導入してもよい。幾つかの態様において、反応チャンバは前駆体の導入と反応物質の導入の間に希ガスでパージされる。1つの態様において、反応物質および前駆体は反応物質/前駆体混合物を形成するために一緒に混合され、それから混合物の形態で反応器に導入することができる。幾つかの態様において、反応物質は反応物質をそのラジカル形態に分解するためにプラズマによって処理される。これらの態様おいて、プラズマは、例えば遠隔位置プラズマシステム、で反応チャンバから取り除かれる位置一般的にある。当業者は、そのようなプラズマ処理に対して適切な方法および装置を一般的に認識するであろう。
1つの態様において、前駆体および反応物質は反応物質ガスが反応チャンバ内に連続的に導入されながら、反応チャンバ内に連続してまたは同時にパルス(例えばパルスCVD)されてもよい。前駆体および反応物質の各パルスは、約0.01 sから約10 s、択一的に約0.3 sから約3 s、択一的に約0.5 s から約2 sの範囲にある時間期間に対して持続してもよい。別の態様において、希ガスもまた反応チャンバ内にパルスできる。そのような態様において、各ガスのパルスは約0.01 sから約10 s、択一的に約0.3 sから約3 s、択一的に約0.5 s から約2 sの範囲にある時間期間に対して持続してもよい。

次の非限定例は本発明の態様をさらに例証するために提供される。しかしながら、例は全てを包括するつもりがなく、かつここで述べられる本発明の範囲を制限するつもりもない。
例1
Ni(pda)2を用いるNi薄膜の予言的原子層堆積
Ni(pda)2を容器内に導入する。容器を90℃に加熱し、かつN2を50 sccmの流量でキャリアガスとして用いる。容器圧力を50Torrに制御する。H2を還元剤として用いる。基板を350℃に加熱する。第1工程の間に、Ni(pda)2を反応チャンバ内に2sの間、導入する。5s のN2 パージを第2工程としてその後になす。第3工程として、H2パルスを反応チャンバ内に2sの間、導入し、第4工程として2s N2パージが続く。全ての4つの工程は、Ni膜を得るために100回繰り返す。自己限定性原子層堆積は、従って得られる。同様な結果を持つ同様な実験は還元剤としてのNH3で予想できる。
例2
Ni(pda)2を用いるNiOの予言的金属−有機化学蒸着法
Ni(pda)2を容器内に導入する。容器を90℃に加熱し、かつN2を50 sccmの流量でキャリアガスとして用いる。容器内の圧力を50Torrに制御する。Ni(pda)2を反応チャンバ内でO2/N2ガス混合物に混合する。基板を350℃に加熱する。反応チャンバ内側の圧力を10Torrにセットする。ニッケル酸化物の膜を得る。同様な実験結果は後期遷移金属系類似物で予想することができる。
この発明の態様はすでに示され、記述されているとはいえ、その変更はこの発明の精神または技術からはなれずに当業者のよってなすことができる。ここで述べられる態様は、例のみであり、制限されない。組成物および方法の多くの変化および変更は本発明の範囲内で可能である。したがって、保護の範囲はここで述べられた態様に制限されないが、引き続く請求の範囲、本発明の主題事項の全ての等価を含むであろう範囲のみに制限される。

Claims (15)

  1. 金属含有薄膜を基板上に堆積する方法であって、
    a)気相金属−有機前駆体を1つまたはそれ以上の基板を含む反応チャンバに導入すること、ここで前記前駆体はNi(pda)2;Ni(dmpda)2;Ni(depda)2;Ni(diPrpda)2;Co(pda)2;Co(dmpda)2;Co(depda)2;およびCo(diPrpda)2;からなる群から選択される化合物を含む;および
    b)金属含有膜を前記基板上に堆積すること、ここで前記基板は約100℃と約500℃の間の温度に維持される
    を含む方法。
  2. 少なくとも1つの反応物質を前記反応チャンバに導入することをさらに含む請求項1記載の方法。
  3. 前記金属含有膜は、酸素を含み、かつここで前記反応物質は酸素;酸素ラジカル(例えばO・またはOH・);オゾン;一酸化窒素;一酸化二窒素;二酸化窒素;水蒸気;過酸化水素;およびそれらの混合物からなる群から選択される少なくとも1つの要素を含む請求項2記載の方法。
  4. 前記金属含有膜は、窒素を含み、かつここで前記反応物質は窒素;アンモニア;ヒドラジン;アルキル誘導体;窒素含有ラジカル(例えばN・、NH・、またはNH2・);一酸化窒素;一酸化二窒素;二酸化窒素;アミン;およびそれらの混合物からなる群から選択される少なくとも1つの要素を含む請求項2記載の方法。
  5. 前記金属含有膜は、炭素を含み、かつここで前記反応物質はメタン;エタン;プロパン;ブタン;エチレン;プロピレン;ターシャリーブチレン;イソブチレン;四塩化炭素;およびそれらの混合物からなる群から選択される少なくとも1つの要素を含む請求項2記載の方法。
  6. 前記金属含有膜は、シリコンを含み、かつここで前記反応物質はSiH4;Si2H6;Si3H8; Si(NR1R2)4 、ここでR1およびR2 は別個にHまたは直鎖、分岐または環式のC1-C6 アルキル基から選ばれる; (SiH3)3N ;(SiH3)2O;一般式SiHx(OR1)4-xのアルコキシシラン、ここでxは0および4を含む0から4の範囲の整数、R1は別個にHまたは直鎖、分岐または環式のC1-C6 アルキル基である;一般式Si(OH)x(OR1)4-xのシラノール、ここでxは0および4を含む0から4の範囲の整数、R1は別個にHまたは直鎖、分岐または環式のC1-C6 アルキル基である;一般式SiHx(NR1R2)4-xのアミノシラン、ここでxは0および4を含む0から4の範囲の整数、R1およびR2は別個にHまたは直鎖、分岐または環式のC1-C6 アルキル基である;およびそれらの混合物からなる群から、好ましくはSi(OH)(OtBu)3; SiH(NMe2)3 ; SiH2(NHtBu)2 ;およびSiH2(NEt2)2からなる群から、選択される少なくとも1つの要素を含む請求項2記載の方法。
  7. 前記金属含有膜は、ゲルマニウムを含み、かつここで前記反応物質はGeH4;Ge2H6;Ge3H8; Ge(NR1R2)4 、ここでR1およびR2 は別個にHまたは直鎖、分岐または環式のC1-C6 アルキル基である;(GeH3)3N; (GeH3)2O;一般式GeHx(OR1)4-xのアルコキシゲルマン、ここでxは0および4を含む0から4の範囲の整数、R1は別個にHまたは直鎖、分岐または環式のC1-C6 アルキル基である;一般式Ge(OH)x(OR1)4-xのゲルマノール 、ここでxは0および4を含む0から4の範囲の整数、R1は別個にH または直鎖、分岐または環式のC1-C6 アルキル基である; 一般式GeHx(NR1R2)4-xのアミノゲルマン、ここでxは0および4を含む0から4の範囲の整数、R1およびR2 は別個にHまたは直鎖、分岐または環式のC1-C6 アルキル基である;およびそれらの混合物からなる群から、好ましくはGe(OH)(OtBu)3; GeH(NMe2)3;GeH2(NHtBu)2 ;およびGeH2(NEt2)2からなる群から、選択される少なくとも1つの要素を含む請求項2記載の方法。
  8. 前記前駆体および前記反応物質を前記反応チャンバ内に同時に導入することをさらに含む請求項2記載の方法。
  9. 前記前駆体および前記反応物質を前記反応チャンバ内に連続して導入することをさらに含む請求項2記載の方法。
  10. 前記前駆体および前記反応物質を一緒に混合すること、および前記前駆体および前記反応物質を前記反応チャンバ内に混合物として導入することをさらに含む請求項2記載の方法。
  11. 前記前駆体および前記反応物質を前記反応チャンバ内にそれらを前記反応チャンバ内にパルスすることによって導入することをさらに含む請求項2記載の方法。
  12. 前記反応物質を前記反応チャンバ内に導入する前に、前記反応物質を遠隔位置プラズマシステムに通過させることによって前記反応物質をそのラジカル形態に分解することをさらに含む請求項2記載の方法。
  13. 前記前駆体は、約80℃以下、好ましくは約35℃以下の融点を有する請求項1記載の方法。
  14. 前記基板は、約150℃と約350℃の間の温度に維持される請求項1記載の方法。
  15. 前記前駆体は、約130℃で約0.1 torrより大きい蒸気圧を有する請求項1記載の方法。
JP2010544842A 2008-02-01 2009-02-02 β−ジケチミナト配位子含有新金属前駆体 Pending JP2011511160A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US2558408P 2008-02-01 2008-02-01
US61/025,584 2008-02-01
PCT/IB2009/050427 WO2009095898A1 (en) 2008-02-01 2009-02-02 New metal precursors containing beta-diketiminato ligands
US12/364,298 2009-02-02
US12/364,298 US9103019B2 (en) 2008-02-01 2009-02-02 Metal precursors containing beta-diketiminato ligands

Publications (1)

Publication Number Publication Date
JP2011511160A true JP2011511160A (ja) 2011-04-07

Family

ID=40666820

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010544842A Pending JP2011511160A (ja) 2008-02-01 2009-02-02 β−ジケチミナト配位子含有新金属前駆体

Country Status (5)

Country Link
US (1) US9103019B2 (ja)
EP (1) EP2242870B1 (ja)
JP (1) JP2011511160A (ja)
KR (1) KR20100109567A (ja)
WO (1) WO2009095898A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013181231A (ja) * 2012-03-02 2013-09-12 Tokyo Electron Ltd ゲルマニウム薄膜の成膜方法
JP2014528940A (ja) * 2011-09-20 2014-10-30 ダウ コーニング コーポレーションDow Corning Corporation ニッケル含有ヒドロシリル化触媒及びその触媒を含有する組成物
KR20160057445A (ko) * 2013-10-02 2016-05-23 다나카 기킨조쿠 고교 가부시키가이샤 화학 증착법에 의한 Si 기판 상에의 니켈 박막, 및 Si 기판 상에의 Ni 실리사이드 박막의 제조 방법
JP2017171664A (ja) * 2011-05-24 2017-09-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 有機アミノシラン前駆体、並びにその製造方法及び使用方法

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101521800B1 (ko) * 2013-05-03 2015-05-20 한국화학연구원 황화 니켈 박막의 제조 방법
KR102198856B1 (ko) 2014-02-10 2021-01-05 삼성전자 주식회사 니켈 함유막을 포함하는 반도체 소자의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) * 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102563460B1 (ko) 2022-02-16 2023-08-04 한국화학연구원 신규한 유기백금 화합물, 이의 제조방법 및 이를 이용하여 박막을 제조하는 방법

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4202889C2 (de) 1992-02-01 1994-12-15 Solvay Deutschland Verfahren zur Abscheidung von ein Metall der ersten Übergangsmetallreihe oder Aluminium enthaltenden Schichten und 1,3-Diketiminato-Metall-Verbindungen
US7439338B2 (en) * 2005-06-28 2008-10-21 Micron Technology, Inc. Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017171664A (ja) * 2011-05-24 2017-09-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 有機アミノシラン前駆体、並びにその製造方法及び使用方法
JP2014528940A (ja) * 2011-09-20 2014-10-30 ダウ コーニング コーポレーションDow Corning Corporation ニッケル含有ヒドロシリル化触媒及びその触媒を含有する組成物
JP2013181231A (ja) * 2012-03-02 2013-09-12 Tokyo Electron Ltd ゲルマニウム薄膜の成膜方法
KR20160057445A (ko) * 2013-10-02 2016-05-23 다나카 기킨조쿠 고교 가부시키가이샤 화학 증착법에 의한 Si 기판 상에의 니켈 박막, 및 Si 기판 상에의 Ni 실리사이드 박막의 제조 방법
KR102066112B1 (ko) * 2013-10-02 2020-01-14 다나카 기킨조쿠 고교 가부시키가이샤 화학 증착법에 의한 Si 기판 상에의 니켈 박막, 및 Si 기판 상에의 Ni 실리사이드 박막의 제조 방법

Also Published As

Publication number Publication date
WO2009095898A1 (en) 2009-08-06
EP2242870A1 (en) 2010-10-27
EP2242870B1 (en) 2012-06-20
US9103019B2 (en) 2015-08-11
KR20100109567A (ko) 2010-10-08
US20090197411A1 (en) 2009-08-06

Similar Documents

Publication Publication Date Title
EP2242870B1 (en) Method using new metal precursors containing beta-diketiminato ligands
JP5815669B2 (ja) アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積
EP2174942B1 (en) Niobium and vanadium organometallic precursors for thin film deposition
JP4851433B2 (ja) 金属ケイ素含有膜の周期的化学気相堆積
US8349738B2 (en) Metal precursors for deposition of metal-containing films
KR20140116852A (ko) 니켈-함유 필름의 증착을 위한 니켈 알릴 아미디네이트 전구체
EP2310551B1 (en) Method of forming a tantalum-containing layer on a substrate
JP2024508881A (ja) 薄膜堆積プロセスで金属オキシハロゲン化物前駆体から酸素を除去するための試薬
US20140363575A1 (en) Methods for the Deposition Of Manganese-Containing Films Using Diazabutadiene-Based Precursors
US20160032454A1 (en) Bis(alkylimido)-bis(alkylamido)tungsten molecules for deposition of tungsten-containing films
US20110206862A1 (en) Titanium Nitride Film Deposition by Vapor Deposition Using Cyclopentadienyl Alkylamino Titanium Precursors
TWI794671B (zh) 用於選擇性形成含金屬膜之化合物及方法
EP2902397A1 (en) Novel dithiocarbamate niobium organic precursors and their use for vapor phase deposition of niobium containing films
EP2902393A1 (en) Novel dithiocarbamate vanadium organic precursors and their use for vapor phase deposition of vanadium containing films
WO2016094711A2 (en) Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
WO2023086298A1 (en) Molybdenum precursor compounds
EP2810950A1 (en) Niobium-complexes and their use in a method for forming a niobium-containing layer on a substrate
EP2810949A1 (en) Niobium precursors and their use
EP2808333A1 (en) New tantalum precursors and their use
EP2808335A1 (en) Vanadium precursors and their use
EP2902396A1 (en) Novel dithiocarbamate tantalum organic precursors and their use for vapor phase deposition of tantalum containing films
EP2902398A1 (en) Niobium organic precursors and their use for vapor phase deposition of niobium containing films
EP2902395A1 (en) Tantalum organic precursors and their use for vapor phase deposition of tantalum containing films
EP2902394A1 (en) Vanadium organic precursors and their use for vapor phase deposition of vanadium containing films
EP2808332A1 (en) Tantalum precursors and their use