JP2024508881A - 薄膜堆積プロセスで金属オキシハロゲン化物前駆体から酸素を除去するための試薬 - Google Patents

薄膜堆積プロセスで金属オキシハロゲン化物前駆体から酸素を除去するための試薬 Download PDF

Info

Publication number
JP2024508881A
JP2024508881A JP2023553150A JP2023553150A JP2024508881A JP 2024508881 A JP2024508881 A JP 2024508881A JP 2023553150 A JP2023553150 A JP 2023553150A JP 2023553150 A JP2023553150 A JP 2023553150A JP 2024508881 A JP2024508881 A JP 2024508881A
Authority
JP
Japan
Prior art keywords
metal
oxophilic
reagent
group
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023553150A
Other languages
English (en)
Inventor
リウ,ユミン
ムラー,ロシア,アレハンドラ アルテアガ
ブラスコ,ニコラ
ジラール,ジャン=マルク
リ,フェン
パレム,ヴァンケテスワラ,アール.
ガオ,ジェンニン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of JP2024508881A publication Critical patent/JP2024508881A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Electrochemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【解決手段】 基材の表面上への金属膜又は金属窒化物膜の気相堆積のための方法は、基材を含有する反応器内で金属オキソ前駆体又は金属オキシハロゲン化物前駆体と親オキソ性試薬とを反応させて金属オキソ前駆体又は金属オキシハロゲン化物前駆体を脱酸素化することと、気相堆積プロセスを介して基材上に金属膜又は金属窒化物膜を形成することと、を含む。基材は、金属オキシハロゲン化物前駆体及び親オキソ性試薬に同時又は逐次暴露される。基材は、脱酸素化後に還元剤に逐次暴露される。【選択図】図2b

Description

関連出願の相互参照
本願は、2021年3月5日出願の米国特許出願第17/193,046号(その全内容は参照により本明細書に組み込まれる)に基づく優先権の利益を主張する。
本発明は、金属オキシハロゲン化物前駆体を脱酸素化するための又はALD及びCVDプロセスで金属オキシハロゲン化物前駆体の堆積により形成された中間膜から酸素を除去するための試薬としての高親オキソ性化合物の使用方法、特定的には、脱酸素化を促進してALD又はCVDが行われる温度を低減し低酸素含有率の金属膜又は金属窒化物膜を生成するためのかかる試薬の使用法に関する。
多くの遷移金属(たとえば、W、Mo、Cr、V…)オキシハロゲン化物は、揮発性且つ熱安定性であり、M-X結合及びM=O結合の開裂を介して純金属膜又は金属窒化物膜を堆積する原子層堆積(ALD)プロセス及び化学気相堆積(CVD)プロセス用の前駆体として使用されうる。米国特許出願公開第2017/0062224号明細書、米国特許出願公開第2018/0286668号明細書、国際公開第2019/209289号パンフレット、米国特許出願公開第2020/0131628号明細書、米国特許出願公開第2007/0049045A号明細書、米国特許出願公開第2003/0022065A号明細書、米国特許第10510590B2号明細書、米国特許出願公開第2019/0067003A号明細書、及び米国特許第4668528A号明細書には、数多くの例が挙げられている。しかしながら、ALD/CVDプロセス時の酸素含有種の完全除去、すなわち、M=O結合の開裂は難題であり、堆積膜の性質は、表面不純物(この場合たとえば酸素原子)除去の成功に大きく依存する。
水素及び水素化物化合物(とりわけ、Si、B、P、Alのもの)は、金属オキシハロゲン化物から酸素を除去し揮発性副生成物として水を生成しうる強力な還元性試薬である。しかしながら、H又は水素化物によるM=O結合の還元は、典型的には高温(たとえば、>500℃)で行われるので、膜が堆積される基材がかかる高温に耐えられないある特定の用途には適合不能である。そのほか、水素又は水素化物による遷移金属オキシハロゲン化物の還元は、ハロゲン化水素(たとえば、HCl)及び水の形成をもたらすので、処理チャンバー及び下流装置、たとえば、真空ライン、ポンプ、圧力調整バルブなどに対して高腐食性ガス環境を作り出す。並行して、副生成物としての水は、表面の金属オキシハロゲン化物又は金属ハロゲン化物中間体と反応し酸素汚染を残す可能性がある。Davis(Davies M.,Alloy Selection for Service in Chlorine,Hydrogen Chloride and Hydrochloric Acid,2019,2nd Edition)は、かかる影響を記載している。AlH、B、PH、SiH、Siなどのいくつかの金属水素化物又は半金属水素化物もまた、効力のある還元剤であるが、酸素原子との反応生成物は、一般に、不揮発性の金属酸化物又は半金属酸化物(Al、B、SiO…)の形成をもたらす。このため、かかる金属水素化物又は半金属水素化物は、金属オキシハロゲン化物前駆体との共反応剤として適切ではない。
Mo膜の適用は、とりわけ、高純度膜を堆積させつつ500℃未満でプロセスを行う必要のあるミドルオブライン(MOL)又はバックエンドオブザライン(BEOL)で、いくつかの層を置換するために急速に関心が高まってきている。MoF、MoCl、MoOCl、MoOClは、Hなどの還元性試薬と組み合わせて純Mo膜を堆積するための周知の無機前駆体である。MoOClは、これらの群の前駆体間でも腐食性のより少ないものであると報告されており、且つより高い蒸気圧を有するものである。ALD MoOCl/HによりMo膜を堆積する最低温度は、酸素とモリブデンとの間の二重結合の除去が困難であることが主な理由で少なくとも500℃である。
米国特許第10533023号明細書には、「金属有機」前駆体及び還元剤からの金属膜の形成が開示されており、この場合、酸化状態の原子を有する第1の化合物がビス(トリメチルシリル)6員環系又は関連化合物と反応し第1の化合物と比べて還元状態の原子を有する第2の化合物を形成する。酸化状態の原子は、周期表の第2~12族、ランタニド、As、Sb、Bi、Te、Si、Ge、Sn、及びAlからなる群から選択される。
国際公開第2020/023790号パンフレットには、500℃の高温で還元性ガスとしての1:100~10000比のHと共にMoOCl、MoOClを用いて≦1原子%の酸素残分のMoを堆積する純金属膜の堆積が開示されている。W膜では、WOF、WOCl、WOClなどが使用される。
米国特許第10510590号明細書には、ロジック用途及びメモリー用途向けの低抵抗金属化スタック構造及び関連作製方法が開示されており、この場合、ALD/CVDを用いてMo含有層がW含有層上に堆積された。Mo含有層は、還元剤並びにMoF、MoCl、MoOCl、MoOCl、及びMo(CO)から選択されるMo含有前駆体にW含有層を暴露することにより堆積される。プロセス温度は、300℃~700℃の範囲内である。
そのため、堆積プロセスの温度を低下させることは取組みが困難とされてきた。
基材上への金属膜又は金属窒化物膜の堆積方法が開示されており、本プロセスは、
基材を含有する反応器内で金属オキシハロゲン化物前駆体と親オキソ性試薬とを反応させて金属オキシハロゲン化物前駆体を脱酸素化することと、
気相堆積プロセスを介して基材上に金属膜又は金属窒化物膜を形成することと、
を含む。本開示の方法は、下記態様の1つ以上を含みうる。
・ 基材を金属オキシハロゲン化物前駆体及び親オキソ性試薬に同時暴露することをさらに含む。
・ 基材を金属オキシハロゲン化物前駆体及び親オキソ性試薬に逐次暴露することをさらに含む。
・ 脱酸素化後に基材を還元剤に逐次暴露することをさらに含む。
・ 金属オキシハロゲン化物前駆体は、M(a)(式中、Mは、Mo、W、V、Nb、Ta、及びCrであり、Xは、Cl、Br、又はIから選択されるハロゲンであり、aは、Mの酸化状態であり、且つaは、3~6の範囲内の整数であり、cは、0<c<6の数であり、eは、0<e<3の数であり、c+2e=aである)である。
・ 親オキソ性試薬は、N(b)(式中、Nは、遷移金属ハロゲン化物若しくはオキシハロゲン化物、又は第14若しくは15族ハロゲン化物、オキシハロゲン化物、若しくは酸化物であり、Xは、Cl、Br、又はIから選択されるハロゲンであり、bは、Nの酸化状態であり、且つbは、3~6の範囲内の整数であり、dは、0≦d<6の数であり、fは、0<f<3の数であり、d+2f=bである)である。
・ Nの親オキソ性は、Mの親オキソ性よりも大きい。
・ 金属オキシハロゲン化物前駆体は、MoOCl、MoOCl、WOCl、WOCl、NbOCl、TaOCl、及びCrOClからなる群から選択される。
・ 金属オキシハロゲン化物前駆体は、MoOClである。
・ 金属オキシハロゲン化物前駆体は、金属オキソ前駆体である。
・ 金属オキシハロゲン化物前駆体は、酸素含有金属前駆体である。
・ 親オキソ性試薬及びその酸化生成物は、揮発性である。
・ 親オキソ性試薬は、揮発性である。
・ 親オキソ性試薬の酸化生成物は、揮発性である。
・ 親オキソ性試薬及びその酸化生成物の元素の痕跡は、堆積膜の表面上に残っていない。
・ 親オキソ性試薬は、第14族含有又は第15族含有ハロゲン化物、オキシハロゲン化物、又は酸化物から選択される。
・ 親オキソ性試薬は、第14族含有又は第15族含有ハロゲン化物から選択される。
・ 親オキソ性試薬は、第14族含有又は第15族含有オキシハロゲン化物から選択される。
・ 親オキソ性試薬は、第14族含有又は第15族含有酸化物から選択される。
・ 親オキソ性試薬は、遷移金属含有ハロゲン化物又はオキシハロゲン化物から選択される。
・ 親オキソ性試薬は、遷移金属含有ハロゲン化物から選択される。
・ 親オキソ性試薬は、遷移金属含有オキシハロゲン化物から選択される。
・ 親オキソ性試薬は、以下:
a. 第14族含有:CCl、CBr、COCl、CO、R-N=C=O(式中、Rは、H、又はMe、Et、iPr、nPr、iBu、tBu、sBu、nBuから選択されるアルキル基である)、又はSi(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基である)、
b. 第15族含有:NO、NO、PCl、PBr、PI、或いは
c. 遷移金属含有:VCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、又はWCl
から選択される。
・ 親オキソ性試薬は、一般式Si(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭素含有配位子、窒素含有配位子、酸素含有配位子、ケイ素含有配位子である)を有するSi含有親オキソ性試薬である。
・ 親オキソ性試薬は、一般式Si(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基である)を有するSi含有親オキソ性試薬である。
・ Si含有親オキソ性試薬は、SiCl、SiClH、SiClMe、SiClMe、SiClMe、SiBr、SiBrH、SiBrMe、SiBrMe、SiBrMe、SiBrH、SiMe、Si、SiH、Si(Me)、Si(OMe)、Si(NR(式中、R=H、Me、Etである)、Si(NMeCl、SiCl、SiBr、Si、又はSi(Me)Hから選択される。
・ 親オキソ性試薬は、SiCl(HCDS)である。
・ 親オキソ性試薬は、以下:
第14族含有:CO、R-N=C=O(式中、Rは、H、若しくは
Me、Et、iPr、nPr、iBu、tBu、sBu、nBu
から選択されるアルキル基である)、又は
第15族含有:NO、NO、
から選択される非ハロゲン含有親オキソ性試薬である。
・ 親オキソ性試薬は、金属窒化物膜の形成をもたらすR-N=C=O(式中、Rは、H、又はMe、Et、iPr、nPr、iBu、tBu、sBu、nBuから選択されるアルキル基である)である。
・ 親オキソ性試薬は、tBu-N=C=Oである。
・ 親オキソ性試薬は、VCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、又はWClから選択される金属ハロゲン化物又は金属オキシハロゲン化物である。
・ 親オキソ性試薬は、WCl、WCl、又はWClである。
・ 親オキソ性試薬は、揮発性である。
・ 親オキソ性試薬の生成物は、揮発性である。
・ 還元剤は、H又はBである。
・ 還元剤は、Hである。
・ 還元剤は、NHである。
・ 還元剤は、CO、SiHCl、SiHBr、又はSiHlから選択される親オキソ性試薬である。
・ 堆積温度は、50℃~500℃の範囲内である。
・ 堆積温度は、100℃~500℃の範囲内である。
・ 堆積温度は、150℃~485℃の範囲内である。
・ 堆積温度は、500℃未満である。
・ 堆積温度は、485℃未満である。
・ 金属膜又は金属窒化物膜の純度は、おおよそ98.5%超である。
・ 金属膜又は金属窒化物膜の純度は、おおよそ99%超である。
・ 金属膜又は金属窒化物膜中の酸素不純物は、おおよそ1%未満である。
・ 金属膜又は金属窒化物膜中の酸素不純物は、1.5%未満である。
・ 金属膜又は金属窒化物膜中のケイ素不純物は、0%である。
・ 金属膜又は金属窒化物膜中のケイ素不純物は、おおよそ0%である。
・ 金属オキシハロゲン化物前駆体は、おおよそ93%w/w~おおよそ100%w/wの範囲内の純度を有する。
・ 金属オキシハロゲン化物前駆体は、おおよそ99%w/w~おおよそ99.999%w/wの範囲内の純度を有する。
・ 親オキソ性試薬は、おおよそ93%w/w~おおよそ100%w/wの範囲内の純度を有する。
・ 親オキソ性試薬は、おおよそ99%w/w~おおよそ99.999%w/wの範囲内の純度を有する。
・ 還元剤は、おおよそ93%w/w~おおよそ100%w/wの範囲内の純度を有する。
・ 還元剤は、おおよそ99%w/w~おおよそ99.999%w/wの範囲内の純度を有する。
・ 気相堆積プロセスは、熱CVDである。
・ 気相堆積プロセスは、熱ALDである。
・ 気相堆積プロセスは、プラズマ増強CVDである。
・ 気相堆積プロセスは、プラズマ増強ALDである。並びに
・ 気相堆積プロセスは、空間ALDである。
また、表面上に金属膜又は金属窒化物膜を形成するために金属オキシハロゲン化物前駆体又は金属オキシハロゲン化物前駆体により堆積された中間膜から酸素を除去するための方法が開示されており、本プロセスは、
金属オキシハロゲン化物前駆体又は金属オキシハロゲン化物前駆体により堆積された中間膜と親オキソ性試薬とを反応させて金属中間体を形成することと、
気相堆積プロセスを介して還元剤により表面上の金属中間体を金属膜又は金属窒化物膜に還元することと、
を含み、ただし、
金属オキシハロゲン化物前駆体は、M(a)(式中、Mは、Mo、W、V、Nb、Ta、及びCrであり、Xは、Cl、Br、又はIから選択されるハロゲンであり、aは、Mの酸化状態であり、且つaは、3~6の範囲内の整数であり、cは、0<c<6の数であり、eは、0<e<3の数であり、c+2e=aである)であり、且つ
親オキソ性試薬は、N(b)(式中、Nは、遷移金属ハロゲン化物若しくはオキシハロゲン化物、又は第14若しくは15族ハロゲン化物、オキシハロゲン化物、若しくは酸化物であり、Xは、Cl、Br、又はIから選択されるハロゲンであり、bは、Nの酸化状態であり、且つbは、3~6の範囲内の整数であり、dは、0≦d<6の数であり、fは、0<f<3の数であり、d+2f=bである)であり、
ただし、Nの親オキソ性は、Mの親オキソ性よりも高く、
親オキソ性試薬及び親オキソ性試薬の酸素化生成物は、揮発性である。本開示の方法は、下記態様の1つ以上を含みうる。
・ 金属オキシハロゲン化物前駆体は、MoOCl、MoOCl、WOCl、WOCl、NbOCl、TaOCl、及びCrOClから選択される。
・ 親オキソ性試薬は、以下:
a. 第14族含有:CCl、CBr、COCl、CO、R-N=C=O(式中、Rは、H、又は
b. Me、Et、iPr、nPr、iBu、tBu、sBu、nBu、
から選択されるアルキル基である)、又はSi(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基である)、
c. 第15族含有:NO、NO、PCl、PBr、PI、或いは
d. 遷移金属含有:VCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、又はWCl
から選択される。
・ 親オキソ性試薬は、一般式Si(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基である)を有するSi含有親オキソ性試薬である。
・ Si含有親オキソ性試薬は、SiCl、SiClH、SiClMe、SiClMe、SiClMe、SiBr、SiBrH、SiBrMe、SiBrMe、SiBrMe、SiBrH、SiMe、Si、SiH、Si(Me)、Si(OMe)、Si(NR(式中、R=H、Me、Etである)、Si(NMeCl、SiCl、SiBr、Si、又はSi(Me)Hから選択される。
・ 親オキソ性試薬は、SiCl(HCDS)である。
・ 親オキソ性試薬は、以下:
第14族含有:CO、R-N=C=O(式中、Rは、H、若しくはH、Me、Et、iPr、nPr、iBu、tBu、sBu、nBuから選択されるアルキル基である)、又は
第15族含有:NO、NO、
から選択される非ハロゲン含有親オキソ性試薬である。
・ 親オキソ性試薬は、金属窒化物膜の形成をもたらすR-N=C=O(式中、Rは、H、又はH、Me、Et、iPr、nPr、iBu、tBu、sBu、nBuから選択されるアルキル基である)である。
・ 親オキソ性試薬は、tBu-N=C=Oである。
・ 親オキソ性試薬は、VCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、又はWClから選択される金属ハロゲン化物又は金属オキシハロゲン化物である。
・ 親オキソ性試薬は、WCl、WCl、又はWClである。
・ 親オキソ性試薬は、揮発性である。
・ 親オキソ性試薬の生成物は、揮発性である。
・ 還元剤は、H又はBである。
・ 還元剤は、Hである。
・ 還元剤は、NHである。
・ 還元剤は、CO、SiHCl、SiHBr、又はSiHlから選択される親オキソ性試薬である。
・ 金属膜又は金属窒化物膜中の酸素不純物は、おおよそ1%未満である。
・ 気相堆積プロセスは、熱CVD若しくはALD又はプラズマ増強CVD若しくはALDである。
・ 堆積温度は、50℃~500℃の範囲内である。
・ 堆積温度は、100℃~500℃の範囲内である。
・ 堆積温度は、150℃~485℃の範囲内である。
・ 堆積温度は、500℃未満である。並びに
・ 堆積温度は、485℃未満である。
また、金属オキシハロゲン化物前駆体又は金属オキシハロゲン化物前駆体により堆積された中間膜の脱酸素化により気相堆積プロセスで金属膜又は金属窒化物膜を形成するための試薬も開示されており、金属オキシハロゲン化物前駆体は、一般式:M(a)(式中、Mは、Mo、W、V、Nb、Ta、及びCrであり、Xは、Cl、Br、又はIから選択されるハロゲンであり、aは、Mの酸化状態であり、且つaは、3~6の範囲内の整数であり、cは、0<c<6の数であり、eは、0<e<3の数であり、c+2e=aである)を有し、試薬は、以下:
一般式:N(b)(式中、Nは、遷移金属ハロゲン化物若しくはオキシハロゲン化物、又は第14若しくは15族ハロゲン化物、オキシハロゲン化物、若しくは酸化物であり、Xは、Cl、Br、又はIから選択されるハロゲンであり、bは、Nの酸化状態であり、且つbは、3~6の範囲内の整数であり、dは、0≦d<6の数であり、fは、0<f<3の数であり、d+2f=bである)を有する親オキソ性試薬、
を含み、
ただし、親オキソ性試薬のNの親オキソ性は、金属オキシハロゲン化物前駆体のMの親オキソ性よりも高く、
親オキソ性試薬及び親オキソ性試薬の酸素化生成物は、揮発性である。本開示の試薬は、下記態様の1つ以上を含みうる。
・ 金属オキシハロゲン化物前駆体は、MoOCl、MoOCl、WOCl、WOCl、NbOCl、TaOCl、及びCrOClから選択される。
・ 親オキソ性試薬は、以下:
a. 第14族含有:CCl、CBr、COCl、CO、R-N=C=O(式中、Rは、H、又はMe、Et、iPr、nPr、iBu、tBu、sBu、nBuから選択されるアルキル基である)、又はSi(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基である)、
b. 第15族含有:NO、NO、PCl、PBr、PI、或いは
c. 遷移金属含有:VCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、又はWCl
から選択される。
・ 親オキソ性試薬は、一般式:Si(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基である)を有するSi含有親オキソ性試薬である。
・ Si含有親オキソ性試薬は、SiCl、SiClH、SiClMe、SiClMe、SiClMe、SiBr、SiBrH、SiBrMe、SiBrMe、SiBrMe、SiBrH、SiMe、Si、SiH、Si(Me)、Si(OMe)、Si(NR(式中、R=H、Me、Etである)、Si(NMeCl、SiCl、SiBr、Si、又はSi(Me)Hから選択される。
・ 親オキソ性試薬は、SiClである。
・ 親オキソ性試薬は、WCl、WCl、又はWClである。
・ 親オキソ性試薬は、金属窒化物膜の形成をもたらすR-N=C=O(式中、Rは、H、又はMe、Et、iPr、nPr、iBu、tBu、sBu、nBuから選択されるアルキル基である)である。
・ 親オキソ性試薬は、tBu-N=C=Oである。
・ 親オキソ性試薬は、VCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、又はWClから選択される金属ハロゲン化物又は金属オキシハロゲン化物である。
・ 親オキソ性試薬は、揮発性である。
・ 親オキソ性試薬の酸素化生成物は、揮発性である。
・ 親オキソ性試薬は、おおよそ93%w/w~おおよそ100%w/wの範囲内の純度を有する。
・ 親オキソ性試薬は、おおよそ99%w/w~おおよそ99.999%w/wの範囲内の純度を有する。並びに
・ 親オキソ性試薬は、20重量ppm未満の水不純物を有する。
表記法及び命名法
下記の詳細な説明及び特許請求の範囲では、当技術分野で一般に周知の以下に挙げられるいくつかの略号、記号、及び用語が利用される。
本明細書で用いられる場合、不定冠詞「a」又は「an」は、1つ以上を意味する。
本明細書で用いられる場合、本文中又は請求項中の「about(約)」又は「around(ほぼ)」又は「approximately(おおよそ)」は、明記された値の±10%を意味する。
本明細書で用いられる場合、本文中又は請求項中の「室温」は、おおよそ20℃~おおよそ25℃を意味する。
本明細書では元素周期表からの元素の標準的略号が用いられる。元素はこうした略号により参照されうることが理解されるべきである(たとえば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味し、Hは水素を意味し、Fはフッ素を意味するなど)。
Chemical Abstract Serviceにより割り当てられたユニークCAS登録番号(すなわち、「CAS」)は、開示された分子をより良好に同定する助けとなるように提供される。
本明細書で用いられる場合、式「M(a)」は、金属オキシハロゲン化物前駆体を意味し、この場合、Mは、Mo、W、V、Nb、Ta、及びCrであり、Xは、Cl、Br、又はIから選択されるハロゲンであり、aは、Mの酸化状態であり、且つaは、3~6の範囲内の整数であり、cは、0<c<6の数であり、eは、0<e<3の数であり、c+2e=aである。
本明細書で用いられる場合、式「N(b)」は、親オキソ性試薬を意味し、この場合、Nは、遷移金属ハロゲン化物若しくはオキシハロゲン化物、又は第14若しくは15族ハロゲン化物、オキシハロゲン化物、若しくは酸化物であり、Xは、Cl、Br、又はIから選択されるハロゲンであり、bは、Nの酸化状態であり、且つbは、3~6の範囲内の整数であり、dは、0≦d<6の数であり、fは、0<f<3の数であり、d+2f=bである。
本明細書で用いられる場合、式「Si」は、Si含有親オキソ性試薬を意味し、この場合、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基である。
本明細書で用いられる場合、式「M-X」は、金属ハロゲン化物を意味し、この場合、Mは金属であり、Xは、Cl、Br、又はIから選択されるハロゲン元素である。
本明細書で用いられる場合、式「MN」は、金属窒化物を意味し、この場合、Mは金属であり、Nは窒素であり、xは、0<x≦2の範囲内の正の数であるが、必ずしも整数であるとは限らない。
本明細書で用いられる場合、式「MO」は、金属酸化物を意味し、この場合、Mは金属であり、Oは酸素であり、xは、0<x≦3の範囲内の正の数であるが、必ずしも整数であるとは限らない。
本明細書で用いられる場合、式「MO」は、金属酸素ハロゲン化物を意味し、この場合、Mは金属であり、Oは酸素であり、Xは、Cl、Br、又はIであり、xは、0<x≦3の範囲内の数であり、yは、0<y≦6の範囲内の数であり、2×+y≦6である。x及びyは、各々、必ずしも整数とは限らない。
本明細書で用いられる場合、式「R-N=C=O」は、イソシネートを意味し、この場合、Rは、飽和又は不飽和炭化水素基、たとえば、アルキル基、好ましくはR=H、Me、Et、iPr、nPr、iBu、tBu、sBu、nBuである。
本明細書で用いられる場合、「炭化水素」という用語は、炭素原子及び水素原子を排他的に含有する飽和又は不飽和機能基を意味する。
本明細書で用いられる場合、「アルキル基」という用語は、炭素原子及び水素原子を排他的に含有する飽和機能基を意味する。アルキル基は、炭化水素の1群である。さらに、「アルキル基」という用語は、線状、分枝状、又は環状アルキル基を意味する。線状アルキル基の例としては、限定されるものではないが、メチル基、エチル基、プロピル基、ブチル基などが挙げられる。分岐状アルキル基の例としては、限定されるものではないが、t-ブチルが挙げられる。環状アルキル基の例としては、限定されるものではないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基などが挙げられる。
本明細書で用いられる場合、「Me」という略号は、メチル基を意味し、「Et」という略号は、エチル基を意味し、「Pr」という略号は、プロピル基(すなわち、n-プロピル又はイソプロピル)を意味し、「iPr」という略号は、イソプロピル基を意味し、「Bu」という略号は、いずれかのブチル基(n-ブチル、iso-ブチル、tertブチル、sec-ブチル)を意味し、「tBu」という略号は、tertブチル基を意味し、「sBu」という略号は、sec-ブチル基を意味し、「iBu」という略号は、iso-ブチル基を意味し、「Ph」という略号は、フェニル基を意味する。
「基材」という用語は、プロセスが行われる1つ又は複数の材料を意味する。基材は、プロセスが行われる1つ又は複数の材料を有するウエハを意味しうる。基材は、半導体、光起電力、フラットパネル、又はLCD-TFTデバイス製造に使用されるいずれかの好適なウエハでありうる。基材はまた、その上にすでに堆積された前の製造ステップからの異なる材料の1つ以上の層を有しうる。たとえば、ウエハは、シリコン層(たとえば、結晶性、アモルファス、多孔性のものなど)、シリコン含有層(たとえば、SiO、SiN、SiON、SiCOHなど)、金属含有層(たとえば、銅、コバルト、ルテニウム、タングステン、白金、パラジウム、ニッケル、金など)、有機層、たとえば、アモルファス炭素、若しくはフォトレジスト、又はそれらの組合せを含みうる。さらに、基材は、平面状であってもパターニングされていてもよい。基材は、MEMS、3D NAND、MIM、DRAM、若しくはFeRamデバイス用途で誘電体材料として使用される酸化物(たとえば、ZrO系材料、HfO系材料、TiO系材料、希土類酸化物系材料、三元酸化物系材料など)の層、又は電極として使用される窒化物系膜(たとえば、TaN、TiN、NbN)を含みうる。本明細書で用いられる「膜」又は「層」という用語は、表面がトレンチであってもラインであってもよい表面上に配置された又は表面全体に展延されたある厚さのなんらかの材料を意味することが、当業者であれば分かるであろう。本明細書及び特許請求の範囲全体を通して、ウエハ及びその上のいずれかの関連層は、基材といわれる。
本明細書では、「酸素スカベンジャー」及び「酸素除去」及び「脱酸素化」という用語は互換的に用いられうることに留意されたい。酸素スカベンジャーは、酸素除去又は脱酸素化に対応しうるか又は関連付けられうるとともに、酸素除去又は脱酸素化は、酸素スカベンジャーを意味しうるものと理解される。
本明細書では、「膜」及び「層」という用語は互換的に用いられうることに留意されたい。膜は、層に対応しうるか又は関連付けられうるとともに、層は、膜を意味しうるものと理解される。さらに、本明細書で用いられる「膜」又は「層」という用語は、表面が全ウエハ程度に大きいものからトレンチ又はライン程度に小さいものまでにわたっていてもよい表面上に配置された又は表面全体に展延されたある厚さのなんらかの材料を意味することが、当業者であれば分かるであろう。
本明細書では、「アパーチャー」、「ギャップ」、「ビア」、「ホール」、「開口」、「トレンチ」、及び「構造」という用語は、半導体基材中に形成された開口を意味するものとして互換的に用いられうることに留意されたい。
本明細書では、「堆積温度」、「基材温度」、及び「プロセス温度」という用語は、互換的に用いられうることに留意されたい。基材温度は、堆積温度又はプロセス温度に対応しうるか又は関連付けられうるとともに、堆積温度又はプロセス温度は、基材温度を意味しうるものと理解される。
本明細書では、「前駆体」及び「堆積化合物」及び「堆積ガス」という用語は、前駆体が室温及び周囲圧力でガス状態であるとき、互換的に用いられうることに留意されたい。前駆体は、堆積化合物又は堆積ガスに対応しうるか又は関連付けられうるとともに、堆積化合物又は堆積ガスは、前駆体を意味しうるものと理解される。
本明細書で用いられる場合、「NAND」という略号は、「Negated AND」又は「Not AND」ゲートを意味し、「2D」という略号は、平面状基材上の2次元ゲート構造を意味し、「3D」という略号は、ゲート構造が垂直方向にスタックされた3次元又は垂直ゲート構造を意味する。
本明細書では、範囲は、およその1つの特定値から及び/又はおよそのもう1つの特定値までとして表されうる。かかる範囲で表されるとき、他の一実施形態は、前記範囲内のすべての組合せと共に一方の特定値から及び/又は他方の特定値までと理解されるべきである。本開示の実施形態に列挙されるいかなる範囲もすべて、「包含的に」という用語が用いられているかどうかにかかわらず、それらの端点を包含する(すなわち、x=1~4又はxは1~4の範囲内であるは、x=1、x=4、及びx=その間のいずれかの数を含む)。
「一実施形態」又は「ある実施形態」への本明細書での参照は、その実施形態との関連で記載された特定の特徴、構造、又は特性が本発明の少なくとも1つの実施形態に含まれうることを意味する。本明細書の各種場所での「一実施形態では」という語句の出現は、必ずしもすべてがその実施形態を参照するとは限らず、別々の又は代替の実施形態が必ずしも他の実施形態を相互に除外するものでもない。同じことが「実現」という用語にも当てはまる。
本願で用いられる場合、「模範的」という語は、例、事例、又は例示の働きをすることを意味するものとして本明細書で用いられる。「模範的」として本明細書に記載されるいずれの態様も設計も、必ずしも他の態様や設計よりも好ましい又は有利であるとして解釈されるべきではない。むしろ、模範的という語の使用は、具体的に概念を提示することが意図される。
請求項中の「comprising(~を含む)」は、続いて同定された請求項要素が非排他的リスティングであること、すなわち、ほかに何かあれば追加的に含まれうるとともに依然として「comprising(~を含む)」の範囲内にあることを意味するオープン移行用語である。「comprising(~を含む)」は、本明細書では、より限定された移行用語「consisting essentially of(~から本質的になる)」及び「consisting of(~からなる)」を必然的に包含するとして定義され、したがって、「comprising(~を含む)」は、「consisting essentially of(~から本質的になる)」又は「consisting of(~からなる)」に置き換えられうるとともに依然として「comprising(~を含む)」の明示的に定義された範囲内にある。
そのほか、「or(又は)」という用語は、排他的「or(又は)」ではなく包含的「or(又は)」を意味することが意図される。すなわち、とくに明記されていない限り又は文脈から明らかでない限り、「XがA又はBを採用する」は、道理にかなった包含的並替えのいずれかを意味することが意図される。すなわち、XがAを採用する、XがBを採用する、又はXがA及びBの両方を採用する場合、「XがA又はBを採用する」は、上記事例のいずれかの下で満足される。そのほか、本願及び添付の特許請求の範囲で用いられる冠詞「a」及び「an」は、単数形を対象とすることがとくに明記されていない限り又は文脈から明らかでない限り、「one or more(1つ以上)」を意味するものと一般に解釈されるべきである。
本発明の性質及び目的の理解を深めるために、同じ要素には同一又は類似の参照番号が与えられる添付図面と併せて、下記の詳細な説明が参照されるべきである。
図1aは、本発明の模範的実施形態に係る反応前のWClとMoOClとの混合物の粉末X線回折(PXRD)パターン及びフィッティングである。 図1bは、本発明の模範的実施形態に係る反応後のWClとMoOClとの混合物のPXRDパターン及びフィッティングである。 図2aは、本発明の模範的実施形態に係る酸素スカベンジャーとしてのHCDS及び還元剤としてのHを用いたALDプロセスのフローチャートである。 図2bは、本発明の模範的実施形態に係る酸素スカベンジャーとしてのHCDS及び還元剤としてのHを用いた図2aに示される485℃でのALDのX線光電子分光(XPS)である。 図3aは、本発明の模範的実施形態に係る酸素スカベンジャーとしてのHCDSの不在下で還元剤としてのHを用いたALDプロセスのフローチャートである。 図3bは、本発明の模範的実施形態に係る酸素スカベンジャーとしてのHCDSの不在下で還元剤としてのHを用いた図3aに示される485℃でのALDのXPSである。
金属オキソ前駆体(酸素含有金属前駆体)又は薄膜堆積プロセスで金属オキソ前駆体の堆積により形成された中間膜から酸素を除去するための試薬の使用方法が開示される。本開示は、金属オキソ前駆体又はALD及びCVDプロセスで金属オキソ前駆体の堆積により形成された中間膜から酸素を除去するための試薬としての高親オキソ性化合物の使用方法である。本開示の金属オキソ前駆体は、金属オキシハロゲン化物前駆体を含む。より具体的には、本開示は、金属オキシハロゲン化物前駆体又はオキシハロゲン化物系ALD及びCVDプロセスで金属オキシハロゲン化物前駆体の堆積により形成された中間膜から酸素を除去するための試薬としての高親オキソ性化合物の使用方法である。
本開示の方法は、金属オキシハロゲン化物前駆体の堆積により形成された中間膜からの酸素除去を促進してALD又はCVDが行われるプロセス温度を低減し低酸素含有率又は低酸素不純物の金属膜又は金属窒化物膜を生成するための本開示の親オキソ性試薬の使用法を含む。本開示の方法は、オキシハロゲン化物系ALD及びCVDプロセスで本開示の金属オキシハロゲン化物前駆体の堆積により形成された中間膜又は中間オキソハロゲン化金属表面から酸素を除去することにより、ALD又はCVDが行われる温度を低減し低酸素含有率の金属膜又は金属窒化物膜を生成するために本開示の親オキソ性試薬を使用するプロセスを含む。本開示の方法は、本開示の金属オキシハロゲン化物前駆体から酸素を除去することにより、ALD及びCVDプロセスを介して表面上に堆積された金属ハロゲン化物層を形成するために本開示の親オキソ性試薬を使用するプロセスを含む。次いで、金属ハロゲン化物は、還元剤を導入することにより低酸素含有率の金属膜又は金属窒化物膜に還元されうる。さらに、本開示は、還元剤を必要とする又は必要としない親オキソ性試薬の使用法による、金属オキソ前駆体、より具体的には金属オキシハロゲン化物前駆体からの金属膜又は金属窒化物膜の形成方法である。本開示の金属オキソ前駆体は、金属オキシハロゲン化物前駆体でありうる。これ以降では、金属オキシハロゲン化物前駆体が本明細書全体を通して使用されるが、それに限定されるものではない。本開示は、金属オキシハロゲン化物前駆体と親オキソ性試薬と還元性試薬とを含む膜形成性組成物である。
プロセス温度を低下させるために、酸素スカベンジャー(本明細書では親オキソ性試薬又は化合物)を適用して、酸素の除去すなわち脱酸素化による還元を促進することにより、Mo膜などの金属膜の堆積を達成可能であると推定されうる。
本開示の方法の利点としては、以下:1)フッ素フリー反応及びプロセス、2)非プラズマプロセス又はプラズマプロセス、3)低温プロセス、好ましくは500℃未満の堆積温度、4)きわめて少ないO残分不純物、好ましくは反応後に堆積膜中に残存する酸素<1.5%、より好ましくは反応後に堆積膜中に残存する酸素<おおよそ1%、が挙げられる。
親オキソ性に関して、Kepp(Kepp,K.P.,A Quantitative Scale of Oxophilicity and Thiophilicity,Inorganic Chemistry,2016,55,9461)は、周期表の元素の親オキソ性をうまくまとめている。本開示の方法では、親オキソ性試薬は、金属オキシハロゲン化物前駆体の金属よりも高い親オキソ性を有する元素から選ばれうる。親オキソ性試薬をALD/CVDプロセスで機能させるために、親オキソ性試薬及びその酸化生成物は、送達及び除去を容易にしてそうした元素の痕跡が堆積膜の表面上に残らないようにするために揮発性でなければならない。
この場合、本開示の親オキソ性試薬は、金属オキシハロゲン化物前駆体の金属よりも高い親オキソ性を有する元素を含有する揮発性化合物でありうる。
本開示の親オキソ性試薬は、金属オキシハロゲン化物前駆体から酸素を除去、交換、捕捉、又は移動すべく遷移金属含有又は主族元素含有化合物から選択されうる。親オキソ性試薬中の遷移金属又は主族元素は、金属オキシハロゲン化物前駆体の金属よりも高い親オキソ性を有するので、金属オキシハロゲン化物前駆体の金属中心の還元に対応しうるか、又は酸化状態を不変のままにしうる(すなわち、配位子交換)。
本開示の金属オキシハロゲン化物前駆体は、一般式
(a)
(式中、Mは、Mo、W、V、Nb、Ta、及びCrであり、Xは、ハロゲンであり、aは、Mの酸化状態であり、且つaは、3~6の範囲内の整数であり、cは、0<c<6の数であり、eは、0<e<3の数であり、c+2e=aである)
を有しうる。好ましくは、Xは、Cl、Br、又はIから選択される。一実施形態では、金属オキシハロゲン化物前駆体は、遷移金属オキシハロゲン化物前駆体から選択される。好ましくは、金属オキシハロゲン化物前駆体は、MoOCl、MoOCl、WOCl、WOCl、NbOCl、TaOCl、CrOClなどから選択される。
本開示の親オキソ性試薬は、一般式
(b)
(式中、Nは、遷移金属ハロゲン化物若しくはオキシハロゲン化物又は第14若しくは15族ハロゲン化物、オキシハロゲン化物、若しくは酸化物であり、Xは、ハロゲンであり、bは、Nの酸化状態であり、且つbは、3~6の範囲内の整数であり、dは、0≦d<6の数であり、fは、0<f<3の数であり、d+2f=bである)
を有しうる。好ましくは、Xは、Cl、Br、又はIから選択される。
この場合、N(b)中のNの親オキソ性は、M(a)中のMの親オキソ性よりも大きくすべきである。たとえば、親オキソ性(oxyphilicity)はMo<Wである。そのため、親オキソ性試薬N(b)は、遷移金属の元素又は第14若しくは15族からの元素を含有する親オキソ性試薬から選択され、ただし、親オキソ性試薬中のそれらの主元素(すなわち、遷移金属又は第14若しくは15族元素)の親オキソ性は、金属オキシハロゲン化物前駆体中の金属よりも高い。
好ましくは、本開示の親オキソ性試薬は、以下:
第14族:
C含有:CCl、CBr、COCl、CO、及びR-N=C=O(式中、Rは、飽和又は不飽和炭化水素基、たとえば、アルキル基、好ましくはR=H、Me、Et、iPr、nPr、iBu、tBu、sBu、nBuである)、
Si含有:Si(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基である)、
第15族:
N含有:NO、NO、
P含有:PCl、PBr、PI、又は
遷移金属含有:VCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、又はWCl
から選択されうる。
代替的に、本開示の親オキソ性試薬は、Si含有親オキソ性試薬Si(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基である)でありうる。模範的Si含有親オキソ性試薬としては、SiCl、SiClH、SiClMe、SiClMe、SiClMe、SiBr、SiBrH、SiBrMe、SiBrMe、SiBrMe、SiBrH、SiMe、Si、SiH、Si(Me)、Si(OMe)、Si(NR(式中、R=H、Me、Etである)、Si(NMeCl、SiCl、SiBr、Si、又はSi(Me)Hが挙げられる。好ましくは、Si含有親オキソ性試薬はSiCl(HCDS)である。
代替的に、親オキソ性試薬N(b)は、それらの主元素が金属オキシハロゲン化物前駆体中の金属よりも高い親オキソ性を有するという類似の基準を用いて非ハロゲン化物含有前駆体(d=0を有する)から選択されうる。好ましくは、そうした親オキソ性試薬は、以下:
第14族:CO、及びR-N=C=O(式中、Rは、飽和若しくは不飽和炭化水素基、たとえば、アルキル基、好ましくはR=H、Me、Et、iPr、nPr、iBu、tBu、sBu、nBuである)、又は
第15族:NO、NO、
から選択される。
代替的に、親オキソ性試薬N(b)は、式R-N=C=O(式中、Rは、飽和又は不飽和炭化水素基、たとえば、アルキル基、好ましくはR=H、Me、Et、iPr、nPr、iBu、tBu、sBu、nBuである)を有するイソシネート(isocyante)でありうる。イソシネートを親オキソ性試薬として使用するとき、金属オキシハロゲン化物前駆体のM=O結合は、純金属膜ではなく金属窒化物膜の形成をもたらすM=N-R結合に置き換えられるであろう。ここでは、C及びN元素は、金属オキシハロゲン化物前駆体中の金属よりも高い親オキソ性を有する。好ましくは、イソシネートはtBu-N=C=Oである。tBu-N=C=Oを親オキソ性試薬として使用するとき、金属オキシハロゲン化物前駆体のM=O結合は、純金属膜ではなく金属窒化物膜の形成をもたらすM=N-tBu結合に置き換えられるであろう。
代替的に、親オキソ性試薬N(b)は、遷移金属ハロゲン化物又はオキシハロゲン化物でありうる。模範的遷移金属含有ハロゲン化物又はオキシハロゲン化物としては、VCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、及びWClが挙げられる。
一実施形態では、還元剤は、堆積膜を金属膜に変換するために親オキソ性試薬N(b)に加えて使用されうる。還元剤は、金属形の形成のためのH、B、HClなどでありうる。還元剤は、金属窒化物膜の形成のためのNHでありうる。
代替的に、親オキソ性試薬自体は、金属オキシハロゲン化物前駆体を金属に還元する能力のある還元剤としても作用しうる。この場合、親オキソ性試薬は、酸素スカベンジャー及び還元剤の両方として作用する。たとえば、COは、酸素スカベンジャー及び還元剤の両方として作用しうる。
一般的には、以下に記載のように、金属オキシハロゲン化物前駆体からの酸素除去反応又は脱酸素化には、3つの一般的反応スキーム又は反応式が存在する。
式I: 金属オキシハロゲン化物前駆体の金属の酸化状態は変化しない:
(a) + N(b) → M(a)c+2e-1 + N(b)d-2f+1 (I)
式中、
(a)は、金属オキシハロゲン化物前駆体であり、ここで、Mは、Mo、W、V、Nb、Ta、及びCrであり、Xはハロゲンであり、aは、Mの酸化状態であり、且つaは、3~6の範囲内の整数であり、cは、0<c<6の数であり、eは、0<e<3の数であり、c+2e=aであり、
(b)は、親オキソ性試薬であり、ここで、Nは、遷移金属ハロゲン化物若しくはオキシハロゲン化物、又は第14若しくは15族ハロゲン化物、オキシハロゲン化物、若しくは酸化物であり、Xはハロゲンであり、bは、Nの酸化状態であり、且つbは、3~6の範囲内の整数であり、dは、0≦d<6の数であり、fは、0<f<3の数であり、d+2f=bであり、
ただし、N(b)中のNの親オキソ性は、M(a)中のMの親オキソ性よりも大きく、且つN(b)及びN(b)d-2f+1は揮発性である。好ましくは、Xは、Cl、Br、又はIから選択される。
式Iの例:
1) 金属オキシハロゲン化物前駆体はMoOClであり、且つ親オキソ性試薬はWClであり、逐次ステップで酸素を除去する:
Mo(VI)Cl + W(VI)Cl → Mo(VI)ClO + W(VI)Cl
Mo(VI)ClO + W(VI)Cl → Mo(VI)Cl + W(VI)Cl
ただし、W(VI)Cl及びW(VI)ClOは揮発性である。
2) 1回の単一ステップで2つのM=Oを除去し、金属オキシハロゲン化物前駆体はMoOClであり、且つ親オキソ性試薬はWClである:
Mo(VI)Cl + 2W(VI)Cl → Mo(VI)Cl + 2W(VI)Cl
ただし、W(VI)Cl及びW(VI)ClOは揮発性である。
3) 1)及び2)での反応生成物Mo(VI)Clは、不安定であり、さらなる反応を受ける:
Mo(VI)Cl → Mo(IV)Cl + Cl
Mo(VI)Cl + 2H → Mo(0) + 4HCl
ただし、Mo(VI)Cl4は、HによりMo及びHClに還元されうるか、又は加熱されてMo及びClに分解しうる。
式II: 金属オキシハロゲン化物前駆体中の金属の酸化状態は2変化する:
(a) + N(b) → M(a-2)e-1 + N(b+2)f+1 (II)
式中、
(a)は、金属オキシハロゲン化物前駆体であり、ここで、Mは、Mo、W、V、Nb、Ta、及びCrであり、Xはハロゲンであり、aは、Mの酸化状態であり、且つaは、3~6の範囲内の整数であり、cは、0<c<6の数であり、eは、0<e<3の数であり、c+2e=aであり、
(b)は、親オキソ性試薬であり、ここで、Nは、遷移金属ハロゲン化物若しくはオキシハロゲン化物、又は第14若しくは15族ハロゲン化物、オキシハロゲン化物、若しくは酸化物であり、Xはハロゲンであり、bは、Nの酸化状態であり、且つbは、3~6の範囲内の整数であり、dは、0≦d<6の数であり、fは、0<f<3の数であり、d+2f=bであり、
ただし、N(b)中のNの親オキソ性は、M(a)中のMの親オキソ性よりも大きくすべきであり、且つN(b)及びN(b+2)f+1は揮発性である。好ましくは、Xは、Cl、Br、又はIから選択される。
式IIの例: 金属オキシハロゲン化物前駆体はMoOClであり、且つ親オキソ性試薬はWClである:
Mo(VI)Cl + W(IV)Cl → Mo(IV)Cl + W(VI)Cl
Mo(IV)Cl + 2H → Mo(0) + 4HCl
ただし、Mo(IV)Clは、HによりMo及びHClに還元されうるか、又は加熱されてMo及びClに分解しうるとともに、W(IV)Cl及びW(VI)Clは揮発性である。
式III: 金属オキシハロゲン化物前駆体中の金属の酸化状態は1変化する:
(a) + N(b) → M(a-1)Xc+1e-1 + N(b+1)d-1f+1 (III)
式中、
(a)は、金属オキシハロゲン化物前駆体であり、ここで、Mは、Mo、W、V、Nb、Ta、及びCrであり、Xはハロゲンであり、aは、Mの酸化状態であり、且つaは、3~6の範囲内の整数であり、cは、0<c<6の数であり、eは、0<e<3の数であり、c+2e=aであり、
(b)は、親オキソ性試薬であり、ここで、Nは、遷移金属ハロゲン化物若しくはオキシハロゲン化物、又は第14若しくは15族ハロゲン化物、オキシハロゲン化物、若しくは酸化物であり、Xはハロゲンであり、bは、Nの酸化状態であり、且つbは、3~6の範囲内の整数であり、dは、0≦d<6の数であり、fは、0<f<3の数であり、d+2f=bであり、
ただし、N(b)中のNの親オキソ性は、M(a)中のMの親オキソ性よりも大きくすべきであり、且つN(b)及びN(b+1)d-1f+1は揮発性である。好ましくは、Xは、Cl、Br、又はIから選択される。
式IIIの例:
1) 金属オキシハロゲン化物前駆体はMoOClであり、且つ親オキソ性試薬はWClである:
Mo(VI)Cl + W(V)Cl → Mo(V)ClO + W(VI)Cl
Mo(V)ClO + W(V)Cl → Mo(IV)Cl + W(VI)Cl
Mo(IV)Cl + 2H → Mo(0) + 4HCl
ただし、Mo(IV)Clは、HによりMo及びHClに還元されうるか、又は加熱されてMo及びClに分解しうるとともに、W(V)Cl及びW(VI)ClOは揮発性である。
2) 2つのM=Oを除去し、金属オキシハロゲン化物前駆体はMoOClであり、且つ親オキソ性試薬はWClである:
Mo(VI)Cl + W(V)Cl → Mo(V)Cl + W(VI)Cl
2Mo(V)Cl + 5H → 2Mo(0) + 10HCl
ただし、Mo(IV)Clは、HによりMo及びHClに還元されうるか、又は加熱されてMo及びClに分解しうるとともに、W(V)Cl及びW(VI)Clは揮発性である。
本開示の親オキソ性試薬に対する選択基準は、次のようにすべきである。i)親オキソ性に関して、親オキソ性試薬の主元素(たとえば、C、N、P、Si、遷移金属V、W、Nb、Ta)は、金属オキシハロゲン化物前駆体の金属よりも大きくすべきであり、且つii)親オキソ性試薬及びその反応生成物(たとえば、M=O形生成物)は揮発性である。表Iは、金属オキシハロゲン化物前駆体中の酸素との反応前及び酸素との反応後のどちらかで親オキソ性試薬として使用されうる、模範的有望元素及びその親オキソ性、揮発性親オキソ性試薬及び揮発性酸素化生成物である。
この場合、親オキソ性試薬用遷移金属のすべてが選択基準を満たすとは限らない。たとえば、TiCl、ZrCl、HfCl、LaCl、及びCeClは、それらの親オキソ性がMoよりもかなり高いとはいえ、それらのオキシハロゲン化物が存在し且つ揮発性であることを示す文献報告は存在しないので、選択基準を満たさない。同様に、CrClは、酸素除去試薬として使用するにはあまりにも不揮発性である。
親オキソ性試薬として使用されるケイ素化合物の場合、既知の安定且つ揮発性のオキシハロゲン化物は存在しないが、脱酸素化は、揮発性でありうるシロキサン化合物の形成により起こりうる。このため、シラン、とりわけ、SiClやSiHClなどのポリハロ-ポリシランは、金属オキシハロゲン化物からOを除去するためのとりわけ良好な候補である。このため、SiCl、SiHCl、SiMeなどのポリシランは、金属オキシハロゲン化物前駆体からOを除去するためのとりわけ適正な候補である。
たとえば、金属オキシハロゲン化物前駆体がMoOClであるとき、親オキソ性元素を含有する可能な親オキソ性試薬は、以下:
第14族:C含有:CCl、CBr、COCl、CO、及びR-N=C=O(式中、Rは、飽和又は不飽和炭化水素基、たとえば、アルキル基、好ましくはR=H、Me、Et、iPr、nPr、iBu、tBu、sBu、nBuである)、
Si含有:Si(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基、たとえば、SiCl、SiClH、SiClMe、SiClMe、SiClMe、SiBr、SiBrH、SiBrMe、SiBrMe、SiBrMe、SiBrH、SiMe、Si、SiH、Si(Me)、Si(OMe)、Si(NR(ここで、R=H、Me、Etである)、Si(NMeCl、SiCl、SiBr、Si、又はSi(Me)Hである)、
第15族:N含有:NO、NO、
P含有:PCl、PBr、PI、或いは
遷移金属含有:VCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、WCl
から選択されうる。
類似のアプローチは、他の金属オキシハロゲン化物前駆体の酸素除去又は脱酸素化用途に適用されうる。たとえば、CrOClは、非常に揮発性であり、MoOClと類似のプロセスでCr堆積のための金属オキシハロゲン化物前駆体として使用されうる。その目的のために、親オキソ性試薬を用いて酸素のクリーン除去を促進しうるとともに、これは、以下:
第14族:CCl、CBr、COCl、CO、及びR-N=C=O(式中、Rは、飽和又は不飽和炭化水素基、たとえば、アルキル基、好ましくはR=H、Me、Et、iPr、nPr、iBu、tBu、sBu、nBuである)、
Si(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基、たとえば、SiCl、SiClH、SiClMe、SiClMe、SiClMe、SiBr、SiBrH、SiBrMe、SiBrMe、SiBrMe、SiBrH、SiMe、Si、SiH、Si(Me)、Si(OMe)、Si(NR(ここで、R=H、Me、Etである)、Si(NMeCl、SiCl、SiBr、Si、又はSi(Me)Hである)、
第15族:NO、NO、PCl、PBr、PI、或いは
遷移金属:VCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、WCl
から選択されうる。
CVD又はALDプロセスでは、親オキソ性試薬による金属オキシハロゲン化物前駆体からの又は金属オキシハロゲン化物前駆体の堆積により形成された中間膜からの酸素の除去は、一般に、金属膜を形成するために金属中心(金属中間体M-X)の完全還元により補完されうる。このため、親オキソ性試薬は、M-XをM及びHXに変換すべく(式中、X=Cl、Br、又はI、M=金属オキシハロゲン化物前駆体からの金属)、Hなどの補完的還元性試薬との組合せで使用されうる。しかしながら、酸素を膜から脱離したら、AlH、AlH:L(式中、Lは、ジエチルエーテル、テトラヒドロフラン、ジエチルスルフィド、トリメチルアミン、トリエチルアミンなどの中性配位子である)、SiH、Si、B、PHなどの他の水素還元剤が使用されうる。
補完的還元性試薬による親オキソ性試薬の還元を回避するために、プロセスは、金属オキシハロゲン化物前駆体、親オキソ性試薬、及び補完的還元剤への基材の逐次暴露により、ALD又はパルスCVDモードで優先的に行われる。シーケンスの順序は、選択された化学に依存して変更されうる。たとえば、シーケンスの順序は、以下:i)金属オキシハロゲン化物前駆体(基材上へのM(a)前駆体の吸着)、ii)親オキソ性試薬(吸着された金属オキシハロゲン化物前駆体の還元反応又は脱酸素化)、iii)補完的還元剤(脱酸素化反応で得られる金属中間体の金属への還元)でありうる。シーケンスの代替順序は、i)親オキソ性試薬+金属オキシハロゲン化物前駆体(金属オキシハロゲン化物前駆体の還元反応又は脱酸素化)、ii)補完的還元性試薬の添加(脱酸素化反応で得られる金属中間体の金属への還元)でありうる。
親オキソ性試薬はまた、還元剤の役割も果たしうる。例として、COは、親オキソ性剤(CO→CO)及び還元性試薬(CO→COCl)の両方でありうる。同様に、HSiClは、MO金属オキシハロゲン化物前駆体(Mは金属であり、Xは、Cl、Br、又はIであり、x及びyは、整数である)中のOの除去並びにSiCl-O-SiCl及び副生成物としての2HXの形成を介して脱酸素化反応から得られた金属中間体からのM-X結合の還元による破断の両方を行いうる。
金属窒化物(MN)膜を形成するために、還元剤は、金属窒化物膜をもたらすNHなどの窒化物試薬に置き換えられうる。金属窒化物膜用途では、金属オキシハロゲン化物から酸素を除去するために、親オキソ性試薬としてtertブチルイソシアネートなどのアルキル又はシリルイソシアネートが使用されうる。tBu-イミドは、中間の金属中心に結合されてM=O結合を置き換え、2つのtBu-イミド結合を形成して金属窒化物膜をもたらす(式IV)。
-M=O + tBu-N=C=O → -M=N-tBu + CO (IV)
一実施形態では、本開示の方法/プロセスは、CVDプロセスでありうる。CVDプロセスは、プラズマ増強CVDであってもなくてもよい。好ましくは、CVDプロセスはプラズマプロセスではない。より好ましくは、CVDは熱CVDである。
代替的に、本開示の方法/プロセスは、金属オキシハロゲン化物前駆体、親オキソ性剤、還元剤などの反応剤への表面の逐次暴露によるALDプロセスでありうる。この場合、親オキソ性試薬は、金属オキシハロゲン化物前駆体と反応して金属中間体を形成し、金属中間体は、Hなどの補完的還元性試薬と反応して純金属膜を形成する。チャンバー内のALD条件は、基材表面上に吸着又は化学吸着された本開示の金属オキシハロゲン化物前駆体の反応及び基材上への膜形成を可能にする。いくつかの実施形態では、金属オキシハロゲン化物前駆体は、プラズマ処理されうる。この場合、ALDプロセスは、PEALDプロセスになる。そのため、ALDプロセスは、プラズマ増強ALDプロセスであってもなくてもよく、好ましくは、ALDプロセスはプラズマ増強ALDプロセスではない。ALDプロセスは、熱ALDでありうるか又は空間ALDでありうる。
代替的に、本開示のプロセスは、反応剤(前駆体/親オキソ性剤/還元剤)への表面の逐次暴露によるALDプロセスでありうるとともに、この場合、親オキソ性試薬は、M=Oと共にM=N-tBu結合を形成し、還元性試薬は、窒化物膜を形成するようにNHとして選択される。
本開示の金属オキシハロゲン化物前駆体、親オキソ性試薬、及び還元剤は、同時(CVD)又は逐次(ALD)のどちらかで反応器に導入されうる。反応器は、金属オキシハロゲン化物前駆体、親オキソ性試薬、及び還元剤の導入間でイナートガス(たとえば、N、Ar、Kr、Xe)を用いてパージされうる。代替的に、金属オキシハロゲン化物前駆体及び親オキソ性試薬は、混合一体化されて金属オキシハロゲン化物前駆体と親オキソ性試薬との混合物を形成しうるとともに、次いで、混合物形で反応器に導入されうる。反応器は、各膜形成性組成物又は金属オキシハロゲン化物前駆体と親オキソ性試薬との混合物の導入間で、N、Ar、Kr、Xeなどのイナートガスでパージされうる。
本開示の膜形成性組成物又は本開示の金属オキシハロゲン化物、親オキソ性試薬、及び還元剤の純度は、93%w/w超(すなわち、95.0%w/w~100.0%w/w)、好ましくは98%w/w超(すなわち、98.0%w/w~100.0%w/w)、より好ましくは99%w/w超(すなわち、99.0%w/w~おおよそ99.999%w/w又は99.0%w/w~100.0%w/w)である。質量分析を併用してNMR分光及びガス又は液体クロマトグラフィーにより純度を決定しうることは、当業者であれば分かるであろう。本開示の膜形成性組成物は、下記不純物:THF、エーテル、ペンタン、シクロヘキサン、ヘプタン、ベンゼン、トルエン、ハロゲン化金属化合物などのいずれかを含有しうる。これらの不純物の合計量は、好ましくは5%w/w未満(すなわち、0.0%w/w~5.0%w/w)、好ましくは2%w/w未満(すなわち、0.0%w/w~2.0%w/w)、より好ましくは1%w/w未満(すなわち、0.0%w/w~1.0%w/w)である。好ましくは、膜形成性組成物の各々中の水含有率又は水不純物は、20重量ppm未満である。精製される本開示の膜形成性組成物は、再結晶化、昇華、蒸留、及び/又は4Åモレキュラーシーブなどの好適な吸着材を介する気液通過により精製されうる。
本開示の膜形成性組成物は、ニート形又はエチルベンゼン、キシレン、メシチレン、デカリン、デカン、ドデカンなどの好適な溶媒とのブレンドのどちらかで供給されうる。本開示の金属オキシハロゲン化物前駆体及び親オキソ性試薬は、さまざまな濃度で溶媒中に存在しうる。
ニートブレンドの本開示の膜形成性組成物は、チュービング及び/又はフローメーターなどの従来の手段により、蒸気形で反応器内に導入される。蒸気形は、直接気化などの従来の気化ステップ、蒸留を介して、バブリングにより、又はXuらに付与されたPCT国際公開第2009/087609号パンフレットに開示されるような昇華器を用いて、ニートブレンド組成物を気化させることにより生成されうる。ニートブレンド組成物は、液状状態で気化器に供給されうるとともに、それは反応器内への導入前に蒸発される。代替的に、ニートブレンド組成物は、組成物を含有する容器中にキャリヤーガスを通して組成物中にキャリヤーガスをバブリングすることにより蒸発されうる。キャリヤーガスとしては、限定されるものではないが、Ar、He、N、及びそれらの混合物が挙げられうる。また、キャリヤーガスによるバブリングでは、ニートブレンド組成物中に存在するいずれかの溶存酸素が除去されうる。次いで、キャリヤーガス及び組成物は、蒸気として反応器内に導入される。
必要であれば、本開示の膜形成性組成物を含有する容器は、組成物が十分な蒸気圧を有するようにしうる温度に加熱されうる。容器は、たとえば、おおよそ0℃~おおよそ200℃の範囲内の温度に維持されうる。気化される前駆体の量を制御すべく容器の温度を公知のようにアジャストしうることは、当業者であれば分かる。
反応器は、堆積方法が行われるデバイス内のいずれかのエンクロージャーチャンバー、たとえば、限定されるものではないが、パラレルプレート型反応器、コールドウォール型反応器、ホットウォール型反応器、シングルウエハ反応器、マルチウエハ反応器、化合物の反応及び層形成を引き起こすのに好適な条件下の他の型の堆積システムでありうる。ALD又はCVD堆積プロセスのどちらかでこれらの反応器のいずれかを使用しうることは、当業者であれば分かるであろう。
反応チャンバーは、1つ以上の基材を含有しうる。たとえば、反応チャンバーは、25.4mm~450mmの直径を有する1~200のシリコンウエハを含有しうる。基材は、プロセスが行われる材料として一般に定義される。基材は、半導体、光起電力、フラットパネル、LCD-TFTデバイス製造に使用されるいずれかの好適な基材でありうる。好適な基材の例としては、シリコン、シリカ、ガラス、Ge、SiGe、GeSn、InGaAs、GaSb、InP、GaAsウエハなどのウエハが挙げられる。ウエハは、ケイ素含有膜又は層をはじめとする前の製造ステップからの複数の膜又は層をその上に有するであろう。層は、パターニングされていてもいなくてもよい。たとえば、ウエハは、誘電体層を含みうる。さらに、ウエハは、シリコン層(結晶性、アモルファス、多孔性のものなど)、酸化ケイ素層、窒化ケイ素層、ケイ素オキシ窒化物層、炭素ドープ酸化ケイ素(SiCOH)層、金属、金属酸化物、金属窒化物層(Ti、Ru、Taなど)、及びそれらの組合せを含みうる。そのほか、ウエハは、銅層、貴金属層(たとえば、白金、パラジウム、ロジウム、金)を含みうる。ウエハは、バリア層、たとえば、マンガン、酸化マンガンなどを含みうる。ポリ(3,4-エチレンジオキシチオフェン)ポリ(スチレンスルホネート)[PEDOT:PSS]などのプラスチック層もまた、使用されうる。層は、平面状であってもパターニングされていてもよい。本開示のプロセスは、ウエハ上に直接又はパターニングされた層を基材上に形成するときはウエハのトップの1つ以上の層上に直接層を堆積しうる。パターニングされた層は、3D NANDに使用されるInやZrOなどの2つの具体的層の交互層でありうる。さらに、本明細書で用いられる「膜」、「層」という用語は、表面がトレンチ、ラインであってもよい表面上に配置された又は表面全体に展延されたある厚さのなんらかの材料を意味することは、当業者であれば分かるであろう。本明細書及び特許請求の範囲全体を通して、ウエハ及びその上のいずれかの関連層は、基材といわれる。
反応器内の温度及び圧力は、ALDやCVDなどの気相堆積に好適な条件に保持される。言い換えると、気化した本開示の膜形成性組成物のチャンバー内への導入後、前駆体の少なくとも一部が基材上に堆積され層を形成するようなチャンバー内の条件にする。例として、反応器内の圧力又は堆積圧力は、堆積パラメーターに従って必要に応じて、約10-3torr~約100torr、より好ましくは約10-2torr~10torrに保持されうる。同様に、反応器内の温度又は堆積温度は、約100℃~約500℃、好ましくは約150℃~約485℃に保持されうる。「前駆体の少なくとも一部が堆積される」は前駆体の一部又は全てが基材と反応又は接着することを意味することは、当業者であれば分かるであろう。
最適膜成長を達成する温度は、基材ホルダーの温度のなんらかの制御により制御されうる。基材の加熱に使用されるデバイスは、当技術分野で公知である。基材は、十分な成長速度で並びに所望の物理的状態及び組成を有して所望の膜を得るのに十分な温度に加熱される。基材が加熱されうる非限定的模範的温度範囲は、おおよそ50℃~おおよそ500℃にわたる。プラズマ堆積プロセスを利用するとき、堆積温度は、おおよそ500℃未満、好ましくはおおよそ400℃未満でありうる。代替的に、熱プロセスを実施するとき、堆積温度は、おおよそ100℃~おおよそ500℃の範囲内でありうる。
代替的に、基材は、十分な成長速度で並びに所望の物理的状態及び組成を有して所望の金属膜を得るのに十分な温度に加熱されうる。基材が加熱されうる非限定的模範的温度範囲は、室温~おおよそ500℃にわたる。好ましくは、基材の温度は500℃以下に維持される。
本開示の膜形成性組成物の各パルスは、約0.001秒間~約120秒間、代替的に約1秒間~約80秒間、代替的に約5秒間~約30秒間の範囲内の時間にわたり続きうる。親オキソ性試薬もまた、反応器内にパルスされうる。かかる実施形態では、各々のパルスは、約0.01秒間~約120秒間、代替的に約1秒間~約30秒間、代替的に約2秒間~約20秒間の範囲内の時間にわたり続きうる。他の一代替法では、気化した膜形成性組成物及び親オキソ性試薬は、いくつかのウエハを保持するサセプターがスピンされて(組成物と反応剤とを混合することなく)シャワーヘッドの異なるセクターから同時にスプレーされうる(空間ALD)。
特定プロセスパラメーターに依存して、堆積は、さまざまな時間にわたり行われうる。一般に、堆積は、必要な性質を有する膜を生成するのに必要な所望の程度の時間にわたり継続させうる。典型的膜厚さは、具体的堆積プロセスに依存して、数オングストローム~数百ミクロン、典型的には1~100nmにわたりうる。堆積プロセスはまた、所望の膜を得るために必要に応じて何回も実施されうる。
基材上への金属膜又は金属窒化物膜の堆積のための本開示の方法は、基材を含有する反応器内で金属オキシハロゲン化物前駆体と親オキソ性試薬とを反応させて金属オキシハロゲン化物前駆体を脱酸素化するステップと、気相堆積プロセスを介して基材上に金属膜又は金属窒化物膜を形成するステップと、を含む。この場合、基材は、金属オキシハロゲン化物前駆体及び親オキソ性試薬に同時に暴露されうる。代替的に、基材は、金属オキシハロゲン化物前駆体及び親オキソ性試薬に逐次暴露されうる。金属オキシハロゲン化物と親オキソ性試薬とを反応させるステップの後、基材は、還元剤に逐次暴露されて金属膜又は金属窒化物膜を形成しうる。
代替的に、基材上への金属膜の堆積のための本開示の方法は、反応器内に基材を配置することと、反応器内に金属オキシハロゲン化物前駆体の蒸気を送達することと、基材の表面に蒸気を接触/吸着させて(典型的には蒸気を基材に方向付けて)基材の表面上に金属オキシハロゲン化物層を形成することと、反応器内に親オキソ性試薬の蒸気を導入して基材の表面上の金属オキシハロゲン化物と反応させ、表面上に金属ハロゲン化物を形成することと、還元剤を導入して金属ハロゲン化物を金属に還元することと、を含む。
代替的に、基材上への金属膜の堆積のための本開示の方法は、反応器内に基材を配置することと、反応器内に金属オキシハロゲン化物前駆体と親オキソ性試薬との混合物の蒸気を送達することと、基材の表面に蒸気を接触/吸着させて(典型的には蒸気を基材に方向付けて)基材の表面上に金属オキシハロゲン化物層を形成し、基材の表面上で親オキソ性(oxyphilic)試薬と金属オキシハロゲン化物とを反応させて表面上に金属ハロゲン化物を形成することと、還元剤を導入して金属ハロゲン化物を金属に還元することと、を含む。
基材上への金属窒化物膜の堆積のための本開示の方法は、反応器内に基材を配置することと、反応器内に金属オキシハロゲン化物前駆体の蒸気を送達することと、基材に蒸気を接触/吸着させて(典型的には蒸気を基材に方向付けて)基材の表面上に金属オキシハロゲン化物層を形成することと、反応器内にtBu-N結合などのC-N結合を含有する親オキソ性試薬の蒸気を導入して基材の表面上の金属オキシハロゲン化物と反応させ、表面上に金属窒化物ハロゲン化物中間体を形成することと、還元剤(たとえばNH)を導入して金属窒化物ハロゲン化物を金属窒化物膜に還元することと、を含む。
代替的に、基材上への金属窒化物膜の堆積のための本開示の方法は、反応器内に基材を配置することと、反応器内に金属オキシハロゲン化物前駆体とtBu-N結合などのC-N結合を含有する親オキソ性試薬との混合物の蒸気を送達することと、基材に蒸気を接触/吸着させて(典型的には蒸気を基材に方向付けて)基材の表面上に金属オキシハロゲン化物層を形成し、基材の表面上で親オキソ性(oxyphilic)試薬と金属オキシハロゲン化物とを反応させて表面上に金属窒化物ハロゲン化物を形成することと、還元剤(たとえばNH)を導入して金属窒化物ハロゲン化物を金属窒化物膜に還元することと、を含む。
本開示の方法に係る生成された金属膜又は金属窒化物膜の純度は、>おおよそ98.5%、好ましくは>おおよそ99%でありうるとともに、生成された金属膜又は金属窒化物膜中の酸素含有率又は酸素不純物は、<1.5%、好ましくは<おおよそ1%でありうる。
下記非限定的実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は、すべてを網羅することが意図されるものではなく、本明細書に記載の発明の範囲を限定することが意図されるものではない。
実施例1
概念及び反応性を検証するために凝縮相からの化学を試験した。金属オキシハロゲン化物前駆体と親オキソ性試薬との反応がターゲット気相堆積プロセス温度で又はそれ未満で有利であることを実験的に確認するために、金属オキシハロゲン化物前駆体と親オキソ性試薬とを混合して反応生成物を分析し、金属オキシハロゲン化物前駆体からのM=Oを開裂することによる親オキソ性試薬への酸素原子の移動を確認することにより、固/液相実験を行った。
試験前駆体としてMoOCl(CAS番号:13637-68-8)を用いた且つ親オキソ性試薬としてWCl、WCl、WCl、WOCl、又はNbClを用いた結果を以下にまとめる。数時間にわたり120~240℃で実験を行った。そのほか、金属の酸化物形が除去されて同様に揮発性種を形成しうることを証明するためにMoOを試験した。まとめると、反応前、MoOClと、WCl、WCl、WCl、WOCl、NbCl、VCl、VCl、PI、MeSiCl、又はHSiClと、の反応混合物の分析では、それぞれ、Mo=O結合及びMCl又はMClの存在が示された。昇温での反応後、PXRDパターン、ラマンスペクトル(図示せず)、及び液状試薬又は生成物が関与する場合にGCスペクトル(図示せず)は、Mo=O結合及びMoOClの完全消失、その代わり、WCl、WCl、WOCl、NbClなどの親オキソ性試薬を使用したときにW=O、Nb=O結合などのM=O結合又はSi系親オキソ性試薬を使用したときにM-O-M結合の出現を示した。親オキソ性試薬WOClでは、より低い反応性及びMo=O結合の不完全除去が観察された。より高い反応温度(たとえば、<500℃)では、反応が完了するであろう。表IIは、金属オキシハロゲン化物前駆体としてMoOCl及び酸化物の代表例としてMoOを用いてさまざまな親オキソ性試薬と反応させた結果である。
図1aは、反応前のWClとMoOClとの混合物の粉末X線回折(PXRD)パターン及びフィッティングである。図1bは、8時間にわたり235℃で加熱した後の2WClとMoOClとの混合物のPXRDパターン及びフィッティングである。反応の前及び後のPXRDパターンの比較により、酸素は、MoOClから完全除去されてMoClを形成した。また、親オキソ性試薬WClは、WOClに変換される。
実施例2
以上に記載のように、MoOClをMo金属に還元する際の最大の難題は脱酸素化ステップである。そのため、H以外に酸素除去試薬を用いて、還元プロセスを促進可能である。HCDSなどの酸素スカベンジャーの候補はほとんどないと考えられる。
親オキソ性試薬による酸素除去の第1のステップで500℃未満でMoO2Cl2を用いるMoのALDは、式Iにより表される。すでに脱酸素化された金属オキシハロゲン化物前駆体が低圧条件下で第2の還元性試薬と反応する後続相互作用での堆積Mo材料(たとえば、Mo層)は、純粋でありうる(たとえば、少なくとも95、98、99、99.5、又は99.9%(原子)Mo)。
たとえば、下記条件及びシーケンスからなるALDプロセスは、SiO基材を用いて行われた(T=485℃、合計P=10torr)。図2aに示されるように、MoOCl/HCDS(ヘキサクロロジシランSiCl、CAS番号:13465-77-5)の混合物パルス2.5sec(1.01/11.3sccm)は、キャリヤーガスとしてアルゴン(100sccm)を用いて反応器内に流入され、その後、アルゴンパージ5sec(10sccm)、Hパルス5sec(500sccm)、及びアルゴンパージ5sec(10sccm)が続き、高純度Mo膜98.5%を堆積した。酸素不純物は、<1.5%であった。化学反応は、以下の通りと予想される。
Mo(VI)Cl + 2Si(III)Cl → Mo(II)Cl + 2OSi(IV)Cl
Mo(II)Cl + H → Mo(0) + 2HCl
図2bは、酸素スカベンジャーとしてのHCDS及び還元剤としてのHの使用法のX線光電子分光(XPS)である。対比例として、T=485℃、合計P=10torrの同一プロセス条件下、HCDSの不在下で同一プロセスが行われる。図3aに示される通り、MoOCl 2.5sec(1.01sccm)、キャリヤーガスとしてアルゴン(100sccm)、アルゴンパージ5sec(10sccm)、Hパルス5sec(500sccm)、及びアルゴンパージ5sec(10sccm)。XPSによる膜特徴付けは、Moの不在及びSiO基材の唯一の存在を示す。図3bは、酸素スカベンジャーとしてのHCDSの不在下、485℃でHを用いた古典的ALDのXPSである。
そのため、HCDSは、Arパージ及びH還元を別々に行ってMoOClで酸素除去試薬として作用しうる。HCDSを用いた図2bを参照して、Mo膜は、きわめて高い純度を有する(Mo>98.5%、O<1.5%、Si 0%)。図3bを参照して、HCDSの不在下では、同一温度でMo堆積は起こらない。
本明細書に記載の主題は、ユーザー対話コンポーネントを有するコンピューティングアプリケーションのための1つ以上のコンピューティングアプリケーション特徴/操作を処理する例示的実現との関連で記載されうるが、主題は、こうした特定実施形態に限定されるものではない。むしろ、本明細書に記載の技術は、いずれかの好適な型のユーザー対話コンポーネント実行管理方法、システム、プラットフォーム、及び/又は装置に適用されうる。
本発明の性質を説明するために本明細書に記載及び例示されているパーツの詳細、材料、ステップ、及び配置の多くの追加変更を添付の特許請求の範囲に明示される本発明の原理及び範囲内で行いうることは、当業者であれば理解されよう。そのため、本発明は、以上に与えられた実施例及び/又は添付図面の具体的実施形態に限定することが意図されるものではない。
本発明の実施形態を示して説明してきたが、本発明の趣旨又は教示から逸脱することなく、当業者であればそれらの修正を行いうる。本明細書に記載の実施形態は、単に模範的なものにすぎず、限定されるものではない。組成物及び方法の多くの変形及び修正が可能であり、且つ本発明の範囲内にある。それゆえ、保護の範囲は、本明細書に記載の実施形態に限定されるものではなく、後続の特許請求の範囲によってのみ限定されるものであり、その範囲は、特許請求の範囲の主題のすべての均等物を含むものとする。

Claims (15)

  1. 基材上への金属膜又は金属窒化物膜の堆積のためのプロセスであって、
    前記基材を含有する反応器内で金属オキシハロゲン化物前駆体と親オキソ性試薬とを反応させて前記金属オキシハロゲン化物前駆体を脱酸素化することと、
    気相堆積プロセスを介して前記基材上に前記金属膜又は金属窒化物膜を形成することと、
    を含むプロセス。
  2. 前記基材を前記金属オキシハロゲン化物前駆体及び前記親オキソ性試薬に同時又は逐次暴露すること、
    をさらに含む、請求項1に記載のプロセス。
  3. 脱酸素化後に前記基材を還元剤に逐次暴露することであって、前記還元剤は、H、HCl、B、NH、CO、SiHCl、SiHBr、又はSiHlから選択される、こと、
    をさらに含む、請求項1に記載のプロセス。
  4. 前記金属オキシハロゲン化物前駆体が、M(a)(式中、Mは、Mo、W、V、Nb、Ta、及びCrであり、Xは、Cl、Br、又はIから選択されるハロゲンであり、aは、Mの酸化状態であり、且つaは、3~6の範囲内の整数であり、cは、0<c<6の数であり、eは、0<e<3の数であり、c+2e=aである)であり、且つ
    前記親オキソ性試薬が、N(b)(式中、Nは、遷移金属ハロゲン化物若しくはオキシハロゲン化物、又は第14若しくは15族ハロゲン化物、オキシハロゲン化物、若しくは酸化物であり、Xは、Cl、Br、又はIから選択されるハロゲンであり、bは、Nの酸化状態であり、且つbは、3~6の範囲内の整数であり、dは、0≦d<6の数であり、fは、0<f<3の数であり、d+2f=bである)であり、
    ただし、Nの親オキソ性がMの親オキソ性よりも高く、
    前記親オキソ性試薬及び前記親オキソ性試薬の生成物が揮発性である、
    請求項1に記載のプロセス。
  5. 前記金属オキシハロゲン化物前駆体が、MoOCl、MoOCl、WOCl、WOCl、NbOCl、TaOCl、及びCrOClから選択される、請求項1に記載のプロセス。
  6. 前記親オキソ性試薬が、以下:
    a. 第14族含有:CCl、CBr、COCl、CO、R-N=C=O(式中、Rは、H、又はMe、Et、iPr、nPr、iBu、tBu、sBu、nBuから選択されるアルキル基である)、又はSi(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基である)、
    b. 第15族含有:NO、NO、PCl、PBr、PI、或いは
    c. 遷移金属含有:VCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、又はWCl
    から選択される、請求項1に記載のプロセス。
  7. 前記親オキソ性試薬が、一般式:Si(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基である)を有するSi含有親オキソ性試薬である、請求項1に記載のプロセス。
  8. 前記Si含有親オキソ性試薬が、SiCl、SiClH、SiClMe、SiClMe、SiClMe、SiBr、SiBrH、SiBrMe、SiBrMe、SiBrMe、SiBrH、SiMe、Si、SiH、Si(Me)、Si(OMe)、Si(NR(ここで、R=H、Me、Etである)、Si(NMeCl、SiCl、SiBr、Si、又はSi(Me)Hから選択される、請求項7に記載のプロセス。
  9. 前記親オキソ性試薬がSiClである、請求項1~8のいずれか一項に記載のプロセス。
  10. 前記親オキソ性試薬が、R-N=C=O(式中、Rは、H、若しくはMe、Et、iPr、nPr、iBu、tBu、sBu、nBuから選択されるアルキル基である)、又はVCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、若しくはWClから選択される金属ハロゲン化物若しくは金属オキシハロゲン化物である、請求項1~8のいずれか一項に記載のプロセス。
  11. 前記気相堆積プロセスが、485℃未満の堆積温度での熱CVD若しくはALD又はプラズマ増強CVD若しくはALDである、請求項1~8のいずれか一項に記載のプロセス。
  12. 金属オキシハロゲン化物前駆体又は前記金属オキシハロゲン化物前駆体により堆積された中間膜から酸素を除去して表面上に金属膜又は金属窒化物膜を形成するためのプロセスであって、
    前記金属オキシハロゲン化物前駆体又は前記金属オキシハロゲン化物前駆体により堆積された前記中間膜と親オキソ性試薬とを反応させて金属中間体を形成することと、
    気相堆積プロセスを介して還元剤により前記表面上の前記金属中間体を金属膜又は金属窒化物膜に還元することと、
    を含み、ただし、
    前記金属オキシハロゲン化物前駆体は、M(a)(式中、Mは、Mo、W、V、Nb、Ta、及びCrであり、Xは、Cl、Br、又はIから選択されるハロゲンであり、aは、Mの酸化状態であり、且つaは、3~6の範囲内の整数であり、cは、0<c<6の数であり、eは、0<e<3の数であり、c+2e=aである)であり、且つ
    前記親オキソ性試薬は、N(b)(式中、Nは、遷移金属ハロゲン化物若しくはオキシハロゲン化物、又は第14若しくは15族ハロゲン化物、オキシハロゲン化物、若しくは酸化物であり、Xは、Cl、Br、又はIから選択されるハロゲンであり、bは、Nの酸化状態であり、且つbは、3~6の範囲内の整数であり、dは、0≦d<6の数であり、fは、0<f<3の数であり、d+2f=bである)であり、
    Nの親オキソ性はMの親オキソ性よりも高く、
    前記親オキソ性試薬及び前記親オキソ性試薬の生成物は揮発性である、
    プロセス。
  13. 前記金属オキシハロゲン化物前駆体が、MoOCl、MoOCl、WOCl、WOCl、NbOCl、TaOCl、及びCrOClから選択され、且つ
    前記親オキソ性試薬が、以下:
    a. 第14族含有:CCl、CBr、COCl、CO、R-N=C=O(式中、Rは、H、又はMe、Et、iPr、nPr、iBu、tBu、sBu、nBuから選択されるアルキル基である)、又はSi(式中、x=1~5であり、y及びz=0~12であり、y+z≦2×+2であり、Xは、Cl、Br、Iから選択されるハロゲンであり、Rは、H、飽和若しくは不飽和炭化水素基、アミノ基、アルコキシ基、又はシリル基である)、
    b. 第15族含有:NO、NO、PCl、PBr、PI、或いは
    c. 遷移金属含有:VCl、VCl、NbCl、TaCl、WCl、WCl、WOCl、又はWCl
    から選択される、請求項12に記載のプロセス。
  14. 前記気相堆積プロセスが、485℃未満の堆積温度での熱CVD若しくはALD又はプラズマ増強CVD若しくはALDである、請求項12又は13に記載のプロセス。
  15. 金属オキシハロゲン化物前駆体又は前記金属オキシハロゲン化物前駆体により堆積された中間膜の脱酸素化により気相堆積プロセスで金属膜又は金属窒化物膜を形成するための試薬であって、前記金属オキシハロゲン化物前駆体は、一般式:M(a)(式中、Mは、Mo、W、V、Nb、Ta、及びCrであり、Xは、Cl、Br、又はIから選択されるハロゲンであり、aは、Mの酸化状態であり、且つaは、3~6の範囲内の整数であり、cは、0<c<6の数であり、eは、0<e<3の数であり、c+2e=aである)を有し、
    前記試薬は、
    一般式:N(b)(式中、Nは、遷移金属ハロゲン化物若しくはオキシハロゲン化物、又は第14若しくは15族ハロゲン化物、オキシハロゲン化物、若しくは酸化物であり、Xは、Cl、Br、又はIから選択されるハロゲンであり、bは、Nの酸化状態であり、且つbは、3~6の範囲内の整数であり、dは、0≦d<6の数であり、fは、0<f<3の数であり、d+2f=bである)を有する親オキソ性試薬、
    を含み、
    ただし、前記親オキソ性試薬のNの親オキソ性は、前記金属オキシハロゲン化物前駆体のMの親オキソ性よりも高く、
    前記親オキソ性試薬及び前記親オキソ性試薬の酸素化生成物は揮発性である、
    試薬。
JP2023553150A 2020-03-05 2022-03-04 薄膜堆積プロセスで金属オキシハロゲン化物前駆体から酸素を除去するための試薬 Pending JP2024508881A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062985627P 2020-03-05 2020-03-05
US17/193,046 2021-03-05
US17/193,046 US11821080B2 (en) 2020-03-05 2021-03-05 Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes
PCT/US2022/018899 WO2022187616A1 (en) 2020-03-05 2022-03-04 Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes

Publications (1)

Publication Number Publication Date
JP2024508881A true JP2024508881A (ja) 2024-02-28

Family

ID=77556479

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023553150A Pending JP2024508881A (ja) 2020-03-05 2022-03-04 薄膜堆積プロセスで金属オキシハロゲン化物前駆体から酸素を除去するための試薬

Country Status (7)

Country Link
US (1) US11821080B2 (ja)
EP (1) EP4301896A1 (ja)
JP (1) JP2024508881A (ja)
KR (1) KR20230152748A (ja)
CN (1) CN117120660A (ja)
TW (1) TWI801152B (ja)
WO (1) WO2022187616A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210058938A (ko) 2018-09-19 2021-05-24 인터디지털 브이씨 홀딩스 인코포레이티드 픽처 인코딩 및 디코딩을 위한 방법 및 디바이스
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
WO2023229953A1 (en) * 2022-05-23 2023-11-30 Lam Research Corporation In situ treatment of molybdenum oxyhalide byproducts in semiconductor processing equipment

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4668528A (en) 1986-04-09 1987-05-26 Massachusetts Institute Of Technology Method and apparatus for photodeposition of films on surfaces
AU1077299A (en) 1997-10-10 1999-05-03 Midwest Research Institute Plasma enhanced chemical vapor deposition (pecvd) method of forming vanadium oxide films and vanadium oxide thin-films prepared thereby
JP2000114369A (ja) 1998-10-05 2000-04-21 Sony Corp 金属膜の形成方法および電子装置の製造方法
US7579285B2 (en) 2005-07-11 2009-08-25 Imec Atomic layer deposition method for depositing a layer
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10121671B2 (en) * 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
JP6793243B2 (ja) 2016-07-14 2020-12-02 インテグリス・インコーポレーテッド MoOCl4を使用することによるCVD Mo堆積
US9960033B1 (en) 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US11295980B2 (en) * 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190067003A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
KR20200079339A (ko) * 2017-11-20 2020-07-02 램 리써치 코포레이션 자기 제한 성장
US10553023B2 (en) 2018-04-03 2020-02-04 Sap Se System and method for determining alpha values for alpha shapes
WO2019209289A1 (en) 2018-04-25 2019-10-31 Entegris, Inc. Low temperature molybdenum film depositon utilizing boron nucleation layers
US11021793B2 (en) 2018-05-31 2021-06-01 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Group 6 transition metal-containing compositions for vapor deposition of group 6 transition metal-containing films
TW202020203A (zh) 2018-07-26 2020-06-01 美商蘭姆研究公司 純金屬膜的沉積
US20200131628A1 (en) 2018-10-24 2020-04-30 Entegris, Inc. Method for forming molybdenum films on a substrate
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7117336B2 (ja) 2020-01-30 2022-08-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置

Also Published As

Publication number Publication date
TWI801152B (zh) 2023-05-01
TW202235376A (zh) 2022-09-16
KR20230152748A (ko) 2023-11-03
WO2022187616A1 (en) 2022-09-09
US11821080B2 (en) 2023-11-21
US20210277517A1 (en) 2021-09-09
CN117120660A (zh) 2023-11-24
EP4301896A1 (en) 2024-01-10

Similar Documents

Publication Publication Date Title
JP7320544B2 (ja) Si含有膜形成組成物およびその使用方法
EP2174942B1 (en) Niobium and vanadium organometallic precursors for thin film deposition
KR101656890B1 (ko) 원자 층 증착(ald) 공정을 사용하는 기재 위 티타늄-함유 층 제조 방법
US11821080B2 (en) Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
KR20060134180A (ko) 루테늄 막 및 산화루테늄 막의 제조 방법
TW200927981A (en) Preparation of metal-containing film via ALD or CVD processes
US9085823B2 (en) Method of forming a tantalum-containing layer on a substrate
JP2018503247A (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物
KR20140116852A (ko) 니켈-함유 필름의 증착을 위한 니켈 알릴 아미디네이트 전구체
TWI756699B (zh) 用於薄膜沉積的新穎第v及vi族過渡金屬前驅物
JP2018502449A (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物
JP2024520373A (ja) 安定性ビス(アルキル-アレーン)遷移金属錯体、及びそれを用いた膜堆積方法
TWI756959B (zh) 膜或塗層之方法
EP2065390A1 (en) Metal organic compounds containing an amidinate ligand and their use for vapour phase deposition of metal containing thin films
US20210032275A1 (en) Cyclic germanium silylamido precursors for ge-containing film depositions and methods of using the same
CN113169070A (zh) 在550℃或更高的温度下使用ALD沉积含Si膜的前体和工艺
WO2023182336A1 (ja) 化学気相堆積用化合物および金属含有膜の形成方法
WO2024097547A1 (en) High purity alkynyl amines for selective deposition
TW202419661A (zh) 用於選擇性沉積的高純度炔基胺
TW202402774A (zh) 供化學氣相沉積(cvd)及原子層沉積(ald)應用之具有磷基配位體之金屬羰基錯合物
WO2023027816A1 (en) Silicon precursors
WO2023122471A1 (en) Homoleptic bismuth precursors for depositing bismuth oxide containing thin films
EP2902397A1 (en) Novel dithiocarbamate niobium organic precursors and their use for vapor phase deposition of niobium containing films
EP2902393A1 (en) Novel dithiocarbamate vanadium organic precursors and their use for vapor phase deposition of vanadium containing films

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230929

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20231003

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20231020