JP2013507008A5 - - Google Patents

Download PDF

Info

Publication number
JP2013507008A5
JP2013507008A5 JP2012532310A JP2012532310A JP2013507008A5 JP 2013507008 A5 JP2013507008 A5 JP 2013507008A5 JP 2012532310 A JP2012532310 A JP 2012532310A JP 2012532310 A JP2012532310 A JP 2012532310A JP 2013507008 A5 JP2013507008 A5 JP 2013507008A5
Authority
JP
Japan
Prior art keywords
concave structure
metal
continuous
gas
depth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012532310A
Other languages
English (en)
Other versions
JP2013507008A (ja
Filing date
Publication date
Priority claimed from US12/571,162 external-priority patent/US8076241B2/en
Application filed filed Critical
Publication of JP2013507008A publication Critical patent/JP2013507008A/ja
Publication of JP2013507008A5 publication Critical patent/JP2013507008A5/ja
Pending legal-status Critical Current

Links

Description

【書類名】明細書
【発明の名称】 凹構造内における連続的ルテニウム膜上の多重段階銅鍍金方法。
【技術分野】
【0001】
本発明は集積回路製造における金属鍍金の分野に関し、さらに詳しくは、ルテニウム(Ru)膜を蒸着利用して銅(Cu)金属で凹構造を鍍金する方法に関する。
【背景技術】
【0002】
集積回路(IC)は様々な半導体機器と、半導体機器に電力を供給してそれら半導体機器(semiconductor device)に情報を共有及び交換をさせる複数の誘電金属経路(conductive metal path)とを備えている。集積回路の内部では、金属層(metal layer)が金属間層(internal layer)または層間誘電(interlayer dielectric layer)を用いて載積され、各金属層隔離されている。通常、各金属層は少なくとも1つの付加金属層に対し電気的接続(electrical contact)を形成する必要がある。このような電気的接続の形成は、金属層を分離している層間誘電層をエッチング処理して孔状部(例えばビア)を設け、このビアに金属を充填させて相互接続構造を構成することにより行われている層間誘電層においてエッチング処理された経路部は、一般的に金属層で充填されている。ビアは通常、層間誘電層において形成された凹構造の全て、すなわち、孔状構造、線状構造、は他の類似構造といったものを意味している。ビアが層間誘電層内に形成されると、層間誘電層の下にある電(conductive layer)への電気的接続が形成される。なお類似のものとしては、二つ以上のビアを接続する複数の金属層からなる凹構造があるが、これは通常、トレンチと呼ばれている。
【0003】
集積回路のテクノロジーが持続的な発展を遂げるなか、集積回路面積を縮小化することが長きにわたり追及されてきた。こうした集積回路面積の縮小化は集積回路の占めるスペース(area capacitance)を減少させるもので、集積回路の高速性能(high speed performance)を実現する上で不可欠である。さらに、集積回路ダイ(IC die)の面積の減少は集積回路の生産量を向上させるものである。これらの利点により、集積回路の小型化が継続的に進められている。装置の性能の向上は、通常、装置面積の縮小や装置の密集状態が高まることに伴って実現される。装置の密集状態が向上するには、より高いアスペクト比(例えば幅に対する深さの比)を含め、相互接続(interconnect)の形成に用いられるビアのサイズ縮小が必要である。回路基板(ウエハ)上での最小加工寸法が絶えず減少すると、小型化による結果として様々なことが明らかになってくる。例えば、凹構造が小さくなってゆくにつれ、凹構造に充填されたバルク金属(bulk metal)に、微細気泡(micro−void)が形成されてしまうようになる。金属製配線の幅をより小さいサブミクロンサイズや、さらにはナノメーターサイズに縮小すると、エレクトロマイグレーション破損(electromigration failure)が生じ、金属製配線(metal line)がオープンになり電気が送られなくなるといった問題が発生することはよく知られている。また、金属製配線が小型化すると金属製配線の抵抗率が実質的に増し、その結果、回路の性能が損なわれることもある。
【0004】
集積回路を製造する多層メタライゼーション(multilayer metallization scheme)法にCu金属が用いられるようになったのは、ダマスク構造のCu鍍金処理によるが、現在は先端のマイクロプロセッサーや特定用途回路(application−specific circuit)の製造者により広く用いられている。しかしながら、Cu金属は誘電物質に対して粘着度が小さく、シリコンや誘電物質といった通常用いられる集積回路材料においてCuは中間バンドギャップ不純物(mid−bandgap impurity)である。Cu金属はそうした材料内へ直ちに拡散してしまうのだが、そのために、誘電物質と直接接し続けた状態を保つことは難しい。さらに、酸素が含酸素誘電物質からCuに拡散し、これによってCu金属の電導率が減少してしまう。そこで、拡散バリヤ物質(diffusion barrier material)誘電物質や集積回路内の他の物質上に形成してCu金属を囲み込み、これによりCuが集積回路に拡散していくのを妨いでいる。
【発明の概要】
【発明が解決しようとする課題】
【0005】
ウエハ基板上に蒸着された薄膜のRu膜は、集積回路製造においてCu鍍金に用いられる。従来技術において、誘電物質上もしくは拡散バリア物質へのRuの蒸着には、解決すべき課題があった。化学蒸着(CVD)や原子層蒸着(ALD)による薄膜のRu膜の蒸着では、膜形状の悪化がしばしば見られたのである。さらに、薄膜のRu膜上に直接Cu鍍金することもまた、これまで問題点が指摘されてきた。通常のRu膜に直接鍍金されたCuは、Ru膜に対する粘着性が低く、また、膜内に含有された不純物が原因と思われるが、Ru膜の成長が非連続となり、及び/又はRu膜の形状/表面粗さが悪化することがしばしばあった。したがって、鍍金されたCuが基板上で不均一に蒸着してしまい、微細気泡を発生させずに高アスペクト比の構造を充填することは困難であった。さらに、Cu材料全体においてCu粉を大きく成長させ、これによってCu物質の電気抵抗を減少させるため、Cu鍍金後にアニーリング処理が慣習的に行われるが、幅が10nm未満(1nm=10−9m)で高アスペクト比の凹構造を得ることは極めて困難であった。さらに凹構造が小さくなるにつれ、大きなCu粉でその構造を充填することはより難しくなる。また将来、半導体機器は縮小化が継続し、凹構造の幅は狭まり続け、深さは増大し続けることが予想される。
【0006】
こうした問題を解決するために、狭く高アスペクト比の凹構造Cu鍍金と一体化可能で表面粗さの低い高純度の連続的Ru膜の蒸着が必要である。
【課題を解決するための手段】
【0007】
先端の集積回路に見られる凹構造内で、連続的のRu金属フィルム上に多重段階にCu金属鍍金を行うための方法が提供される。高純度の連続的Ru金属膜を使用すると、トレンチやビアといった高アスペクト比の凹構造をCu金属が充填する間、不要な微細気泡の形成を防ぐことができるとともに、Ru金属膜上に鍍金形成された連続的のCu金属層(Cuシード層)を含むサイズの大きいCu金属粒の形成が可能になる。また、前記Cu金属粒により、Cuで充填された凹構造の電気抵抗を低下させ、集積回路の信頼性を増大させる。
【0008】
上記課題を解決するために、本発明の第1の実施形態によれば、本発明の方法は、表面上に形成された少なくとも1つの凹構造を有する基板を供給する供給工程と、Ru(CO)12前駆体を含むプロセスガスを用いた熱化学蒸着法(TCVD)で連続的Ru金属膜を前記凹構造内に蒸着させる蒸着工程と、前記Ru金属膜をCu鍍金槽と接触させることで、前記Ru金属膜上に連続的Cu金属層を蒸着させ、前記Ru金属膜と前記Cu金属層ともに前記凹構造の幅、深さ及び体積の100%未満を充填る接触工程とを備えている。本実施形態の方法はさらに、前記Cu鍍金槽から前記基板を取出す取出し工程と、前記連続的Cu金属層を非酸化性ガスの中でアニーリングするアニーリング工程と、前記接触工程、前記取出し工程、及び、前記アニーリング工程を反復ことにより、少なくとも一部のCu金属が前記凹構造内を充填し、前記アニーリング済のCu金属層と前記アニーリング済付加Cu金属より前記Ru金属膜上に形成されたCu金属粒を含有する反復工程とを備えている。前記蒸着工程には、一酸化炭素キャリアガスを用いた熱化学蒸着法により、実質的に酸素及び炭素を含まない連続的Ru金属膜を蒸着することが含まれていてもよい。
【0009】
本発明の第2の実施形態によれば、本発明の方法は、表面上に形成された少なくとも1つの凹構造を有する前記基板を供給する供給工程と、Ru(CO)12前駆体と一酸化炭素とを含むプロセスガスを用いた熱化学蒸着法により、実質的に酸素及び炭素を含まない連続的Ru金属膜を前記凹構造内で蒸着させる蒸着工程と、前記Ru金属膜を第1Cu鍍金槽と接触させることで、前記Ru金属膜上に連続的Cu金属層を蒸着させる接触工程と、前記第1Cu鍍金槽から前記基板を取出す取出し工程と、前記連続的Cu金属層を非酸化性ガスの中でアニーリングするアニーリング工程とを備える。前記Ru金属膜と前記Cu金属層はともに、前記少なくとも1つの凹構造の幅、深さ及び体積の100%未満である第1幅、第1深さ及び第1体積で前記凹構造を充填する。本実施形態の方法はさらに、前記アニーリング済のCu金属層を第2Cu鍍金槽と接触させることで、前記凹構造を少なくとも部分的に充填する付加Cu金属層を蒸着させる接触工程と、前記第2Cu鍍金槽から前記基板を取出す取出し工程と、前記付加Cu金属層を非酸化条件下においてアニーリングするアニーリング工程を備えている。本実施形態において、第2Cu鍍金槽は前記第1Cu鍍金槽とは異なった化学物質を含み、前記付加Cu金属層は前記Cu金属層よりも速く蒸着し、さらに前記付加金属層はさらに前記凹構造の幅、深さ及び体積の100%以下である前記第1幅、第1深さ及び第1体積よりも大きい第2幅、第2深さ、第2体積で前記凹構造を充たし、本実施形態の方法により、前記Ru金属膜上において前記アニーリング済Cu金属層と前記付加Cu金属層からCu金属粒が形成される。
【0010】
本発明の第3の実施形態に関し、本発明の方法は部分的に組み立てられた集積回路におけるダマスク構造を充填するためのものである。前記第3の実施形態の方法は、Ru(CO)12前駆体と一酸化炭素キャリアガスとを含むプロセスガスを用いた熱化学蒸着法により、実質的に酸素及び炭素を含まない連続的Ru金属膜を、前記部分的に製造された集積回路の少なくとも1つの凹構造内で拡散バリヤ層(diffusion barrier layer)上に蒸着させる蒸着工程と、前記基板の温度が100℃以上500℃以下のときに、不活性ガス、水素ガス、もしくは両者の組合せを含む非酸化性ガス内で前記Ru金属膜をアニーリングするアニーリング工程とを備えている。本実施形態の方法はさらに、前記一部組み立てられた集積回路の少なくとも一部若しくは全体を第1Cu鍍金槽内で浸漬させて、前記Ru金属膜上に連続的Cu金属層を蒸着させる浸漬工程と、前記一部製造された集積回路を前記第1Cu鍍金槽から取出す取出し工程と、前記基板の温度が100℃以上500℃以下のときに、不活性ガス、水素ガス、もしくは両者の組合せを含む非酸化性ガス内で前記Cu金属層をアニーリングするアニーリング工程とを備えている。前記Ru金属膜と前記Cu金属層はともに、前記凹構造の幅、深さ、体積の100%未満である第1幅、第1深さ、第1体積で前記凹構造を充填する。本実施形態の方法はさらに、前記一部製造された集積回路の少なくとも一部又は全体を第2Cu鍍金槽内で浸漬させ、前記凹構造の幅、深さ、体積以下であ第1幅、第1深さ、第1体積よりも大きい第2幅、第2深さ、第2体積で、前記凹構造を充填する付加Cu金属を蒸着させる浸漬工程と、前記一部製造された集積回路を前記第2Cu鍍金槽から取出す取出し工程と、前記基板の温度が100℃以上500℃以下のときに、不活性ガス、水素ガス、もしくは両者の組合せを含む非酸化性ガス内で前記付加Cu金属をアニーリングし、前記Ru金属膜上において前記アニーリング済Cu金属層と前記アニーリング済付加Cu金属とからCu金属粒を形成するアニーリング工程とを備えている
【図面の簡単な説明】
【0011】
【図1A】本発明の実施形態による、凹構造内における連続的Ru金属膜へのCu鍍金プロセスを概略的に示す断面図である。
【図1B】本発明の実施形態による、凹構造内における連続的Ru金属膜へのCu鍍金プロセスを概略的に示す断面図である。
【図1C】本発明の実施形態による、凹構造内における連続的Ru金属膜へのCu鍍金プロセスを概略的に示す断面図である。
【図1D】本発明の実施形態による、凹構造内における連続的Ru金属膜へのCu鍍金プロセスを概略的に示す断面図である。
【図1E】本発明の実施形態による、凹構造内における連続的Ru金属膜へのCu鍍金プロセスを概略的に示す断面図である。
【図1F】本発明の実施形態による、凹構造内における連続的Ru金属膜へのCu鍍金プロセスを概略的に示す断面図である。
【図1G】本発明の実施形態による、凹構造内における連続的Ru金属膜へのCu鍍金プロセスを概略的に示す断面図である。
【図1H】本発明の実施形態による、凹構造内における連続的Ru金属膜へのCu鍍金プロセスを概略的に示す断面図である。
【図1I】本発明の実施形態による、凹構造内における連続的Ru金属膜へのCu鍍金プロセスを概略的に示す断面図である。
【図1J】本発明の実施形態による、凹構造内における連続的Ru金属膜へのCu鍍金プロセスを概略的に示す断面図である。
【図1K】本発明の実施形態による、凹構造内における連続的Ru金属膜へのCu鍍金プロセスを概略的に示す断面図である。
【図1L】本発明の実施形態による、凹構造内における連続的Ru金属膜へのCu鍍金プロセスを概略的に示す断面図である。
【図2A】本発明の実施形態により追加的に用いられる凹構造の概略断面図である。
【図2B】本発明の実施形態により追加的に用いられる凹構造の概略断面図である。
【図3】本発明の実施形態により、凹構造において連続的Ru金属膜上にCu鍍金するフローチャートである。
【図4】本発明の実施形態により、凹構造において連続的Ru金属膜上にCu鍍金するフローチャートである。
【図5A】 連続的Ru金属膜上に物理蒸着方(PVD)により蒸着されたCuシード層を含むCu充填トレンチを側面側から撮影した、Cu充填トレンチの透過型電子顕微鏡写真(TEM)である。
【図5B】 連続的Ru金属膜上に物理蒸着方(PVD)により蒸着されたCuシード層を含むCu充填トレンチを側面側から撮影した、Cu充填トレンチの透過型電子顕微鏡写真(TEM)である。
【図5C】本発明の実施形態による連続的Ru金属膜上のCuシード層を含むCu充填トレンチを側面側から撮影した、Cu充填トレンチの透過型電子顕微鏡写真(TEM)である。
【図6】本発明の実施形態により、Ru金属膜を蒸着するための熱化学蒸着(TCVD)装置の概略図である。
【図7】本発明の実施形態により、Ru金属膜を蒸着するための別の熱化学蒸着(TCVD)装置の概略図である。
【図8】本発明の実施形態により、Cu鍍金及びアニーリングを行なうための処理装置の概略図である。
【発明を実施するための形態】
【0012】
以下に記載される様々な実施形態において、先端の集積回路に見られる凹構造内で、Ru金属膜上にCu金属を鍍金する方法が開示される。連続的Ru金属膜を利用する場合、トレンチやビアといった高アスペクト比の凹構造Cu金属により充填されてゆくにおいて、不要な微細気泡の形成が一切なくなるか、は少なくとも実質的に抑制される。凹構造においてRu金属膜に薄膜の連続的Cu金属膜が鍍金形成され、Ru金属膜はCu金属膜に対し極めて持続性の高い付着力を持つ。この持続性のある付着力により、予期せずして凹構造Cu粒子が入り込み、前記Cu金属膜をも含め凹構造にあるすべてのCu金属を含むサイズの大きなCu粒が成長する。このCu粒によって凹構造が充填され、その結果、凹構造の電気抵抗が低下し、集積回路の信頼性が向上する。
【0013】
本発明の実施形態を、図1〜図8を用いて以下に記載する。図1及び2は集積回路装置に見られる凹構造を図示してたものであり、実際の集積回路装置の一部を実際の平面図や断面図として示したものではない。実際の集積回路装置では、膜や層は整然としているわけではなく、厚みにはムラがある。あくまでもこれらの図は理想的な表現であり、これらを用いない場合よりも本発明の実施形態をより明確かつより十分に説明するために採用されたものである。
【0014】
従ってこれらの図は、本発明の実施形態を用いて製造されうる装置の無数のバリエーションの1つであるに過ぎない。本明細書では図1〜図8を参照して装置製造の工程が記載されるが、それにもかかわらず、本発明の実施形態と一致する方法、ここにおいて詳細に記載されたものと大きく異なった構造、装置、製造工程を用いて実施されることは明確である。ここに記載される実施形態は一例であり、特許請求の範囲で定義される本発明の範囲が制限されることを主張するものではない。
【0015】
本明細書において「一実施形態は「ある実施形態」が言及されるが、これらは、本実施形態と関連づけて記載された特別な特徴、構造、物質は性格が、本発明の少なくとも一実施形態の中に含まれることを意味するが、それらがどの実施形態にも存在することを表しているわけではない。従って、本明細書の様々なところにある「一実施形態」や「ある実施形態」という表現の意味は、必ずしも本発明の同一の実施形態に言及するものではない。
【0016】
図1Aは技術において公知である簡易化された凹構造をの概略図である。
【0017】
図1B〜図1Lは本発明の実施形態による、凹構造内における連続的Ru膜へのCu鍍金プロセスを概略的に示す断面図である。
【0018】
図1Aは製造の途中段階にある集積回路の部205を概略的に示す断面図である部205には、表面203によって凹構造206を囲みこむ層210が現れている。層210としては、例えばシリコン基板や誘電層がある。層210の含有物としては、例えばフッ化シリコンガラス(FSG)、炭素ドーブシリコン酸化物(例えばCORALTM)、炭素ドーブ窒化ケイ素、炭酸化酸化ケイ素(SiCOH)、炭酸化酸化ケイ素含有低誘電率材料などのような低誘電率(low−κ)材料のほか、二酸化ケイ素(SiO)、非多孔質低誘電率物質、多孔質低誘電率物質、CVD低誘電率物質、スピノン誘電性(SOD)低誘電率物質、は他の適切なすべての誘電材料がある。層210はシリコン含有誘電物質の代わりに、又はそれに加えて、例えばSILKTMといった市販の高分子炭素−水素−酸素含有誘電物質といった非シリコン含有誘電物質を含んでいてもよい。
【0019】
凹構造206は、例えば約2:1以上、すなわち、例えば3:14:1,5:1,6:1,12:1,15:1はそれより大きな高アスペクト比のトレンチ又はビアであってもよい。トレンチビアの幅は約200nm以下で、例えば150 nm100 nm65 nm45 nm32 nm22 nmはそれより低くてもよい。しかしながら、本発明の実施形態は上記のアスペクト比、及び、トレンチビアの幅に制限されるものではなく、ほかのアスペクト比、及び、トレンチビアの幅を用いてもよい。また、図1Aでは明確さのために1つの凹構造206のみが図示されているが、本技術分野の当業者であれば、一般的な集積回路が多数の凹構造を有しており、本発明の実施形態凹構造をいくつも有している集積回路に対して直ちに応用できることは、すぐに理解できるであろう。なお、凹構造の追加例が図2A及び図2Bにおいて示されている。
【0020】
図1Bは、図1Aの凹構造206内において形成された拡散バリヤフィルム(diffusion barrier film)212を含む凹構造207を示している。拡散バリヤフィルム212には、例えば、タンタル(Ta)含有膜(例えば、タンタル(Ta)、窒化タンタル(TaN)、炭化タンタル(TaC)、炭窒化タンタル(TaCNを含有))、チタン含有膜(例えばチタン(Ti)、窒化チタン(TiN)、炭化チタン(TiC)、炭窒化チタン(TiCN)を含有)、タングステン(W)含有膜(例えば、タングステン(W)、窒化タングステン(WN)、炭化タングステン(WC)、炭窒化タングステン(WCN)を含有)、はこれらの組合せが含まれてもよい。組合せとしては、Ta、TaN、Ti、TiN、及びWNを二つ以上含んでいてもよく、例えば、Ta/TaN、Ti/TiN、TaN/TiN、又はTaN/WNの各組み合わせでもよい。拡散バリヤフィルム212の厚みは、例えば、約1nmから約10nm、約2nmから約5nm、例えば約4nmであってもよい。拡散バリヤフィルム212は、物理蒸着法(PVD)イオン化物理蒸着法iPVD)熱化学蒸着法パルス化学蒸着法プラズマ増強化学蒸着法PECVD)原子層堆積法(ALD)プラズマ増強原子層堆積法PEALD)、はスパッタ法といった、本技術分野の当業者公知のさまざまな蒸着方法によって、フィルム蒸着装置のなかで蒸着されてもよいが、蒸着方法はこれらに限定されるものではない。例えば、拡散バリヤフィルム212は高アスペクト比の凹構造207において均等に形成されてもよい。
【0021】
拡散バリヤフィルム212の蒸着には、多種多様なタンタル含有前駆体、チタン含有前駆体、及びタングステン含有前駆体が用いられている。タンタル含有前駆体の代表的な例としてはTa(NMe ペンタキス(ジメチルアミド)タンタル、PDMAT)Ta(NEtMe) ペンタキス(エチルメチルアミド)タンタル、PEMAT)、(BuN)Ta(NMe(tert−ブチリミド・トリス(ジメチルアミド)タンタル、TBTDMT)BuN)Ta(NEt tert−ブチリミド・トリス(ジエチルアミド)タンタルTBTDET)BuN)Ta(NEtMe) tert-ブチリミド・トリス(エチルメチルアミド)タンタル、TBTEMT)(EtMeCN)Ta(NMe tert-アミリミド・トリス(ジメチルアミド)タンタル、TAIMATA)、(PrN)Ta(NEt イソプロピリミド・トリス(ジエチルアミド)タンタル、IPTDET)、Ta(OEt)10 タンタルペンタエトキシド、TAETO)、(MeNCHCHO)Ta(OEt) ジメチルアミノエトキシタンタル・テトラエトキシド、TATDMAE)、及び、TaCl 五塩化タンタル)がある。チタン含有前駆体の代表的な例としては、Ti(NEt テトラキス(ジエチルアミド)チタン、TDEAT)、Ti(NMeEt) テトラキス(エチルメチルアミド)チタン、TEMAT)Ti(NMe テトラキス(ジメチルアミド)チタン、TDMAT)、Ti(THD)(トリス(2,2,6,6−テトラメチル-3,5−ヘプタンジオナート)チタン)、及び、TiCl 四塩化チタン)がある。タングステン含有前駆体の代表的な例としては、W(CO)(タングステンヘキサカルボニル)、WF(六フッ化タングステン)、及び、(BuN)W(NMe(ビス(tert−ブチリミド)ビス(ジメチルアミド)タングステン、BTBMW)がある。これらの前駆体において、以下の略記が用いられているすなわち、Me(メチル)、Et(エチル)、Pr(イソプロピル)、Bu(tert−ブチル)、及び、THD(2,2,6,6−テトラメチル−3,5−ヘプタンジオナート)である。実施形態よっては、アンモニア(NH ) やヒドラジン( )といった窒素含有ガスが、拡散バリヤフィルム212の蒸着の際に窒素源として利用されていてもよい。また、本発明のいくつかの実施形態によれば、拡散バリヤフィルム212が省略されてもよい。
【0022】
図1Cは、凹構造207のオプショナルな拡散バリヤフィルム212上に形成されたRu金属膜214を含む凹構造208を示しているRu金属膜214の厚みは、例えば、約0.5nmから約10nmの、約2nmから約5nmのは、約3nmから4nmの、例えば2nmであってもよい。
【0023】
Ru金属膜214はドデカカルボニル三Ru前駆体(以下、Ru(CO)12前駆体)や一酸化炭素キャリアガスを用いた化学蒸着処理(CVD)や熱化学蒸着処理(TCVD)によって蒸着されてもよい。一酸化炭素キャリアガスは、金属前駆体気化装置から基板上へのRuE金属膜の蒸着のために設置された処理室までRu(CO)12前駆体気化ガスを効率的に送るために用いられる。一酸化炭素キャリアガスを使用すると、金属前駆体気化装置において、及び、金属先駆体気化装置からRu金属蒸着室までのガスラインにおいて、Ru (CO) 12 前駆体の早期蒸着を防いだり抑制することにより、半導体製造において求められる搬送効率での気化Ru(CO)12前駆体の搬送が可能になる。Ru(CO)12前駆体と一酸化炭素キャリアガスを用いる典型的なRu熱化学蒸着処理は、米国特許No.7,270,848及びNo.7,279,421に記載されており、これらに記載されたすべての内容が援用される。
【0024】
Ru金属膜214を蒸着する処理条件としては、基板温度が約100℃から約400℃のは、約150℃から約250℃のであり、蒸着チャンバ内の圧力が約0.1mTorrから約200mTorrの、約5mTorrから約200mTorrのは、約50mTorrから約150mTorrのである。金属前駆体気化装置において気化される固体のRu(CO)12前駆体は、温度が約40℃から約150℃のに、は、約60℃から約90℃のに保たれる。例えば、Ru金属蒸着条件が、固体であるRu(CO)12前駆体の温度を約80℃未満に保つこと、蒸着チャンバの圧力が約100mTorrに保つこと、及び、基板温度を約200℃に保つことを含んでいてもよい。
【0025】
熱化学蒸着処理においてRu(CO)12前駆体を用いると、半導体の製造によく適する実質的に酸素及び炭素を含まない連続的Ru膜を蒸着することができるようになる。ある例では2nmの厚みを持つRu金属膜が窒化タンタル膜上に蒸着されていた。二次イオン質量分析法(SIMS)により測定したところ、Ru金属膜において酸素及び炭素不純物レベルが約0.1%であり、X線解析(XRD)で測定したところRu粒の平均の大きさは約1.9nmであり、原子間力顕微鏡(AFM)を用いて測定したところ、二次平均平方根(RMS)表面粗さは約0.63nmであった。
【0026】
本発明のいくつかの実施形態によれば、蒸着されたRu金属膜214は任意で非酸化ガスのなかでアニーリングされてもよく、アニーリングをえば酸素不純物や炭素不純物が減少し、Ru金属膜214の材料特性が改善される。図1Dにおいて、矢印216はRu金属膜214のアニーリングを表している。非酸化ガスは不活性ガス、水素(H)ガス、はこれらの組合せであってもよい。例えば、Ru金属膜214が 水素ガス、または 水素と不活性ガスの組合せからなるH含有ガス内でアニーリングされてもよい。不活性ガスは窒素(Nガス及び希ガス(例えば、ヘリウム、ネオン、アルゴン、クリプトン、及びキセノン)から選ばれてもよい。さらに、水素含有ガスはプラズマ励起状態にあるものであっても、プラズマ励起状態にないものであってもよい。水素と不活性ガスの組合せは、例えば水素の含有率が90%以下、例えば80%、60%、20%、10%、5%もしくはそれ未満でもよく、残りは不活性ガスである。水素含有ガスはフォーミングガスを含んでいてもよく、あるいはまた、フォーミングガスからなるものでもよい。フォーミングガスは通常水素の含有率が約10%よりも低く、残りは窒素ガスである。典型的なアニーリング条件としては、さらに、ガス圧力が約1Torrから約1000Torrのは、約10Torrから100Torrのであり、基板温度が約100℃から約500℃のは、約200℃から約400℃のであり、アニーリング時間が約1分から約30分のである。しかしながら、本発明の実施形態はこれらの条件に限定されず、ほかのアニーリング条件が用いられてもよい。本発明のいくつかの実施形態では、気圧が約0.1Torrから約100Torrのは、約0.5Torrから約10Torrのであってもよい。ある例では、2nmの厚みをもつ上記のRu金属膜がフォーミングガス内において約10分間にわたり1.5Torrと400℃でアニーリングされ、この処理により、酸素不純物や炭素不純物のレベルが0.1%未満までさらに減少した。なお、Ru金属粒の平均サイズは2.4nmに増加し、二次平均平方根表面粗さは0.5nmに減少した。
【0027】
図1Eでは、本発明の実施形態により、図1Dまたは図1Cの凹構造208のRu金属膜214上において鍍金処理により形成された連続的Cu金属層228を含む凹構造209が示されている。凹構造のCu金属層228は、Cu鍍金及びアニーリングのために設けられた処理装置内のCu鍍金槽とRu金属膜214を接触させることによ形成される。このCu鍍金過程は電気化学的Cu鍍金処理過程と非電気的Cu鍍金処理過程であってもよい。Cu金属層228の厚みは約1nmから約50nmの、約2nmから約20nmのは、約2nmから約10nmのであってもよい。いくつかの例では、Cu金属層228の厚みが約2.5nmまたは4nmであってもよい。本発明の実施形態に拠れば、図1Eで示すように、Cu金属層228は凹構造209の一部をCu金属で充填しているに過ぎない。Cu金属層228の形成が終わるとそれに続き、部205は第1Cu鍍金槽から取除かれる。
【0028】
本発明の実施形態に拠れば、Ru金属膜214の厚み、及び/は、Cu金属層228の厚みは、凹構造206の幅に比べて小さくてもよい。これによれば、一回若しくはそれよりも多く順次行われるCu鍍金工程において、気泡が生じることなく凹構造209の充填を行うことができる。例えば、Ru金属膜214の厚みが2nmから5nmであり、Cu金属層228の厚みが約2nmから約10nmであり、Ru金属膜214とCu金属層228とを合わせた厚みの合計が約4nmから約15nmである。このとき、凹構造206の幅が45nmであれば、凹構造209におけるRu金属膜214とCu金属層228を合わせたものが、凹構造206の幅の約18%から約67%を占める。Ru金属膜214の厚みが約2nmから約5nmであり、Cu金属層228の厚みが約2.5nmから約4nmのであれば、凹構造209のRu金属膜214とCu金属層228を合わせたものが、凹構造206の幅の約20%から約40%を占める。凹構造206の幅が他の値、例えば32nm、22nmであっても同様の計算が行われてもよい。本発明の実施形態によれば、Ru金属膜214とCu金属層228とを合わせた厚みは、凹構造206の幅の約50%未満、約40%未満、約30%未満、さらには約20%未満を占めてもよい。同様に、凹構造206の深さと体積に関しては、Ru金属膜214とCu金属層228を合わせえたものが、凹構造206の深さと体積の100%未満を占め、例えば約50%未満、約40%未満、約30%未満、さらには約20%未満である。
【0029】
本発明の実施形態によれば、連続的Cu金属膜228の蒸着に続き、不純物の減少やCu粒サイズの増加を含め、Cu金属層228の材料特性の向上を目的として、部205は任意で非酸化ガスのなかでアニーリングされてもよい。図1Fにおいて、矢印236はCu金属層228のアニーリングを表している。例えば、Cu金属層228は、水素ガス、は水素と不活性ガスの組合せからなる水素含有ガス内でアニーリングされてもよい。不活性ガスは窒素(Nガス及び希ガス(例えば、He、Ne、Ar、Kr、及びXe)から選ばれてもよい。さらに、水素含有ガスはプラズマ励起状態にあるものであっても、プラズマ励起状態にないものであってもよい。水素と不活性ガスの組合せは、例えば水素の含有率が90%以下、例えば80%、60%、20%、10%、5%もしくはそれ未満でもよく、残りは不活性ガスである。水素含有ガスはフォーミングガスを含んでいてもよく、あるいはまた、フォーミングガスからなるものでもよい。フォーミングガスは通常水素の含有率が約10%よりも低く、残りは窒素ガスである。典型的なアニーリング条件としては、さらに、ガス圧力が約1Torrから約1000Torrのは、約10Torrから約100Torrのであり、基板温度が約100℃から約500℃のは、約200℃から約400℃のであり、アニーリング時間が約1分から約30分のである。しかしながら、本発明の実施形態はこれらの条件に限定されず、ほかのアニーリング条件が用いられてもよい。本発明のいくつかの実施形態では、ガス圧力が約0.1Torrから約100Torrのは、約0.5Torrから約10Torrのであってもよい。
【0030】
本発明の実施形態によれば、Cu金属層228を含む部205のアニーリングに続き第2Cu鍍金槽内において付加Cu金属層230がアニーリング済Cu金属層248上に鍍金形成されてもよい。図1Gの実施形態では、付加Cu金属層230が凹構造209を充填し、凹構造内の下方付加Cu金属部235と凹構造209の上端より上側の上方付加Cu金属部240とを備えている。上方付加Cu金属部240の厚みは、100nmから2000nmのは、250nmから750nmのであってもよい。
【0031】
本発明のいくつかの実施形態に拠れば、第1及び第2の鍍金槽は同じであってもよい。他の実施形態によれば、第1及び第2の鍍金槽は異なる化学成分を含んでいてもよい。化学成分は例えば、還元剤濃度、界面活性剤濃度、銅イオンのソース及び濃度において1つ以上異なっていてもよい。本発明のいくつかの実施形態によれば、第2Cu鍍金槽では第1Cu鍍金槽よりも銅イオン濃度が高く設定され、第1Cu鍍金槽よりも凹構造内でのCu金属鍍金を迅速に行えるようになっていてもよい。
【0032】
付加Cu金属層230の蒸着に続き、付加Cu金属層230の材料特性をさらに向上させるため、部205は非酸化ガスのなかでアニーリングされてもよい。アニーリングにより、付加Cu金属層230においてサイズの大きいCu粒が形成される。図1Hにおいて、矢印246は付加Cu金属層230のアニーリング、すなわち熱処理を表している。非酸化ガスが不活性ガス、水素ガス、は両者の組合せに含まれていてもよい。例えば、付加Cu金属層230は、水素ガス、または水素と不活性ガスとの組合せからなる水素含有ガス内でアニーリングされてもよい。不活性ガスは窒素(Nガス及び希ガス(例えば、ヘリウム、ネオン、アルゴン、クリプトン、及びキセノン)から選ばれてもよい。さらに、水素含有ガスはプラズマ励起状態にあるものであっても、プラズマ励起状態にないものであってもよい。水素と不活性ガスとの組合せは、例えば水素の含有率が90%以下、例えば80%、60%、20%、10%、5%もしくはそれ未満でもよく、残りは不活性ガスである。水素含有ガスはフォーミングガスを含んでいてもよく、あるいはまた、フォーミングガスからなるものでもよい。典型的なアニーリング条件としては、さらに、ガス圧力が約1Torrから約1000Torrのは、約10Torrから100Torrのであり、基板温度が約100℃から約500℃のは、約200℃から約400℃のであり、アニーリング時間が約1分から約30分のである。しかしながら、本発明の実施形態はこれらの条件に限定されず、ほかのアニーリング条件が用いられてもよい。本発明のいくつかの実施形態では、気圧が約0.1Torrから約100Torrのは、約0.5Torrから約10Torrのであってもよい。
【0033】
図1lはアニーリング後のアニーリング済付加Cu金属層232の概略図である。アニーリング済付加Cu金属層232は、凹構造内においてアニーリングされた下方Cu金属部237を備え、及び凹構造209上端の上方においてアニーリングされた上方Cu金属部242を備えている。アニーリング済付加Cu金属層232にはサイズの大きいCu粒233が含有されており、このCu粒233は、アニーリング済Cu金属層228より得られたCu材料を含んでいる。言い換えれば、Cu粒233が成長したのは、付加Cu金属層232からではなく連続的Cu金属層228からであり、Cu粒233は凹構造209全体においてRu金属膜214上に形成する。凹構造209全体においてアニーリングによるCu粒233の形成が可能になるのは、Cu金属層228の存在のみならず、このCu金属層228がRu金属膜214に対し極めて高い粘着力を維持することによる。一般的には、上方Cu金属部242の厚みが大きくなるほど、凹構造内におけるCu粒233のサイズが大きくなることが観察されている
【0034】
図1Jは、上方CuE金属部242と、アニーリング拡散バリヤフィルム212及びRu金属膜214の一部とが、通常化学機械研磨によって取除かれ平坦表面250が形成された凹部205を示している。
【0035】
図1E、1F、1Kで図示されるように、本発明の他の実施形態によれば、Cu金属層228のアニーリングに続いて、付加Cu金属の鍍金によって凹構造209が一部のみ充填されるだけであってもよい。図1Kは、凹構造Cu鍍金工程の後で付加Cu金属層230aを備えた一部充填の凹構造211を示している。本発明の実施形態によれば、凹構造の付加Cu金属を鍍金することによりCu金属で充填された図1Kにおける凹構造211の深さ及び/は体積に、50%未満、約40%未満、約30%未満、約20%未満、さらには10%未満であってもよい。付加Cu金属層230aのCu鍍金は、凹構造211をさらに充填させるために複数回繰り返されてもよい。各鍍金工程に引き続き、非酸化ガスを用いたアニーリング工程が鍍金処理に引き続いて行われてもよい。
【0036】
図1E、1F,1Lに関し、本発明の他の実施形態によれば、Cu金属層288のアニーリング工程に続き、付加Cu金属の鍍金工程を実施して凹構造209を実質的に充填してもよい。図1Lで図示される実質的に充填された凹構造213は、Cu鍍金工程後付加Cu金属層230bを備えている。本発明の実施形態によれば、図1Lにおける凹構造213は付加Cu金属を鍍金することによりCu金属で充填されており、充填率は、凹構造213の深さ及び/は体積に関し、約50%よりも大きくても、約60%よりも大きくても、約70%よりも大きくても、約80%よりも大きくても、さらには約90%よりも大きくてもよい。付加Cu金属層230bのCu鍍金は、凹構造213をさらに充填るために複数回繰り返されてもよい。各鍍金工程に引き続き、非酸化ガスを用いたアニーリング工程が毎回行われてもよい。
【0037】
このように、すべての実施形態において、鍍金工程により形成された付加Cu金属層230,230a,230bは、Ru金属膜214及びCu金属層228が凹構造を充填する第1幅、第1深さ、第1体積よりも大きい第2幅、第2深さ、第2体積で、凹構造さらに充填している。さらに、凹構造が充填されている第2幅、第2深さ、第2体積は、凹構造の幅、深さ、体積の100%以下であってもよい。さらにまた、第2幅、第2深さ、第2体積は凹構造の幅、深さ、体積の100%未満であってもよく、付加Cu金属の鍍金とアニーリングは、凹構造が第3幅、第3深さ、第3体積でさらに十分に充填されるまで、一回以上必要なだけ反復されてもよく、第3幅、第3深さ、第3体積は、例えば、凹構造の幅、深さ、体積の約90%から100%のである。ある実施形態において、第1幅、第1深さ、第1体積は凹構造の幅、深さ、体積の約20%未満であり、第2幅、第2深さ、第2体積は凹構造の幅、深さ、体積の約20%よりも大きく約50%未満であり、第3幅、第3深さ、第3体積は凹構造の幅、深さ、体積の約100%である。
【0038】
図1Aにおいては簡略化された凹構造206が図示されているが、本発明の実施形態は、集積回路のデザインに見られるのタイプの凹構造に適用される。図2A及び2Bは本発明の実施形態が実施される技術分野で公知である他の凹構造の概略断面図である。図2A及び2Bで示されるパターン構造体を形成する処理方法は、本技術分野の当業者に知られている。本発明の実施形態は、本技術分野において通常の知識を有する者には高い価値を持つため、図2A及び2Bで図示される凹構造に直ちに応用することができる。
【0039】
図2Aは二重ダマスク相互接続構造体の断面図である。二重ダマスク相互接続構造体は、集積回路製造の技術分野にける通常の技術者によってよく知られている。図2Aに図示された二重ダマスク相互接続構造体は、誘電性の相互接続構造体262の上方に形成された二重ダマスク相互接続凹構造(以下、相互接続凹構造)264を有している。相互接続凹構造264は側壁268a及び底面268bを有するビア268と、誘電膜258に形成されたトレンチ266とを備えており、トレンチ266は側壁266a及び底面266bとを備えている。トレンチ266は上方誘電性相互接続構造体に用いられてもよく、ビア268はトレンチ266を相互接続構造体262に接続している。相互接続構造体は、さらに誘電層252,254と、相互接続構造体262を取囲むバリヤフィルム260と、エッチストップ層256を備えている。ある実施形態によれば、相互接続凹構造264におけるバリヤフィルム(図示せず)の蒸着に続き、本発明の方法に従って相互接続凹構造264内にRu金属膜(図示せず)を蒸着する前に、バリヤフィルムの少なくとも一部がプラズマエッチングにより底面268bから取除かれてもよい。プラズマエッチングはRu金属膜を相互接続構造体262に直接接続するために行われるものである。他の実施形態によれば、バリヤフィルムRu金属膜の少なくとも一部がプラズマエッチングにより底面268bから取除かれてもよく、これによ相互接続凹構造264において相互接続構造体262にCu金属層を直接接続される
【0040】
図2Bは、本発明の実施形態が実施される集積回路設計技術の分野で公知であるもう1つのパターン構造体の概略断面図である。このパターン構造体は誘電膜272の内部に形成された凹構造275aと、凹構造275aの底にあるゲート電極276上に形成された誘電層273aとを備えている。ゲート電極276はゲート誘電膜277とともに、ゲート構造体(図示せず)の一部を構成している。ゲート誘電膜277は、SiO 、SiO 、SiN 、又はSiO (κ≒3.9)よりも誘電率の高い高誘電率(High−κ)材料、あるいはまたこれらの組合せを含んでいてもよい。高誘電率材料は、金属酸化物、金属酸窒化物、及びそれらのケイ酸塩が挙げられ、例えば、Ta 、TiO 、ZrO 、Al 、Y 、HfO 、HfSiO 、HfSiO 、HfO 、ZrO 、ZrSiO 、ZrO 、ZrSiO 、TaSiO 、SrO 、SrSiO 、LaO 、LaSiO 、YO 、YSiO 、又はBaO或はまた、これらのうち2つ以上の組合せである。
【0041】
さらに、図2Bにおけるパターン構造体は、誘電層272の内部に形成された凹構造275bと、基板270におけるドープ基板領域271(例えば、ドレーン領域若しくはソース領域)上において凹構造275bの底に形成された誘電層273bとを備えている。基板270は、例えば200mmのシリコンウエハ、300mmのシリコンウエハ、はさらに大きなシリコンウエハであってもよい。誘電膜272は、SiO 、SION、SiN、又はSiO (κ≒3.9)よりも誘電率の低い低誘電率(Low−κ)材料を含んでいてもよい。一般的な低誘電性材料は、Si、O、N、C、H、及び/又はハロゲンの単純化合物は複合化合物を含んでいてもよく、高密度物質でも多孔物質でもよい。本発明の実施形態によれば、凹構造275a、275bは2:1以上のアスペクト比(深さ/幅)のビアであってもよく、アスペクト比は、例えば3:1、4:1、5:1、6:1、12:1、15:1、はさらに大きくてもよい。ビアの幅は約200nm以下であってもよく、例えば150nm、100nm、65nm、45nm、32nm、20nm、はさらに小さくてもよい。例えば、凹構造275a、275bは約7のアスペクト比を有する45nmサイズのビアであってもよい。しかしながら、本発明の実施形態はこれらのアスペクト比はビアの幅に限定されるものではなく、他のアスペクト比もしくはビアの幅のものが用いられてもよい。誘電層273a,273bは安定した薄膜の電気接続を行うケイ化物誘電層であってもよく、例えば、CoSi 、PtSi、Pd Si、TiSi 、WSi 、NiSi 、又はTaSi またはこれらの二種類以上の組合せを含有していてもよい。例えば白金添加ニッケルシリサイドを含む組合せであってもよく、この場合、ニッケルシリサイドよりも高い処理温度で用いることができる。このように、本発明の実施形態は、本技術分野における通常の技術者には高い価値を持つため、図2A及び2Bで図示される凹構造に直ちに応用することができる。
【0042】
図3は本発明の実施形態により、凹構造内で連続的Ru膜上にCuを鍍金するフローチャートである。なお、本出願においてステップという言葉が用いられるが、つのステップがまったく同時に、は一部の時間並行して行われることを排除するものではない。図3において、プロセス300は、表面上に形成された凹構造を有する基板を提供するステップ302を含んでいる。凹構造は、例えばビア、トレンチ、は両者を組み合わせたものであってもよく、シリコン基板や誘電に形成されてもよい。基板表面は露出した拡散バリヤフィルムを有しいる。拡散バリヤフィルム、例えば、Ta、TaN、TaC、TaCN、Ti、TiN、TiC、TiCN、W、WN、WC、又はWCN、もしくはこれらの組合せを含んでいてもよい。
【0043】
ステップ304において、Ru(CO)12前駆体を含むプロセスガスを用いた熱化学蒸着法により、実質的に酸素及び炭素を含まない連続的Ru金属膜が凹構造内で蒸着される。ここで、プロセスガスはさらに一酸化炭素キャリアガスを含んでいても良い。また、Ru金属膜の厚みは、約1nm以上かつ約20nm以下であってもよい。
【0044】
オプショナルなステップ306において、Ru金属膜が非酸化ガス内でアニーリングされても良い。非酸化ガスは不活性ガス、水素ガス、はこれらの組合せであっても良い。
【0045】
ステップ308において、Cu鍍金及びアニーリングを行うために設けられた処理装置(processing system)において、連続的Ru金属膜上に連続的Cu金属層が鍍金形成される。本工程308におけるCu鍍金処理(Cu plating process)は電気化学的Cu鍍金処理でもよく、は、非電気的Cu鍍金処理でも良い。Ru金属膜はしばらくCu鍍金槽との接触が行われ、Cu金属層が蒸着されると、それに続きCu鍍金槽から取除かれる。
【0046】
ステップ310において、Cu金属層は非酸化ガス内においてアニーリングが行われる。非酸化ガスは不活性ガス、水素ガス、はこれらの組合せを含んでいても良い。
【0047】
矢印312によって示されるように、鍍金ステップ308及びアニーリングステップ310は、最初に蒸着されアニーリングされた連続的Cu金属層と、その後に蒸着されアニーリングされた付加Cu金属層とから、Ru金属膜上に形成されたサイズの大きいCu金属粒により、凹構造が少なくとも一部充填されるまで何回も反復されてもよい。
【0048】
図4は、本発明の第2の実施形態により、凹構造において連続的Ru膜上にCuを鍍金するフローチャートである。図4における工程フロー400は、図3及び上記のプロセスフロー300に類似している。ステップ402において、表面に凹構造を有する回路基板が供給されている。凹構造は、例えば、ビア、トレンチ、或はこれらを組み合わせたものを含んでいても良く、シリコン基板若しくは誘電膜内において形成されたものであってもよい。回路基板は拡散バリヤ層を有していても良い。バリヤフィルム(barrier film)は、例えば、Ta、TaN、TaC、TaCN、Ti、TiN、TiC、TiCN、W、WN、WC、又はWCN、またはこれらの混合物であっても良い。
【0049】
ステップ404において、Ru(CO)12前駆体を含むプロセスガスを用いた熱化学蒸着法により、実質的に酸素及び炭素を含まない連続的Ru膜が凹構造内で蒸着される。ここで、プロセスガスはさらに一酸化炭素キャリアガスを含んでいても良い。また、Ru金属膜の厚みは、約1nm以上かつ約20nm以下である。
【0050】
オプショナルのステップ406において、Ru金属膜が非酸化ガス内でアニーリングされても良い。非酸化ガスは不活性ガス、水素ガス、はこれらの組合せであっても良い。
ステップ408において、Cu鍍金及びアニーリングを行うために設けられた処理装置において、Ru金属膜上に連続的Cu金属層が鍍金形成される。本ステップ408におけるCu鍍金処理は電気化学的Cu鍍金処理であっても、非電気的Cu鍍金処理であっても良い。Ru金属膜はしばらく第1Cu鍍金槽との接触が行われ、Cu金属層の蒸着が行われ、それに続き第1Cu鍍金槽から取除かれる。
【0052】
ステップ410において、Cu金属層は非酸化ガス内においてアニーリングが行われる。非酸化ガスは不活性ガス、水素ガス、はこれらの組合せを含んでいても良い。
【0053】
ステップ412において、第2Cu鍍金槽において付加Cu金属層が鍍金形成される。本ステップ412におけるCu鍍金処理は電気化学的Cu鍍金処理でもよく、は、非電気的Cu鍍金処理でも良い。Cu金属層はしばらく第2Cu鍍金槽との接触が行われ、付加Cu金属層が蒸着されると、それに続き第2Cu鍍金槽から取除かれる。
【0054】
ステップ414において、付加Cu金属層は非酸化ガス内においてアニーリングが行われる。非酸化ガスは不活性ガス、水素ガス、はこれらの混合物を含んでいても良い。
【0055】
矢印416によって示されるように、鍍金ステップ412及びアニーリングステップ414は、連続的アニーリング済Cu金属層とアニーリング済付加Cu金属層とを含むサイズの大きいCu金属粒によって、凹構造が少なくとも一部充填されるまで、何回も反復されてもよい。
【0056】
本発明のいくつかの実施形態に拠れば、第1及び第2のCu鍍金槽は同じであっても良い。他の実施形態に拠れば、第1及び第2のCu鍍金槽は異なる化学成分を含んでいても良い。化学成分とは、例えば、還元剤濃度、界面活性剤濃度、銅イオンのソース及び濃度において1つ以上異なっていてもよい。本発明の実施形態によれば、第2Cu鍍金槽では第1Cu鍍金槽よりも銅イオン濃度が高く設定され、第1Cu鍍金槽よりも凹構造内でのCu金属鍍金を迅速に行えるようになっていてもよい。
【0057】
図5A及び図5Bは、連続的Ru金属膜上へ物理蒸着法(PVD)により蒸着された一般的なCuシード層を備えるCu過多充填トレンチを側面から撮影した、透過型電子顕微鏡写真(TEM)である。トレンチの幅は40nmであった。図5Aにおける電子顕微鏡写真は明視モードで撮影されたものであり、図5Bにおける遠視顕微鏡写真は暗視モードで撮影されたものである。図5A及び5Bでは、先端の集積回路において見られる細い凹構造Cu鍍金処理において直面している様々な問題が表れている。鍍金及びアニーリングされたCu金属中には、図5Aにおいて確認されるようにトレンチ内に微細気泡が形成され、Cu金属のアニーリングと結晶化の過程において形成されるサイズの大きいCu金属粒は、トレンチの底部まで成長することができない。だがその代わり、図5Bにおいて明確に確認できるように、トレンチの底部付近にはサイズの小さなCu粒子のみが存在する。言い換えれば、従来の物理蒸着法によってCuシード層がトレンチに蒸着され、Cu金属が鍍金されてトレンチを充填する場合には、サイズの大きいCu粒がトレンチ全体に形成されることはない。今後、半導体のさらなる縮小化が進められると考えられるが、そのために、サイズの大きなCu粒によって微細気泡のないように充填することで低い抵抗と高い信頼度を持たせるという課題は、さらなる重要性を持つことになるであろう。
【0058】
図5Cは、本発明の方法によりCu金属で充填されたトレンチを側面から撮影した透過型電子顕微鏡写真である。この電子顕微鏡写真は、連続的Ru金属膜上にCu金属層を鍍金形成させ、このCu金属層を非酸化ガス内でアニーリングし、アニーリング済Cu金属層上に付加Cu金属層を鍍金形成し、この付加Cu金属層を非酸化ガス中でアニーリングをした後に、明視モードで撮影されたものである。図5Cに示される鍍金及びアニーリングされたCu金属は全体的に、少なくとも実質的に微細気泡のないサイズの大きなCu粒を、Ru金属膜上のトレンチ全体に含んでいる。このようにして、5A及び5Bに示されるCu金属の充填とは異なり、図5Cに見られるようなサイズの大きなCu粒は連続的アニーリング済Cuシード層を含んでいる。図5Cで図示された結果は、この工程順序によって、Cu粒子によるトレンチ内部への侵入と、トレンチ全体を含んだサイズの大きなCu粒の成長とが予期せずして行わたことを示すものであり、信頼性の高いCuメタライゼーション処理を提供するものである。このCu粒の形成は、Cuで充填された凹構造の電気抵抗を低下させ、集積回路の信頼性を高める効果を持っている。
【0059】
図6は本発明の実施形態により、Ru(CO)12前駆体気化ガスと一酸化炭素ガスからRu金属膜を蒸着形成するための、熱化学蒸着(TCVD)装置の概略図である。蒸着装置1は、Ru金属膜が形成されるパターン基板5を支持するために設けられた基板ホルダ20を有する処理室10を備えている。処理室10は、気化前駆体配送装置40を介して金属前駆体気化装置50と連結されている。
【0060】
処理室10はさらに、ダクト36を介して真空ポンプ装置38と連結されており、真空ポンプ装置38は処理室10、気化前駆体配送装置40、及び金属前駆体気化装置50から排気を行うために設けられており、パターン基板25上におけるRu金属フィルム形成、及び、金属前駆体気化装置50内におけるRu(CO)12前駆体52気化に適した気圧まで減圧が行われる。
【0061】
図6においてさらに、金属前駆体気化装置50はRu(CO)12前駆体52を貯蔵し、Ru(CO)12前駆体52が気化するのに十分な温度になるまでRu(CO)12前駆体52を加熱し、Ru(CO)12前駆体気化ガスを気化前駆体配送装置40に導くために設けられている。Ru(CO)12前駆体52は金属前駆体気化装置50内においては、選択された加熱条件下において固体である。固体のRu(CO)12前駆体52を昇華させるのに必要な温度にするために、金属前駆体気化装置50は気化温度を調節するために設けられた気化温度調節装置54に接続されている。
【0062】
例えば、Ru(CO)12前駆体52の温度が約40℃から約150℃のになるように温度上昇を行ってもよい。また、気化温度が約60℃から約90度ので維持されても良い。Ru(CO)12前駆体52が昇華のために加熱されると、一酸化炭素含有ガスがRu(CO)12前駆体52の上方もしくはその中を通過して、気化したばかりのRu(CO)12前駆体気化ガスを捕らえる。一酸化炭素含有ガスは一酸化炭素及び、オプションで窒素ガスや希ガス(例えば、He、Ne、Ar、Kr、又はXe)といった不活性ガス、はその組合せを含んでいる。一酸化炭素ガスの存在下でRu(CO)12前駆体を気化させることにより、パターン基板へのRu(CO)12前駆体気化ガスの配送が律速されるという問題を抑えることができる。一酸化炭素ガスを 気化したばかりのRu(CO)12前駆体気化ガスに加えることで、気化温度が上昇することがわかっている。温度の上昇によって、Ru(CO)12前駆体の気化圧力が上昇し、その結果、処理室に配送されるRu(CO)12前駆体が増加し、パターン基板上25へのRu金属フィルムの蒸着率が上昇する。
【0063】
例えば、金属前駆体気化装置50は、Ru(CO)12前駆体ガスを効率的に気化及び輸送するために備えられたマルチトレイ気化装置であってもよい。マルチトレイ気化装置としては、例えば、2004年11月29日に出願された米国特許出願10/998,420(タイトル:Multi−Tray Film Precursor Evaporation System and Thin Film Deposition System Incorporating Same(『マルチトレイ膜前駆体蒸発装置、及び薄膜蒸着装置を内蔵したマルチトレイ膜前駆体蒸発装置』)に記載されている。
【0064】
例えば、金属前駆体気化装置50にガス供給装置60が連結されている。このガス供給装置60は、フィードライン61を介してRu(CO)12前駆体52の下方、又は、フィードライン62を介してRu(CO)12前駆体 の上方において、例えば一酸化炭素、キャリアガス、はこれらの組合せを供給するために設けられている。さらに、ガス供給装置60は金属前駆体気化装置50の下流側にある気化前駆体配送装置40に連結されており、フィードライン63を介して、気化前駆体配送装置40に入ったばかりの、もしくは入った後のガスを、Ru(CO)12前駆体52の気化ガスに向けて供給している。さらにまた、フィードライン63は一酸化炭素ガスを含む前処理ガスでパターン基板25を前処理するのに用いられ、パターン基板25をRu(CO)12前駆体気化ガス及び一酸化炭素ガスに露出する前に、パターン基板25の露出表面を吸着一酸化炭素で飽和させても良い。
【0065】
図示はされないが、ガス供給装置60はキャリアガス源、一酸化炭素ガス源、1つ以上のコントロールバルブ、1つ以上のフィルタ、そして質量流量コントローラを備えていてもよい。例えば、キャリアガスの流速は、約0.1立方センチメートル毎分(sccm)と約1000立方センチメートル毎分とのであってもよい。あるいはまた、キャリアガスの流速は、約10立方センチメートル毎分と約500立方センチメートル毎分とのであってもよい。さらにまた、一酸化炭素含有ガスの流速は、約50立方センチメートル毎分と約200立方センチメートル毎分とのであってもよい。本発明の実施形態に拠れば、一酸化炭素含有ガスの流速は、約0.1立方センチメートル毎分以上かつ約1000立方センチメートル毎分以下であってもよい。あるいはまた、一酸化炭素含有ガスの流速は、約1立方センチメートル毎分と約500立方センチメートル毎分とのであってもよい。
【0066】
金属前駆体気化装置50の下流には、Ru(CO)12前駆体気化ガス及び一酸化炭素ガスを含んだ気化ガスが、気化前駆体配送装置40を介し、さらに気化ガス分配装置30を通って処理室10に入る。気化前駆体配送装置40は、気化ガスライン温度を調節してRu(CO)12前駆体気化ガスの分解とRu(CO)12前駆体気化ガスの濃縮を防ぐ気化ガスライン温度調節装置42に連結されていてもよい。気化前駆体配送装置40の温度は、例えば、50℃と100℃とのに維持されていてもよい。
【0067】
さらに図6に関しては、気化ガス分配装置30は処理室10の一部を構成するもので処理室10と一体化されており、気化ガス分配プレナム32を有している。この気化ガス分配プレナム32の内部では気化ガスが分散される。分散された気化ガスは、気化ガス分配板34を通過してパターン基板25の上方にある処理ゾーン33に入る。さらに、気化ガス分配板34は、気化ガス分配板34の温度を制御するために設けられた分配板温度調節装置35に連結されている。
【0068】
Ru(CO)12前駆体気化ガス及び一酸化炭素ガスを含むプロセスガスが処理室10の処理ゾーン33に入ると、Ru(CO)12前駆体気化ガスはパターン基板25の温度上昇によって基板表面に吸着するとすぐに熱分解し、Ru金属フィルムがパターン基板25上に形成される。基板ホルダ20は基板温度調節装置22に連結されて設けられており、これによってパターン基板25の温度上昇が可能になる。例えば、基板温度調節装置22の設置によって、パターン基板の温度を約500℃まで上昇させることができる。さらに処理室10は、処理室10の壁温度を調節するために設けられた処理室温度調節装置12に直結している。
【0069】
さらに図6に関しては、蒸着装置1はさらに、蒸着装置1を作動制御するために設けられた制御装置80を備えていても良い。制御装置80は処理室10、基板ホルダ20、基板温度調節装置22、処理室温度調節装置12、気化ガス分配装置30、気化前駆体配送装置40、金属前駆体気化装置50、及びガス供給装置60に連結されている。
【0070】
図7は、本発明の実施形態により、Ru(CO)12前駆体気化ガス及び一酸化炭素ガスからRu金属膜の蒸着を行うための、もう1つの熱化学蒸着(TCVD)装置の概略図である。蒸着装置100は、Ru金属膜が表面に形成されるパターン基板125を支持するために設けられた基板ホルダ120を有する処理室110を備えている。処理室110は前駆体供給装置105に連結されており、この前駆体供給装置105は、Ru(CO)12前駆体152を貯蔵し気化するために設けられた金属前駆体気化装置150と、Ru(CO)12前駆体152の気化ガスを処理室110へ搬送するために設けられた気化前駆体配送装置140とを備えている。
【0071】
処理室110は上方部111、下方部112、及び排出室113を備えている。下方部112の内部には開口114が形成されており、この開口114を介して下方部112が排出室113に連結されている。
【0072】
さらに図7によれば、基板ホルダ120は、処理が行われるパターン基板(またはウエハ)125を支持するための平面を備えている。基板ホルダ120は、排出室113の下方から上方に伸びる円筒の支持部材122によって支持されていてもよい。さらに基板ホルダ120は、基板ホルダ温度調節装置128に連結されたヒータ126を備えている。ヒータ126は、例えば抵抗性の発熱体を1つ以上備えていても良い。あるいはまた、ヒータ126は、例えばタングステン・ハロゲンランプといった放射性発熱装置を備えていても良い。基板ホルダ温度調節装置128は、1つ以上の発熱体に電流を供給する電源と、基板温度は基板ホルダ温度を測定する1つ以上の温度センサ、はこれら両方、及び、パターン基板125は基板ホルダ120の温度をモニタリング、調整、調節の少なくとも1つを行うために設けられたコントローラを備えている。
【0073】
処理をおこなっている、加熱されたパターン基板125がRu(CO)12前駆体気化ガスを熱分解し、パターン基板125上へのRu金属膜の蒸着を可能にしても良い。基板ホルダ120、パターン基板125上にRu金属フィルムを蒸着形成するのに適する所定の温度まで加熱される。さらに、室温制御装置121に連結されたヒータ(図示せず)が処理室110の壁に組み込まれており、あらかじめ決められた温度まで壁を加熱するようにしてもよい。ヒータにより、処理室110の壁の温度が約40℃以上かつ約150℃以下に、は、約40℃以上かつ約80℃以下に維持されても良い。処理室内圧力を測定するために、圧力計(図示せず)が用いられている。本発明の実施形態によれば、処理室内圧力は、約1mTorrから約500mTorrのであってもよい。あるいはまた、処理室内圧力は、約10mTorrと約100mTorrとのであってもよい。
【0074】
図7において示されるように、気化ガス分配装置130が処理室110の上方部111に接続されている。気化ガス分配装置130は気化ガス分配板131を有している。この気化ガス分配板131は、気化ガス分配プレナム132から1つ或はさらに多くのオリフィス134を通してパターン基板125の上方の処理ゾーン133に、前駆体気化ガスを送るために設けられている。
【0075】
さらに上方部111には、Ru(CO)12前駆体気化ガスと一酸化炭素ガスを含むプロセスガスを、気化前駆体配送装置140から気化ガス分配プレナム132へ送るため、開口135が設けられている。さらにまた、気化ガス分配装置130の温度を調節するために、冷却又は加温された液体物を流す同心円状液路のような温度調節体136が設けられており、これにより気化ガス分配装置130内において、Ru(CO)12前駆体気化ガスの分解や凝縮を抑制することができる。例えば、水のような液体物が気化ガス分配温度調節装置138から伸びる液路に供給されても良い。気化ガス分配温度調節装置138は液源、熱交換器、及び、液温または気化ガス分配板温度の少なくとも一方を測定するための1つ以上の温度センサを備えている。気化ガス分配温度調節装置138は、さらに、気化ガス分配板の温度を約20℃から約150℃までのに調節するコントローラを備えている。Ru(CO)12前駆体においては、気化ガス分配板131上で前駆体の凝縮を抑えるために、気化ガス分配板131の温度が約65℃以上に維持されていても良い。
【0076】
図7において示されているように、Ru(CO)12前駆体152を貯蔵し、Ru(CO)12前駆体を加熱して蒸発(または昇華)させるために、金属前駆体気化装置150が設けられている。「気化」「昇華」「蒸発」といった用語は、ここでは特に区別をせずに用いられるが、固体や液体の前駆体が一般的に気化ガスになることを意味するものであり、例えば、固体から液体さらに気体へ、固体から気体へ、液体から気体へという状態変化を細かく意味するものではない。Ru(CO)12前駆体152を加熱し、Ru(CO)12前駆体152の圧力が適切な値になるように温度を維持するために、前駆体ヒータ154が設けられている。前駆体ヒータ154は、Ru(CO)12前駆体152の温度を調節するために設けられた気化温度調節装置156に連結されている。例えば、前駆体ヒータ154はRu(CO)12前駆体152の温度を約40℃以上かつ約150℃以下に、は、約60℃以上かつ約90℃以下に調節するために設けられていても良い。
【0077】
Ru(CO)12前駆体152が加熱されて蒸発(または昇華)すると、一酸化炭素含有ガスがRu(CO)12前駆体152の上方または内部を通過し、発生したばかりのRu(CO)12前駆体気化ガスを捕らえるようにしてもよい。一酸化炭素含有ガスは、一酸化炭素のほかに、オプションで窒素や希ガス(例えばヘリウム、ネオン、アルゴン、クリプトン、キセノン)といった不活性キャリアガスを含んでいても良い。例えば、金属前駆体気化装置150にはガス供給装置160が連結されており、例えば、Ru (CO) 12 前駆体152の上方はその中一酸化炭素ガスが送る。図7において示されてはいないが、ガス供給装置160を気化前駆体配送装置140に連結し、気化前駆体配送装置140に流入した時点の又は後のRu(CO)12前駆体152の気化ガスに、一酸化炭素ガスを供給するようにしてもよい。すなわち例えば、Ru(CO)12前駆体気化ガスと一酸化炭素ガスとを含むプロセスガスにパターン基板125を露出する前に、一酸化炭素ガスを含む前処理ガスでパターン基板125を前処理して、パターン基板125の露出表面を吸着性一酸化炭素で飽和させても良い。
【0078】
ガス供給装置160は、不活性キャリアガス、一酸化炭素ガス、又はそれらの混合物を含むガス源161と、1つ以上の調節バルブ162と、1つ以上のフィルタ164と、質量流量コントローラ165とを備えている。例えば、一酸化炭素含有ガスの質量流量率は約0.1sccm以上約1000sccm以下のであってもよい。
【0079】
また、センサ166が金属前駆体気化装置150からの全ガス流量を測定するために設けられている。センサ166は、例えば質量流量コントローラを備えていてもよく、処理室110に配送されたRu(CO)12前駆体気化ガスの量は、センサ166及び質量流量コントローラ165とを用いて測定しても良い。あるいはまた、センサ166は、処理室110へのガス流においてRu(CO)12前駆体の濃度を測定するための光吸収センサを備えていても良い。
【0080】
バイパスライン167がセンサ166の下流側に位置していてもよく、このバイパスライン166が気化前駆体配送装置140を排出ライン116に接続しても良い。バイパスライン167は気化前駆体配送装置140から排気するために設けられているもので、処理室110へのRu(CO)12前駆体気化ガス及び一酸化炭素ガスの供給を安定化させる。さらに、バイパスバルブ168が気化前駆体配送装置140の分枝の下流側に位置し、バイパスライン167上に設けられている。
【0081】
さらに図7によれば、気化前駆体配送装置140は、第1及び第2のバルブ141,142をそれぞれ有する高濃度気化ガスライン(high conductance vapor line)を備えている。また、気化前駆体配送装置140は、ヒータ(図示せず)で気化前駆体配送装置140を加熱する気化ガスライン温度調節装置143を備えている。気化ガスラインの温度は、気化ガスライン中でのRu(CO)12前駆体の凝縮を防ぐために調節されていてもよい。気化ガスラインの温度は、約20℃以上約100℃以下、または、約40℃以上約90℃以下であってもよい。
【0082】
さらに、ガス供給装置190から一酸化炭素ガスが供給されても良い。例えば、ガス供給装置190は気化前駆体配送装置140に連結されており、パターン基板125を例えば前処理するために設けられている。前処理は、気化前駆体配送装置140の中、例えばバルブ141の下流側において、一酸化炭素ガスは、Ru(CO)12前駆体気化ガスを含んだ混合付加一酸化炭素ガス(mix additional CO gas)を含有する前処理ガスを用いて行われる。ガス供給装置190は一酸化炭素ガス源191、1つ以上の調節バルブ192、1つ以上のフィルタ194、体積流量コントローラ195を備えている。例えば、一酸化炭素ガスの体積流量は約0.1sccm以上約1000sccm以下である。
【0083】
質量流量コントローラ165,195及びバルブ162,192,168,141,142はコントローラ196によって制御され、不活性ガス、一酸化炭素ガス、Ru(CO)12前駆体気化ガスの供給、遮断、流量の調節を行う。センサ166はコントローラ196にも連結されており、センサ166の出力に基づき、コントローラ196は体積流量コントローラ165を介してキャリアガス流量を調節し、処理室110へRu(CO)12前駆体を所定の流量で送る。
【0084】
図7に図示されているように排出室113は排出ライン116によって真空ポンプ装置118に連結されている。真空ポンプ119は処理室110が所定の真空度になるまで内部の空気を抜き、処理をおこなっている処理室110から気体類を排気する。自動圧力コントローラ(APC)115及びトラップ117が真空ポンプ119と直列に用いられても良い。真空ポンプ119は、一秒間に500リットル(以上)のスピードで気体を取除くことができるターボ分子ポンプ(TMP)を備えていてもよい。さらにまた、真空ポンプ119はドライ粗引きポンプ(dry roughing pump)を備えていても良い。処理をおこなっている、処理ガスが処理室110に送られてもよく、処理室内圧力が自動圧力コントローラ115によって調整されてもよい。自動圧力コントローラ115は、電源不要のバルブまたはゲートバルブを備えていても良い。トラップ117は未反応Ru(CO)12前駆体物質と生成物を処理室から収集してもよい。
【0085】
処理室110内の基板ホルダ120によれば、図7に示すとおり、3つの基板リフトピン127(2つのみを図示)が設けられており、パターン基板125の支持、持ち上げ、引き下げに用いられる。基板リフトピン127はプレート123に連結されており、基板ホルダ120の上面よりも下方に引き下げられていてもよい。例えば空気シリンダを用いる駆動機構129は、プレート123の持ち上げと引き下げをおこなう手段を提供する。パターン基板125は、ロボット搬送装置(robotic transfer system)(図示せず)を用いゲートバルブ200と搬送路202を介して、処理室110の内外に搬送され、基板リフトピン127によって受け取られる。パターン基板125は、搬送装置から受け取られると基板リフトピン127を引き下げることにより、基板ホルダ120の上面より下方に引き下げられてもよい。
【0086】
さらに図7によれば、蒸着装置コントローラ180はマイクロプロセッサ、メモリ、デジタルI/Oポートを備えており、デジタルI/Oポートは蒸着装置100のモニタ出力とともに、蒸着装置100のインプットを伝達しアクティブにするのに十分なコントロール電圧を発生することができる。さらに、コントローラ180は処理室110と連結して互いに情報を交換しているほか、コントローラ196と気体ガスライン温度調節装置143と気化温度調節装置156とを備える前駆体配送装置105、気化ガス分配温度調節装置138、真空ポンプ装置118、及び、基板ホルダ温度調節装置128とも連結して互いに情報を交換している。真空ポンプ装置118において、コントローラ180は、処理室110内の圧力を調節する自動圧力コントローラ115と連結しており、互いに情報を交換する。メモリに保存されたプログラムは、保存された処理方針に従って前述の蒸着装置100の各要素を調節するために用いられる。
【0087】
コントローラ180は、メモリに記録された1つ以上の命令の1つ以上のシーケンスを実行するプロセッサに応じて、マイクロプロセッサをベースとした本発明の処理ステップ(processing step)の一部若しくは全てを実行する多目的用コンピュータとして実施されてもよい。こうした命令は、ハードディスクや取外しタイプのメディアドライブといった、他のコンピュータ読出し媒体からコントローラメモリに読み込まれてもよい。マルチプロセッシングアレンジメントにおける1つ以上のプロセッサは、メインメモリに記録された命令のシーケンスを実行するためのマイクロプロセッサ制御装置として使用されても良い。他の実施形態では、配線で接続された電気回路がソフトウエア命令の代わりに、はそれと組合せて利用されても良い。このようにして、実施形態はハードウェア回路とソフトウエアのいかなる組合せにも限定されることはない。
【0088】
コントローラ180は少なくとも1つのコンピュータリーダブル媒体、若しくは、コントローラメモリのようなメモリを備えている。これらの読取媒体及びメモリは、本発明の内容に関してプログラミングされた命令が記憶され、データ構造(data stucture)、表、記録、は本発明を実行する上で必要とされると思われる他のデータが保存されている。コンピュータリーダブル媒体の例としては、コンパクトディスク、ハードディスク、フロッピディスク、テープ、磁気光学ディスク、PROM(EPROM、EEPROM,フラッシュEPROM)、DRAM、SRAM,SDRAM、または他のあらゆる磁気媒体、コンパクトディスク(例えばCD−ROM)、または他のあらゆる光学媒体、パンチカード、紙テープ、は、ホールパターンを備える他の物理媒体、キャリアウェーブ(以下に記載する)、は、コンピュータにより読出しが可能な他の全ゆる媒体である。
【0089】
本発明は、コンピュータリーダブル媒体のいずれか1つ、はいくつかを組合せたものに保存されるので、本発明を実施するための1つまたは複数の装置を起動し、及び/又は、コントローラが使用者とやり取りするために、コントローラ180をコントロールするためのソフトウェアを備えている。こうしたソフトウエアは、デバイスドライバ、オペレーティング装置、開発ツール、アプリケーションソフトウエアを備えていても良いが、これらに制限されるものではない。こうしたコンピュータリーダブル媒体は、本発明を実施するうえで実行される処理の全て若しくは(もしも処理が分散されていれば)一部を実行するため、本発明のコンピュータプログラム製品をさらに備えている。
【0090】
本発明のコンピュータコード装置は、正しい判断と実行が可能な如何なるコードメカニズムでもよい。このコードメカニズムとは、正しい判断が可能なプログラム、ダイナミックリンクライブラリ(DLL)、Javaのクラス、及び完全に実行可能なプログラムを備えたものであるが、本発明はこの記載に限定されるものではない。さらに、本発明の処理の一部は、さらに実行性、信頼度、及びはコスト削減を高めるために、分散されていても良い。
【0091】
ここで用いられている「コンピュータリーダブル媒体」という言葉は、コントローラ180のプロセッサに命令を与え実行させることに関与する全ての媒体を意味している。コンピュータ読出し媒体は数多くの形態を有しており、不揮発性記憶媒体(non-volatile media)、揮発性記憶媒体(volatile media)、伝送媒体(transmission media)を含んでいるが、本発明はこれに限定されるものではない。不揮発性記憶媒体は、例えば、ハードディスクやリムーバブルメディアドライブといった、光学ディスク、磁気ディスク、磁気光学ディスクを備えている。揮発性記憶媒体は、メインメモリのようなダイナミックメモリを備えている。さらにまた、コントローラのプロセッサに1つまたは複数の命令の1つまたは複数のシーケンスを実行するときに、さまざまな形式のコンピュータリーダブル媒体が関与していてもよい。例えば、命令が初めて実行されるときには、リモートコンピュータの磁気ディスク上で行われても良い。リモートコンピュータは離れた場所から、本発明の全ては一部を実行するための命令をダイナミックメモリに読込ませ、コントローラ180に対しネットワークを利用して命令を送信する。
【0092】
コントローラ180は蒸着装置100に対して局所的に位置していても又は、離れたところに位置していてよい。例えば、コントローラ180は、直接的な接続やイントラネット、インターネット、ワイヤレス接続の少なくともどれか1つを利用して蒸着装置100とデータを交換してもよい。コントローラ180は、例えば顧客サイト(例えば装置製造者等)とイントラネットで結ばれていてもよく、あるいはまた、例えば業者サイト(例えば設備製造者)とイントラネットで結ばれていてもよい。さらに、例えば、コントローラ180はインターネットと連結されていてもよい。さらにまた、別のコンピュータ(例えばコントローラ、サーバ等)が、例えばコントローラ180にアクセスして、直接的な接続、イントラネット、及びインターネットの少なくとも1つによりデータを交換しても良い。コントローラ180がワイヤレス接続を通じて蒸着装置100とデータを交換してもよいが、これはこの技術分野の当業者なら想定できる実施形態であろう。
【0093】
図8は、本発明の実施形態により、Cu鍍金及びアニーリングを行なうための処理装置の概略図である。処理装置700は、基板を載せたり取除くためのロードモジュール701,702、Cu鍍金モジュール711716、及び、アニーリングモジュール720,721を備えている、Cu鍍金モジュール711716は、電気化学的Cu鍍金または非電気的Cu鍍金を行うために設けられていてもよく、異なった化学成分を含んでいても良い。化学成分は例えば、還元剤濃度、界面活性剤濃度、銅イオンのソース及び濃度において1つ以上異なっていてもよい。アニーリングモジュール720,721は、1回もしくは複数回行われるCu鍍金の間、若しくはCu鍍金に引き続き、非酸化ガス中で基板とその上の膜をアニーリングするために設けられていても良い。
【0094】
先端の集積回路において見られる凹構造において、連続的Ru金属膜上にCu鍍金を行うための複数の実施形態が様々な実施形態において開示されてきた。実質的に酸素及び炭素を含まない連続的Ru金属膜を用いることで、トレンチやビアといった高アスペクト比の凹構造Cu金属で充填をおこなう、不要な微細気泡の形成が抑制されるのを助長し、連続的Ru金属膜上に鍍金形成された連続的アニーリング済Cu金属層と、このアニーリング済Cu金属層に鍍金形成された付加Cu金属とを含むサイズの大きいCu金属粒の形成が可能になる。サイズの大きいCu粒により、Cuで充填された凹構造の電気抵抗が低下し、集積回路の信頼度が向上する。
【0095】
上記における本発明の実施形態の記載は、図示と記載を目的としてなされたものである。強調すべきことは、開示された詳細な記載に本発明が完全に一致したり限定されるものではないことである。これまでの記載と以下に記載される特許請求の範囲において含まれる言葉は、記載上の目的のためにのみ用いられるものであり、かつ、限定を目的として採用されたものではない。例えば、(特許請求の範囲を含む)本記載において用いられる「〜上の」という言葉は、パターン基板「上の」膜が基板上に直接及び中間に何も挟まず接触していることを意味するのではなく、基板と膜の間に第2のや他の構造があっても良いことを意味している。
【0096】
関連のある技術分野の当業者であるならば、上記の記述を考慮して改良と変更が数多く可能であると思われる。本技術分野の当業者であれば、図面で示される様々な構成要素について、同等の組合せと置換を数多く考えることができるであろう。従って、本発明の範囲はこの詳細な記載によって限定されるのではなく、むしろ、以下に付記される特許請求の範囲によって限定されることを強調しておく。

Claims (21)

  1. 基板の表面上の構造を充填する方法において、
    前記表面上に形成され、幅、深さ及び体積をもつ少なくとも1つの凹構造を有する前記基板を供給する工程と、
    Ru(CO)12前駆体を含むプロセスガスを用いた熱化学蒸着法(TCVD)により、連続的ルテニウム(Ru)金属膜を少なくとも1つの凹構造内に蒸着させる工程と
    前記連続的Ru金属膜を銅(Cu)鍍金槽と接触させることで、前記連続的Ru金属膜上に連続的Cu金属層を蒸着させ、前記Ru金属膜と前記連続的Cu金属層がともに前記少なくとも1つの凹構造の幅、深さ及び体積の100%未満充填させる接触工程
    前記Cu鍍金槽から前記基板を取出す工程と
    アニーリング済の連続的Cu金属層を形成するために、非酸化性ガスの中で前記連続的Cu金属層をアニーリングする工程と
    前記接触工程、前記取出し工程、及び、前記アニーリング工程を反復することにより、前記アニーリング済の連続的Cu金属層と前記アニーリング済の付加Cu金属とから形成された前記連続的Ru金属膜上に、大きいCu金属粒を含む前記少なくとも1つの凹構造内に少なくとも部分的にCu充填を形成する反復工程
    を備えることを特徴とする方法。
  2. 前記蒸着工程は、Ru(CO)12前駆体及び一酸化炭素キャリアガスを含むプロセスガスを用いた熱化学蒸着法により、実質的に酸素及び炭素を含まない連続的Ru金属膜を蒸着することを備えることを特徴とする請求項1に記載の方法。
  3. 前記接続工程は、
    前記連続的Ru金属膜を第1銅(Cu)鍍金槽と接触させることで、前記連続的Ru金属膜上に連続的Cu金属層を蒸着させ、前記Ru金属膜と前記Cu金属層がともに前記少なくとも1つの凹構造の幅、深さ及び体積の100%未満である第1幅、第1深さ及び第1体積で前記少なくとも1つの凹構造を充填する工程を備え、
    前記接続工程を反復する工程は、
    前記アニーリング済の連続的Cu金属層を前記第1Cu鍍金槽とは異なった化学物質を含む第2Cu鍍金槽と接触させることで、前記少なくとも1つの凹構造を少なくとも部分的に充填する付加Cu金属層を蒸着させ、前記付加Cu金属層がさらに前記少なくとも1つの凹構造の幅、深さ及び体積の100%以下であり、前記第1幅、第1深さ及び第1体積よりも大きな第2幅、第2深さ、第2体積で、前記少なくとも1つの凹構造を充填する工程を備え、
    前記アニーリング済の連続的Cu金属層と前記付加Cu金属層から前記連続的Ru金属膜上にCu金属粒を形成するアニーリング工程を備えることを特徴とする方法。
  4. 前記付加Cu金属層は、前記少なくとも1つの凹構造の前記幅、深さ及び堆積の100%未満である前記第2幅、深さ及び体積まで前記少なくとも1つの凹構造をさらに充填し、
    前記アニーリング済の連続的Cu金属層に接触する工程と、前記第2Cu鍍金槽から前記基板を取出す工程と、前記少なくとも1つの凹構造の前記幅、深さ及び体積の約90%から約100%である第3幅、第3深さ、及び第3体積まで前記少なくとも1つの凹構造をさらに充填するために、所望する回数で前記付加Cu金属層をアニーリングする工程を反復する工程をさらに備えることを特徴とする請求項3に記載の方法
  5. 前記第2幅、第2深さ、第2体積が前記少なくとも1つの凹構造の幅、深さ、体積の約50%未満であることを特徴とする請求項に記載の方法。
  6. 前記第2幅、第2深さ、第2体積が前記少なくとも1つの凹構造の幅、深さ、体積の約50%より大きいことを特徴とする請求項に記載の方法。
  7. 前記第2Cu鍍金槽は、前記第1Cu鍍金槽よりも高濃度の銅イオンを含有していることを特徴とする請求項に記載の方法。
  8. 前記第1接触工程及び前記接触工程において、前記少なくとも1つの凹構造の上方でさらに蒸着を行い、前記少なくとも1つの凹構造を過多充満させることを特徴とする請求項に記載の方法。
  9. 前記基板の前記表面は露出した拡散バリヤ層を備えることを特徴とする請求項1から請求項3のいずれかに記載の方法。
  10. 前記拡散バリヤ層がタンタル(Ta)、窒化タンタル(TaN)、炭化タンタル(TaC)、炭窒化タンタル(TaCN)、チタン(Ti)、窒化チタン(TiN)、炭化チタン(TiC)、炭窒化チタン(TiCN)、タングステン(W)、窒化タングステン(WN)、炭化タングステン(WC)、炭窒化タングステン(WCN)、もしくはこれらの組合せを含むことを特徴とする請求項に記載の方法。
  11. 前記連続的Ru金属膜の厚みが約1nm以上約20nm以下であることを特徴とする請求項1から請求項3のいずれかに記載の方法。
  12. 前記連続的Cu金属層アニーリング工程において、前記連続的Cu金属層を約100℃以上約500℃以下のアラゴン(Ar)ガス、窒素(N ガス、水素(H ガス、もしくはこれらの組合せに露出することを特徴とする請求項1から請求項3のいずれかに記載の方法。
  13. 前記少なくとも1つの凹構造は部分的に製造された回路基板上にビア、トレンチ、もしくはビアとトレンチの両方を備えることを特徴とする請求項1から請求項3のいずれかに記載の方法。
  14. 前記接触工程が電気化学的Cu鍍金処理または非電気的Cu鍍金処理を備えることを特徴とする請求項1から請求項3のいずれかに記載の方法。
  15. 前記基板の温度が約100℃から約500℃のときに、不活性ガス、水素(H ガス、もしくは両者の組合せを含む非酸化性ガス内で前記連続的Ru金属膜をアニーリングするアニーリング工程を備えることを特徴とする請求項1から請求項3のいずれかに記載の方法。
  16. 一部組み立てられた集積回路においてダマスク構造を充填する方法において、
    Ru(CO)12前駆体と一酸化炭素キャリアガスとを含むプロセスガスを用いた熱化学蒸着法により、実質的に酸素及び炭素を含まず厚みが約1nmから約20nmである連続的ルテニウム(Ru)金属膜を、前記部分的に製造された集積回路の少なくとも1つの凹構造内で拡散バリヤ層上に蒸着させる蒸着工程
    前記基板の温度が約100℃から500℃のときに、不活性ガス、水素(H ガス、もしくは両者の組合せを含む非酸化性ガス内で前記連続的Ru金属膜をアニーリングするアニーリング工程
    前記一部組み立てられた集積回路又はその少なくとも一部を第1銅(Cu)鍍金槽に浸して前記連続的Ru金属膜上に連続的Cu金属層を蒸着させ、前記連続的Ru金属膜と前記連続的Cu金属層とがともに前記少なくとも1つの凹構造の幅、深さ、体積の100%未満である第1幅、第1深さ、第1体積で前記少なくとも1つの凹構造を充填する浸漬工程
    前記一部製造された集積回路を前記第1Cu鍍金槽から取出す取出し工程
    前記基板の温度が約100℃から約500℃のときに、不活性ガス、水素(H ガス、もしくは両者の組合せを含む非酸化性ガス内で、前記連続的Cu金属層をアニーリングするアニーリング工程
    前記一部製造された集積回路又はその少なくとも一部を第2Cu鍍金槽に浸し、前記少なくとも1つの凹構造の幅、深さ、体積の100%以下であり前記第1幅、第1深さ、第1体積よりも大きい第2幅、第2深さ、第2体積で、前記少なくとも1つの凹構造の少なくとも一部充填する付加Cu金属を蒸着させる浸漬工程
    前記一部製造された集積回路を前記第2Cu鍍金槽から取出す取出し工程
    前記基板の温度が約100℃から約500℃のときに、不活性ガス、水素(H ガス、もしくは両者の組合せを含む非酸化性ガス内で前記付加Cu金属をアニーリングし、前記連続的Ru金属膜上において前記アニーリング済の連続的Cu金属層と前記アニーリング済みの付加Cu金属とからCu金属粒を形成するアニーリング工程
    を備えることを特徴とする方法。
  17. 前記浸漬工程において、前記第2幅、第2深さ、第2体積は前記少なくとも1つの凹構造の幅、深さ、面積の100%未満であり、かつ、前記浸漬工程と前記取出し工程と前記アニーリング工程とを必要な回数繰り返すことで、前記少なくとも1つの凹構造の幅、深さ及び体積の約90%から100%である第3幅、第3深さ及び第3体積で前記少なくとも1つの凹構造をさらに充填することを特徴とする請求項16に記載の方法。
  18. 前記浸漬工程において、前記少なくとも1つの凹構造の幅、深さ、体積の約50%未満となるように、前記1つの凹構造が充填されることを特徴とする請求項16に記載の方法。
  19. 前記浸漬工程において、前記少なくとも1つの凹構造の幅、深さ、体積の約50%よりも大きくなるように、前記1つの凹構造が充填されることを特徴とする請求項16に記載の方法。
  20. 前記第1及び第2のCu鍍金槽はそれぞれ異なった化学物質を含んでおり、前記付加Cu金属は前記連続的Cu金属層よりも速く蒸着することを特徴とする請求項16に記載の方法。
  21. 前記浸漬工程において、前記少なくとも1つの凹構造の上方で蒸着をさらに行い、前記少なくとも1つの凹構造を過多充満させることを特徴とする請求項16に記載の方法。
JP2012532310A 2009-09-30 2010-09-30 切欠構造のなかで長尺状ルテニウム膜上に多段階式銅鍍金を行う方法。 Pending JP2013507008A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/571,162 US8076241B2 (en) 2009-09-30 2009-09-30 Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US12/571,162 2009-09-30
PCT/US2010/050878 WO2011041522A2 (en) 2009-09-30 2010-09-30 Methods for multi-step copper plating on a continuous ruthenium film in recessed features

Publications (2)

Publication Number Publication Date
JP2013507008A JP2013507008A (ja) 2013-02-28
JP2013507008A5 true JP2013507008A5 (ja) 2013-12-12

Family

ID=43743696

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012532310A Pending JP2013507008A (ja) 2009-09-30 2010-09-30 切欠構造のなかで長尺状ルテニウム膜上に多段階式銅鍍金を行う方法。

Country Status (6)

Country Link
US (1) US8076241B2 (ja)
JP (1) JP2013507008A (ja)
KR (1) KR20120082901A (ja)
CN (1) CN102859035A (ja)
TW (1) TW201113934A (ja)
WO (1) WO2011041522A2 (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010067778A1 (ja) * 2008-12-09 2010-06-17 株式会社アルバック 窒化タンタル膜の形成方法及びその成膜装置
US20110204518A1 (en) * 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8661664B2 (en) 2010-07-19 2014-03-04 International Business Machines Corporation Techniques for forming narrow copper filled vias having improved conductivity
KR101780050B1 (ko) * 2011-02-28 2017-09-20 삼성전자주식회사 반도체 기억 소자 및 반도체 기억 소자의 형성 방법
JP5862353B2 (ja) * 2011-08-05 2016-02-16 東京エレクトロン株式会社 半導体装置の製造方法
US8518818B2 (en) 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9214383B2 (en) * 2013-01-18 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9536830B2 (en) 2013-05-09 2017-01-03 Globalfoundries Inc. High performance refractory metal / copper interconnects to eliminate electromigration
US9171801B2 (en) * 2013-05-09 2015-10-27 Globalfoundries U.S. 2 Llc E-fuse with hybrid metallization
CN105593410A (zh) * 2013-09-26 2016-05-18 德国艾托特克公司 用于衬底表面金属化的新颖粘着促进方法
JP2015160963A (ja) * 2014-02-26 2015-09-07 東京エレクトロン株式会社 ルテニウム膜の成膜方法および成膜装置、ならびに半導体装置の製造方法
FR3017993B1 (fr) * 2014-02-27 2017-08-11 Commissariat Energie Atomique Procede de realisation d'une structure par assemblage d'au moins deux elements par collage direct
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
JP6329199B2 (ja) * 2016-03-30 2018-05-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102251209B1 (ko) * 2016-06-15 2021-05-11 어플라이드 머티어리얼스, 인코포레이티드 고 전력 플라즈마 에칭 프로세스들을 위한 가스 분배 플레이트 조립체
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
CN107731703A (zh) * 2017-08-31 2018-02-23 长江存储科技有限责任公司 一种互连结构及其制作方法和半导体器件的制作方法
KR102227347B1 (ko) * 2017-09-05 2021-03-11 어플라이드 머티어리얼스, 인코포레이티드 3d 메모리 구조들에서의 고종횡비 홀 형성에 대한 상향식 접근법
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11284510B2 (en) * 2018-04-17 2022-03-22 Board Of Trustees Of Michigan State University Controlled wetting and spreading of metals on substrates using porous interlayers and related articles
US11631680B2 (en) * 2018-10-18 2023-04-18 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
JP7206355B2 (ja) * 2020-11-12 2023-01-17 アプライド マテリアルズ インコーポレイテッド ダイナミックランダムアクセスメモリビット線金属を滑らかにするための方法及び装置
US20220415651A1 (en) * 2021-06-29 2022-12-29 Applied Materials, Inc. Methods Of Forming Memory Device With Reduced Resistivity

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0524931A (ja) 1991-07-16 1993-02-02 Hitachi Metals Ltd 窒化アルミニウム焼結体
US5888870A (en) * 1997-10-22 1999-03-30 Advanced Micro Devices, Inc. Memory cell fabrication employing an interpoly gate dielectric arranged upon a polished floating gate
JPH11168096A (ja) 1997-12-04 1999-06-22 Sony Corp 高誘電酸化膜の形成方法
US6200898B1 (en) * 1999-10-25 2001-03-13 Vanguard International Semiconductor Corporation Global planarization process for high step DRAM devices via use of HF vapor etching
US8877000B2 (en) * 2001-03-02 2014-11-04 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
JP4895430B2 (ja) * 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US6506668B1 (en) * 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
EP1294021A1 (de) * 2001-08-31 2003-03-19 Infineon Technologies AG Kondensatoreinrichtung für eine Halbleiterschaltungsanordnung und Verfahren zu deren Herstellung
US6797599B2 (en) * 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
JP3611545B2 (ja) * 2001-12-20 2005-01-19 株式会社荏原製作所 めっき装置
JP3756456B2 (ja) * 2002-03-07 2006-03-15 富士通株式会社 半導体装置の製造方法
JP3588607B2 (ja) * 2002-03-29 2004-11-17 株式会社東芝 電界効果トランジスタ
US6680130B2 (en) * 2002-05-28 2004-01-20 Agere Systems, Inc. High K dielectric material and method of making a high K dielectric material
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US20040051126A1 (en) * 2002-09-16 2004-03-18 Structured Materials Inc. Compositionally engineered CexMnyO3 and semiconductor devices based thereon
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
AU2003289764A1 (en) 2002-12-09 2004-06-30 Asm America Inc. Method for forming a dielectric stack
US6828200B2 (en) * 2003-01-03 2004-12-07 Texas Instruments Incorporated Multistage deposition that incorporates nitrogen via an intermediate step
US7071519B2 (en) * 2003-01-08 2006-07-04 Texas Instruments Incorporated Control of high-k gate dielectric film composition profile for property optimization
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
JP3920235B2 (ja) * 2003-03-24 2007-05-30 株式会社ルネサステクノロジ 半導体装置の製造方法
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20050274621A1 (en) * 2004-06-10 2005-12-15 Zhi-Wen Sun Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7135361B2 (en) * 2003-12-11 2006-11-14 Texas Instruments Incorporated Method for fabricating transistor gate structures and gate dielectrics thereof
US6979623B2 (en) * 2003-12-17 2005-12-27 Texas Instruments Incorporated Method for fabricating split gate transistor device having high-k dielectrics
JP2005191482A (ja) 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
WO2005065402A2 (en) 2003-12-29 2005-07-21 Translucent Photonics, Inc. Rare earth-oxides, rare earth-nitrides, rare earth-phosphides and ternary alloys with silicon
JP2005340721A (ja) * 2004-05-31 2005-12-08 Anelva Corp 高誘電率誘電体膜を堆積する方法
CN1965110A (zh) * 2004-06-10 2007-05-16 应用材料公司 能够在阻挡金属上直接镀铜的阻挡层表面处理的方法
KR100589040B1 (ko) 2004-08-05 2006-06-14 삼성전자주식회사 막 형성방법 및 이를 이용한 반도체 장치의 커패시터제조방법
US7138680B2 (en) * 2004-09-14 2006-11-21 Infineon Technologies Ag Memory device with floating gate stack
US7270848B2 (en) * 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7279421B2 (en) * 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7442267B1 (en) * 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
US7064043B1 (en) * 2004-12-09 2006-06-20 Texas Instruments Incorporated Wafer bonded MOS decoupling capacitor
US7312139B2 (en) * 2005-01-03 2007-12-25 United Microelectronics Corp. Method of fabricating nitrogen-containing gate dielectric layer and semiconductor device
US7316962B2 (en) * 2005-01-07 2008-01-08 Infineon Technologies Ag High dielectric constant materials
JP5043684B2 (ja) * 2005-01-27 2012-10-10 アプライド マテリアルズ インコーポレイテッド ルテニウム層堆積装置及び方法
JP2006245558A (ja) * 2005-02-04 2006-09-14 Advanced Lcd Technologies Development Center Co Ltd 銅配線層、銅配線層の形成方法、半導体装置、及び半導体装置の製造方法
US7498247B2 (en) * 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
US7456102B1 (en) * 2005-10-11 2008-11-25 Novellus Systems, Inc. Electroless copper fill process
US7968455B2 (en) * 2006-10-17 2011-06-28 Enthone Inc. Copper deposition for filling features in manufacture of microelectronic devices
US20080296768A1 (en) * 2006-12-14 2008-12-04 Chebiam Ramanan V Copper nucleation in interconnects having ruthenium layers
JP4397399B2 (ja) * 2007-02-15 2010-01-13 富士通株式会社 半導体装置の製造方法
US7470617B2 (en) * 2007-03-01 2008-12-30 Intel Corporation Treating a liner layer to reduce surface oxides
US7799684B1 (en) * 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20080242088A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Method of forming low resistivity copper film structures
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US20090020434A1 (en) * 2007-07-02 2009-01-22 Akira Susaki Substrate processing method and substrate processing apparatus
JP2009099585A (ja) * 2007-10-12 2009-05-07 Panasonic Corp 埋め込み配線の形成方法
US7964506B1 (en) * 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer

Similar Documents

Publication Publication Date Title
JP2013507008A5 (ja)
US8076241B2 (en) Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US7776740B2 (en) Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7713876B2 (en) Method for integrating a ruthenium layer with bulk copper in copper metallization
US7473634B2 (en) Method for integrated substrate processing in copper metallization
US20120252210A1 (en) Method for modifying metal cap layers in semiconductor devices
US7432195B2 (en) Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US20070069383A1 (en) Semiconductor device containing a ruthenium diffusion barrier and method of forming
JP5674669B2 (ja) ルテニウム金属キャップ層を形成する方法
JP4980235B2 (ja) 金属カルボニル前駆体からの金属層の成膜速度を上げる方法
TWI633624B (zh) 用於銅阻障層應用之摻雜的氮化鉭
US7704879B2 (en) Method of forming low-resistivity recessed features in copper metallization
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
US6683381B2 (en) Semiconductor device having a copper interconnect layer
US20090065939A1 (en) Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
WO2005034223A1 (en) Method of depositing metal layers from metal-carbonyl precursors
WO2005033357A2 (en) Low-pressure deposition of metal layers from metal-carbonyl precursors
US20200157680A1 (en) Peald processes using ruthenium precursor
JP2005528776A (ja) バリア層とシード層の一体化
JP2018056227A (ja) ニッケル配線の製造方法
TW202315118A (zh) 經摻雜之含鉭阻障膜