JP2018056227A - ニッケル配線の製造方法 - Google Patents

ニッケル配線の製造方法 Download PDF

Info

Publication number
JP2018056227A
JP2018056227A JP2016188146A JP2016188146A JP2018056227A JP 2018056227 A JP2018056227 A JP 2018056227A JP 2016188146 A JP2016188146 A JP 2016188146A JP 2016188146 A JP2016188146 A JP 2016188146A JP 2018056227 A JP2018056227 A JP 2018056227A
Authority
JP
Japan
Prior art keywords
film
nickel
gas
wiring
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016188146A
Other languages
English (en)
Other versions
JP6723128B2 (ja
Inventor
洋章 河崎
Hiroaki Kawasaki
洋章 河崎
貴士 松本
Takashi Matsumoto
貴士 松本
洋之 永井
Hiroyuki Nagai
洋之 永井
亮太 井福
Ryota Ifuku
亮太 井福
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2016188146A priority Critical patent/JP6723128B2/ja
Priority to US15/714,951 priority patent/US10700006B2/en
Priority to KR1020170123274A priority patent/KR102017944B1/ko
Publication of JP2018056227A publication Critical patent/JP2018056227A/ja
Application granted granted Critical
Publication of JP6723128B2 publication Critical patent/JP6723128B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]

Abstract

【課題】実際に微細な凹部にニッケル膜を埋め込んで微細なニッケル配線を形成することができる技術を提供する。【解決手段】表面にトレンチ203が形成された層間絶縁膜202を有する基板Wに対し、トレンチ203を埋めてニッケル配線を製造するニッケル配線を製造するにあたり、層間絶縁膜202の表面に、成膜原料となるニッケル化合物と、還元ガスとなるNH3ガスおよびH2ガスを用いてCVDまたはALDによりニッケル膜205を形成し、トレンチ203を部分的に埋め込む工程と、基板Wをアニールして基板表面およびトレンチ側面のニッケル膜205をトレンチ203にリフローさせる工程とを有する。【選択図】図2

Description

本発明は、ニッケル配線の製造方法に関する。
近時、半導体デバイスの微細化にともない、配線の微細化も進んでいる。このため、配線材料としてバルクの抵抗が低い銅(Cu)が用いられている。
ところが、微細化がさらに進むことにより、サイズ効果による配線抵抗の増大が問題となる。すなわち、配線幅が配線内の電子の平均自由行程よりも小さくなると、電子の配線側面や粒界への衝突により散乱が生じ、配線抵抗が急激に大きくなる。電子の平均自由行程は配線材料に依存し、Cuの場合は約40nmと大きい。そのため最近の線幅32nm以下の微細配線では、Cuでは十分な配線抵抗が得難くなりつつある。
このため、次世代の配線材料としては、バルクの抵抗値はCuほど低くはないが、材料中での電子の平均自由行程がCuよりも短い材料が候補となり得る。
このような候補材料としてニッケル(Ni)がある。Niのバルクの抵抗値(抵抗率)は7μΩ−cm程度であり、Cuの1.7μΩ−cmより高いが、電子の平均自由行程は約8nmとCuよりもはるかに短い。
Ni膜を微細配線に用いた技術が特許文献1に記載されている。特許文献1には、配線の線幅または高さの少なくとも一方が15nm以下であり、NiまたはCoを主成分とする配線を有する半導体装置が記載されており、配線を形成する際の成膜方法として、化学蒸着法(CVD)、物理蒸着法(PVD)、原子層堆積法(ALD)が記載されている。
また、特許文献2〜5には、Ni膜の成膜方法が記載されている。
特許文献2には、成膜原料ガスとしてニッケルアミジネートを用い、還元ガスとしてNHガスおよびHガスを用いてCVDによりNi膜を成膜することが記載されている。
特許文献3には、金属−カルボニルプリカーサガスのパルスに基板を曝すと共に、還元ガスに基板を曝すことを含む金属層を形成する方法が記載されており、金属層としてNi、還元ガスとしてH、NHが例示されている。
特許文献4には、半導体ウエハに対し、成膜原料ガスとしてニッケルアミジネートを用い、還元ガスとしてアンモニアを用いて、化学蒸着法(CVD)により初期成膜工程を行い、次いでHガスを用いてウエハに水素処理工程を行って不純物を除去し、次いで成膜原料ガスとしてニッケルアミジネートを用い、還元ガスとしてHガスを用いて、CVDにより主成膜工程を行い、高純度のNi膜を得ることが記載されている。
特許文献5には、上記特許文献4の技術の初期成膜工程および水素処理工程を行った後、アンモニア雰囲気を形成するアンモニア雰囲気形成工程を行い、その後、ニッケルアミジネートとHガスとを用いた主成膜工程を行い、高純度で成膜レートが高いNi膜を得ることが記載されている。
特開2013−187350号公報 特開2013−209701号公報 特表2007−507892号公報 特開2014−62281号公報 特開2015−101752号公報
しかしながら、特許文献1には微細配線にNiを用いることが記載されているものの、実施例では実験用に成膜したベタ膜による評価であり、実際に配線が形成されたことまでは示されていない。
また、上記特許文献3では、Ni膜をCu配線を形成する場合のCu膜のバリア/ライナーとして用いており、上記特許文献2,4,5は、Ni膜を、MOS型半導体のソース・ドレインのコンタクト部やゲート電極のシリサイドを形成する用途、またはDRAMのキャパシタ電極としての用途に適用しており、いずれも微細な凹部にNi膜を埋め込んでNi配線を形成するものではない。
したがって、本発明は、実際に微細な凹部にニッケル膜を埋め込んで微細なニッケル配線を形成することができる技術を提供することを課題とする。
上記課題を解決するため、本発明の第1の観点は、表面に凹部が形成された基板に対し、ニッケル膜を形成し、前記凹部を埋めてニッケル配線を製造するニッケル配線の製造方法であって、前記基板の表面に、成膜原料となるニッケル化合物と、還元ガスとなるNHガスおよびHガスを用いてCVDまたはALDによりニッケル膜を形成し、前記凹部を部分的に埋め込む工程と、前記基板をアニールして前記基板表面および前記凹部側面の前記ニッケル膜を前記凹部にリフローさせる工程とを有することを特徴とするニッケル配線の製造方法を提供する。
前記基板は、基体と、該基体上に形成された下地膜を有し、前記凹部は前記下地膜に形成されているものとすることができる。前記基体は半導体からなり、前記下地膜は前記基体の所定の下部構造の上に形成された層間絶縁膜であり、前記凹部はトレンチである構成とすることができる。
前記基板の上にバリア膜を成膜する工程をさらに有し、前記ニッケル膜は前記バリア膜の上に形成されるようにすることができる。
前記ニッケル膜を成膜する際の、NHガスとHガスとの流量比率であるNH/H比の値は、0.25〜4の範囲であることが好ましい。前記NH/H比の値は0.67〜4の範囲がより好ましく、0.67〜2.33の範囲が一層好ましい。
前記ニッケル膜を成膜する際の前記成膜原料となるニッケル化合物は、ニッケルアミジネートを含むことが好ましい。
前記アニールは、200〜600℃の範囲の温度で実施されることが好ましい。
前記ニッケル膜の成膜と前記アニールとを2回以上繰り返してもよい。前記基板は、幅または径が相対的に小さい第1の凹部と、幅または径が相対的に大きい第2の凹部の少なくとも2種類の凹部を有し、1回目のニッケル膜の成膜と1回目のアニールにより、前記第1の凹部を完全に埋め込む一方、前記第2の凹部を部分的に埋め込み、2回目のニッケル膜の成膜と2回目のアニールにより、前記第2の凹部を完全に埋め込むようにすることができる。前記1回目の成膜の際の膜厚は、前記2回目の成膜の際の膜厚よりも小さいことが好ましい。また、前記2回目の成膜の際の還元ガスのNHガスとHガスとの流量比率であるNH/H比の値は、前記1回目の成膜の際のNH/H比の値よりも小さいことが好ましい。
前記ニッケル膜の成膜に先立って、前記ニッケル膜の下地をエッチバックして、前記凹部の間口を広げてもよい。
前記アニールの後、全面を研磨して、前記凹部以外の表面の膜を除去する研磨工程をさらに有してもよい。また、前記研磨工程に先立って、前記ニッケル膜の上にニッケル膜からなる積み増し層を形成する工程をさらに有してもよい。
本発明の第2の観点は、コンピュータ上で動作し、ニッケル配線製造システムを制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、上記第1の観点のニッケル配線の製造方法が行われるように、コンピュータに前記ニッケル配線製造システムを制御させることを特徴とする記憶媒体を提供する。
本発明によれば、CVDまたはALDによりニッケル膜を成膜した後、成膜したニッケル膜をアニールにより凹部内にリフローさせるので、微細な凹部を埋め込んでニッケル配線を形成することができる。
本発明の一実施形態に係るNi配線の製造方法を概略的に示すフローチャートである。 本発明の一実施形態に係るNi配線の製造方法を概略的に示す工程断面図である。 還元ガスのNH/Hを変化させてニッケルアルキルアミジネートを用いたCVDによりNi膜を成膜した場合、およびPVDによりNi膜を成膜した場合のTEM写真である。 還元ガスのNH/Hを変化させてニッケルアルキルアミジネートを用いたCVDによりNi膜を成膜した場合、およびPVDによりNi膜を成膜した場合の成膜したまま(as depo)の抵抗率を示す図である。 還元ガスのNH/Hを変化させてニッケルアルキルアミジネートを用いたCVDによりNi膜を成膜した場合のXPSによる膜の深さ方向の元素分析結果を示す図である。 還元ガスのNHガスとHガスの比率(NH/H比)を、100%/0%、80%/20%、50%/50%、20%/80%の4種として、それぞれ膜厚を変化させてCVDによりNi膜を成膜した場合の、膜厚と抵抗率との関係を示す図である。 還元ガスのNH/Hを変化させてニッケルアルキルアミジネートを用いたCVDによりNi膜を成膜した場合、およびPVDによりNi膜を成膜し、アニールした後の抵抗率を示す図である。 還元ガスのNHガスとHガスの比率(NH/H比)を、50%/50%、20%/80%の2種として、それぞれ膜厚を変化させてCVDによりNi膜を成膜し、アニールした後の、膜厚と抵抗率との関係を示す図である。 Ni膜を成膜する際に、トレンチの上部でオーバーハングが生じてNi膜が詰まった状態を模式的に示す断面図である。 狭い幅のトレンチと、広い幅のトレンチに対し、成膜とアニールを2回繰り返してトレンチ上部が詰まることなくNi膜を埋め込む手法を説明するための工程断面図である。 下地の層間絶縁膜をエッチバックしてトレンチ上部が詰まることなくNi膜を埋め込む手法を説明するための工程断面図である。 実験例1における、Ni成膜の際の各条件での、as depo状態、およびアニールした後の断面のTEM写真である。 実験例2における、as depo状態、エッチバック+成膜、エッチバック+成膜+アニール、エッチバック+(成膜+アニール)×2の断面のTEM写真である。 実験例3における、条件1〜4により形成したNi配線の断面のTEM写真、実際のCDの値および抵抗率の値を示す図である。 実験例4において、実験例3の条件3と同様の条件で、CDを変えた場合のTEM写真、実際のCDの値および抵抗率の値を示す図である。 Ni配線の製造方法を実施するために用いられる成膜システムの一例を概略的に示す水平断面図である。 図16の成膜システムに搭載されたNi膜成膜装置の一例を概略的に示す断面図である。
以下、添付図面を参照して本発明の実施形態について具体的に説明する。
<Ni配線の形成方法の概要>
最初に、本発明の一実施形態に係るNi配線の製造方法の概要について説明する。図1は本発明の一実施形態に係るNi配線の製造方法を概略的に示すフローチャート、図2はその工程断面図である。
まず、所定の下部構造(図示略)を有するシリコン等の半導体からなる基体201の上にSiO膜、低誘電率(Low−k)膜(SiCO、SiCOH等)等からなる層間絶縁膜(下地膜)202が形成され、層間絶縁膜(下地膜)202にトレンチ(凹部)203が所定パターンで形成された被処理基板である半導体ウエハ(以下、単にウエハと記す)Wを準備する(ステップ1、図2(a))。
次いで、このウエハWに対して、必要に応じて、前処理としてデガス(Degas)プロセスや前洗浄(プリクリーン;Pre−Clean)プロセスを行った後、トレンチ203の表面を含む全面にNiの拡散を抑制するバリア膜204を成膜する(ステップ2、図2(b))。
バリア膜204としては、Niに対して高いバリア性を有し、低抵抗のものが好ましく、Ti膜、TiN膜、Ta膜、TaN膜等を好適に用いることができる。バリア膜204は、物理蒸着法(PVD)で形成しても、CVDで形成してもよい。Niは従来の配線材料であるCuに比べて拡散しにくいため、バリア膜204はCu配線の場合よりも薄くてよく、1nm程度で十分である。条件によっては、バリア膜を用いることなくNi膜を埋め込むことも可能である。
次いで、成膜原料ガスと還元ガスを用いて、バリア膜204形成後のウエハに、CVDまたは原子層堆積法(ALD)によりNi膜205を全面に成膜し、トレンチ203を部分的に埋め込む(ステップ3、図2(c))。
次いで、ウエハWに対しアニール処理を施し、ウエハW表面およびトレンチ203側壁のNi膜205をトレンチ203内にリフローさせる(ステップ4、図2(d))。
次いで、必要に応じて、次の研磨工程に備えてNi膜の積み増し層206を形成する(ステップ5、図2(e))。積み増し層206は、PVDまたはCVDにより形成することができる。
次いで、CMP(Chemical Mechanical Polishing)によりウエハW表面の全面を研磨して、トレンチ203以外のフィールド部分の積み増し層206、Ni膜205、バリア膜204を除去し、平坦化する(ステップ6、図2(f))。これにより、微細なNi配線207を形成することができる。
なお、トレンチ203の底部と基体201上の下部構造との間に所定間隔でビアホールが形成されていて、トレンチ203とビアホールを同時に埋め込むようにしてもよい。
次に、以上の工程のうち、主要工程であるステップ3およびステップ4について詳細に説明する。
ステップ3の成膜工程においては、微細なトレンチへ良好なステップカバレッジでNi膜を形成するために、成膜手法としてCVDまたはALDを用いる。PVDではステップカバレッジが悪い傾向にあり、微細なトレンチへ埋め込みが困難であるが、CVDやALDを用いることで、微細トレンチ内に膜形成することができる。
CVDによりNi膜を成膜する場合には、成膜原料ガスであるNi化合物ガスと還元ガスを同時にウエハWに供給し、ALDにより成膜する場合には、成膜原料ガスの供給と還元ガスの供給とを、ウエハW上の残留ガスの除去を挟んで交互に行う。
成膜原料として用いるNi化合物としては、ニッケルアミジネート、例えばニッケルアルキルアミジネートを用いることができる。ニッケルアルキルアミジネートは、適宜の溶媒(例えばテトラリン溶媒)に溶解させ状態で用いることができる。ニッケルアルキルアミジネートとしては、ニッケル(II)N,N’−ジ−ターシャリブチルアミジネート、ニッケル(II)N,N’−ジ−イソプロピルアミジネート、ニッケル(II)N,N’−ジ−エチルアミジネート、ニッケル(II)N,N’−ジ−メチルアミジネート等を挙げることができる。また、他のNi化合物としては、ビス(シクロペンタジエニル)ニッケル、ビスメチルシクロペンタジエニルニッケル、ビス(エチルシクロペンタジエニル)ニッケル、ビス(イソプロピルシクロペンタジエニル)ニッケル、ビス(2,2,6,6−テトラメチル−3,5−へブタンジオン酸)ニッケル(II)、ビス(ヘキサフルオロアセチルアセトナト)ニッケル(II)、テトラキス(トリフルオロホスフィン)ニッケル等を挙げることができる。以上のNi化合物の中ではニッケルアミジネートを用いることが好ましい。ニッケルアミジネートを用いることにより、微細なトレンチに対して良好なステップカバレッジでNi膜を成膜することができる。また、ニッケルアミジネートは、ウエハW表面にNHガスを存在させた場合に、極めて良好な吸着性を示す。
還元ガスとしては、NHガスおよびHガスを好適に用いることができ、これらを併用することが好ましい。この場合に、還元ガスのNHガスとHガスの比率を変化させることで成膜モフォロジー(粒径)および抵抗率が変化する。具体的には、NHガスが多いと、モフォロジーが良好(粒径も小さい)となるが、Nを含有する不純物が増加して膜の抵抗率が高くなる。一方、NHガスが少ない(Hガスが多い)と、膜の不純物は少なく抵抗率は下がるがモフォロジーが悪くなる。場合によってはモフォロジーの悪化に起因して抵抗率が上昇する。微細なトレンチ203を埋め込むNi膜には低抵抗率でモフォロジーが許容範囲であることが求められ、NHガスとHガスの比率を適切に調整することにより、これらを満たすNi膜を得る。
このような点から、許容可能なNHガスとHガスの比率(NH/H比)は、流量比率(体積比率)で0.25〜4(20%/80%〜80%/20%)の範囲である。この範囲であれば抵抗率を比較的低くすることができ、トレンチ幅によってはモフォロジーも許容範囲にすることができる。NH/H比のより好ましい範囲は、0.67〜4(40%/60%〜80%/20%)である。この範囲であれば、低抵抗率とモフォロジーを両立させることができる。さらに好ましくは、0.67〜2.33((40%/60%〜70%/30%)である。
図3は、還元ガスのNH/Hを変化させてニッケルアルキルアミジネートを用いたCVDによりNi膜を成膜した場合(サンプルA〜C)、およびPVDによりNi膜を成膜した場合(サンプルD)(いずれもターゲット膜厚20nm)の透過型電子顕微鏡(TEM)写真である。図3から、NHのみの場合はモフォロジーが良好であるが、Hの割合が高くなるに従ってモフォロジーが悪化していることがわかる。図4は、このときのNi膜の抵抗率を示す図であるが、還元ガスがNHのみのサンプルCの場合は抵抗率が非常に大きいのに対し、サンプルA,BのようにHを加えることにより抵抗率が低下することがわかる。図5は、このときのサンプルA〜CのXPSによる膜の深さ方向の元素分析結果を示す図である。この図に示すように、還元ガスがNHのみのサンプルCはNi膜にNH中のNが不純物として多く残留しているのに対し、NH/H比が1(50%/50%)のサンプルBは不純物が少なく、メタリックの膜となっていることがわかる。NH/H比が0.25(20%/80%)であるサンプルAは、Ni膜中の不純物としてのNは少ないが、Oが含まれていることが確認された。これはモフォロジーが悪いことに起因するものと考えられるものであり、通常はNHが少ないほどNi膜中の不純物は低下する。図4に示すように、抵抗率がサンプルBよりもサンプルAが高くなっているのはサンプルAのNi膜にOが含まれているためと考えられる。
また、図6は、還元ガスのNHガスとHガスの比率(NH/H比)を、100%/0%、80%/20%、50%/50%、20%/80%の4種として、それぞれ膜厚を変化させてCVDによりNi膜を成膜した場合の、膜厚と抵抗率との関係を示す図である。この図に示すように、NH/H比が「100%/0%は、NH/H比が、80%/20%、50%/50%、20%/80%の場合に比較して高いことが確認された。このことから、低抵抗の膜を得るために、NH/Hの値は4(80%/20%)以下が好ましいことが確認された。
ステップ3の成膜工程は、150〜350℃の範囲の温度で行われることが好ましい。用いるNi化合物によって好ましい温度範囲は異なるが、Ni化合物としてニッケルアミジネートを用いる場合は、150〜350℃の範囲が好ましい。また、成膜の際の圧力は、133.32〜1333.2Pa(1〜10Torr)が好ましい。
ステップ4のアニール工程では、アニールの際の熱により、ウエハW表面およびトレンチ203側壁のNi膜205をトレンチ203内にリフロー(流動)させる。このように下地上に成膜されたNi膜205をアニールによりトレンチ内にリフローできる点は、本発明者らが初めて見出した知見である。従来のCu配線のCu膜はTiN膜等のバリア膜上で流動し難かったが、Ni膜ではバリア膜上でも良好な流動性を有し、リフローが可能となる。また、バリア膜を設けない場合でも、下地の層間絶縁膜上で良好な流動性を示す。このようにウエハWの表面やトレンチ側面のNi膜をトレンチ203内にリフローさせることにより、成膜したままでは埋め込むことが困難な微細トレンチでも良好な埋め込み性を確保することができる。
このアニール工程の温度は、200〜600℃の範囲、例えば400℃であることが好ましい。また、アニール工程の雰囲気は特に限定されないが、Nガス等の不活性雰囲気で行うことができる。また、Hガス雰囲気で行うこともできる。
アニールの際のリフロー特性は、不純物が少なくメタリックな膜であるほど良好となる。逆に、還元ガスをNHガスのみとした場合に形成されるような不純物が多いNi膜の場合には、流動し難く、その場で凝集しやすい。
また、アニール処理は、Niの結晶化を進行させ、また、不純物を低減させる効果もあるので、Ni膜の抵抗率を低下させることにも寄与する。図7は、上記サンプルA〜DをN雰囲気にて400℃で40minアニールを施した後のNi膜の抵抗率の値であるが、図4と比較すると、全てのサンプルで抵抗率が低下し、特に、as depoで不純物が多いサンプルCにおいて抵抗率の低下が大きいことがわかる。また、アニール後の抵抗率の値自体は、as depoで不純物が少なくメタリックな膜であるサンプルBが、サンプルDのPVD−Ni膜に近い20μΩ・cmという低い値を示した。図8は、NH/H比が、50%/50%、20%/80%の場合について、それぞれ膜厚を変化させてCVDによりNi膜を成膜した際、およびその後、H雰囲気にて600℃で10minアニールした際の抵抗率を示す図であるが、いずれの場合でもアニールにより、10μΩ・cmに近い極めて低い値となることが確認された。
なお、アニールをHガス雰囲気で行うことで不純物除去効果を高くすることができるが、不純物が比較的多く含まれている膜をHガス雰囲気でアニールすると凝集しやすくなるので注意を要する。
ステップ3の成膜工程の際に、図9に示すように、トレンチ203の上部でオーバーハングが生じてNi膜205が詰まってしまうと、トレンチ203の底部までNi膜がリフローし難くなり、埋め込み部分に欠陥が生じるおそれがあるため、トレンチ203の上部が詰まらない程度に薄くNi膜205を成膜することが好ましい。このとき、1回でトレンチ203を全て埋め込むことができる厚さでNi膜205を成膜することが困難な場合や、微細なトレンチに対して良好な埋め込み性で埋め込むためには、成膜とアニールを2回以上繰り返すことが好ましい。
成膜とアニールを2回以上繰り返す例としては、以下のような例を挙げることができる。
例えば、図10(a)に示すように、少なくとも、狭い幅(例えば幅が10〜50nm)のトレンチ203aと、広い幅(例えば幅が50〜100nm)のトレンチ203bを有しているウエハWにおいて、1回目のNi膜205の成膜を行った後、1回目のアニールによってNi膜205をリフローさせ、狭い幅のトレンチ203aを埋め込む(図10(b))。このとき、広い幅のトレンチ203bは完全には埋め込まれていない。次に、2回目のNi膜205の成膜を行い、その後、2回目のアニールを行って2回目のNi膜205をリフローさせ、広い幅のトレンチ203bを埋め込む(図10(c))。このようにすることにより、幅が異なるトレンチをトレンチ上部が詰まることなく良好に埋め込むことができる。
この場合に、1回目の成膜の際のNi膜の膜厚は、2回目の成膜の際のNi膜の膜厚よりも小さくすることが好ましい。これにより、1回目のアニールの際のリフローにより、狭い幅のトレンチ203aの上部が詰まることを防止しつつ埋め込むことができ、2回目のアニールの際のリフローにより広い幅のトレンチ203bを埋め込むことができる。
また、2回目のNi膜成膜の際の還元ガスのNH/H比は、1回目のNi膜成膜の際の還元ガスのNH/H比よりも小さくすることが好ましい。つまり、2回目の成膜のほうが1回目の成膜よりも還元ガス中のHガスの量を多くすることが好ましい。これは、1回目に成膜されたNi膜は、1回目のアニールによりリフローされて狭い幅のトレンチ203aを埋め込むものであるため、ある程度モフォロジーが高いことが要求されるが、2回目に成膜されたNi膜は、2回目のアニールによりリフローされて広い幅のトレンチ203bを埋め込むものであるため、1回目よりもモフォロジーが低くてもよく、Hガスの比率を高めて低抵抗化を図ることが好ましいからである。
また、以上のように、成膜とアニールを2回以上繰り返すことにより、Ni膜にアニールが2回以上施され、Ni膜の抵抗率をさらに低減させる効果がもたらされる。
トレンチ203の上部でNi膜205が詰まってしまうことを防止する手法としては、上述した成膜とアニールを2回以上繰り返す他に、Ni膜の成膜に先立って下地の層間絶縁膜(下地膜)202をエッチバックすることも有効である。すなわち、図11(a)のように、層間絶縁膜(下地膜)202にトレンチ203が形成されている状態で、トレンチ203に突出部210が形成されることがあるが、下地の層間絶縁膜(下地膜)202をエッチバックすることで、図11(b)のように、トレンチ203の突出部210がエッチングされてトレンチ203の間口が広がり、図11(c)のように、Ni膜205を埋め込んだ際に、トレンチ203の上部でオーバーハングが生じることが防止される。
また、下地の層間絶縁膜(下地膜)202をエッチバックすることと、成膜およびアニールを2回以上繰り返すことの両方を行ってもよい。これにより、トレンチ203の上部でNi膜205が詰まってしまうことをより一層有効に抑制することができる。
以上のように、本実施形態では、CVDまたはALDによりNi膜205を成膜した後、成膜したNi膜205をアニールによりトレンチ203内にリフローさせるので、微細なトレンチを埋め込んでNi配線を形成することができる。Niは、電子の平均自由行程がCuよりもはるかに短いため、微細配線をNi配線とすることで、Cu配線よりも抵抗率を低くすることが可能になる。また、Ni配線は、Cu配線で必要であったバリア膜やライナー膜をなくすことができ、バリア膜が必要な場合であってもCu配線の場合よりも薄くすることができるので、配線中のNi膜の体積を大きくすることができ、配線をより低抵抗にすることができる。
また、CVDまたはALDによりNi膜205を成膜する場合に、Ni化合物ガスを還元する還元ガスとしてNHガスおよびHガスを用いることにより、これらの比率によって膜のモフォロジーと不純物量を調整することができ、微細なトレンチ203に低抵抗のNi膜を欠陥なく埋め込むことができる。
さらに、Ni化合物ガスとしてニッケルアミジネートを用いることにより、微細なトレンチに対して良好なステップカバレッジでNi膜を成膜することができ、より良好な埋め込み性を得ることができる。
<実験例>
以下実験例について説明する。
[実験例1]
ここでは、Si基体上に、テトラエチルオルソシリケート(TEOS)を用いたCVDによりSiO膜を形成し、このSiO膜に実際のCD(ミドルCD)が30〜150nm、深さ200nmのトレンチを複数形成したウエハを準備した。
このような構造のウエハに対し、CVDにより厚さ1nmのTiN膜からなるバリア膜を成膜した後、厚さ20nmのNi膜を成膜した。Ni膜は、成膜原料であるNi化合物としてニッケルアルキルアミジネートを用い、還元ガスとしてNHガスおよびHガスを用いて250℃で成膜した。還元ガスは、NH/H比を20%/80%、50%/50%、100%/0%(NHのみ)の3種類とした。その後、Nガス雰囲気にて400℃で40minのアニール処理を施して、Ni膜をリフローさせた。
図12に、成膜したままの(as depo)状態およびアニール後の断面のTEM写真を示す。これらの写真に示すように、as depo状態では、NHガスの比率が高くなるほど膜のモフォロジーは良好になるが、いずれもトレンチ内にシームが残り、欠陥のない埋め込みができなかった(図12(a))。これに対し、成膜後アニールしてNi膜をリフローさせた場合は、NH/H比が20%/80%およびNHガスのみではトレンチ内にボイドが生じたものの、NH/H比が50%/50%の場合は、良好な埋め込み性が得られた(図12(b))。これは、NH/H比が20%/80%ではモフォロジーが悪く、NHガスのみでは不純物が多いのに対し、NH/H比が50%/50%の場合には、モフォロジーが許容範囲であり、かつ不純物が少ないためであると考えられる。
[実験例2]
ここでは、Si基体上に、TEOSを用いたCVDによりSiO膜を形成し、このSiO膜に実際のCD(ミドルCD)が30〜150nm、深さ200nmのトレンチを複数形成したウエハを準備した。
このような構造のウエハに対し、CVDにより厚さ1nmのTiN膜からなるバリア膜を成膜し、さらに厚さ20nmのNi膜を成膜した。Ni膜は、成膜原料であるNi化合物としてニッケルアルキルアミジネートを用い、還元ガスとしてNHガスおよびHガスを用い、NH/H比を50%/50%、100%/0%(NHのみ)の2種類とし、250℃で成膜した。
また、膜形成に先立って、SiO膜のエッチバックを行い(エッチングガス:C、O、Ar)、トレンチの間口を広げた後、CVDにより厚さ1μmのTiN膜からなるバリア膜を成膜し、さらに厚さ30nmとしたNi膜を成膜したサンプルも作製した。この時のNi膜の成膜条件は上記条件と同様とした。
このエッチバックを行ったサンプルについては、Ni膜を成膜後、Nガス雰囲気にて400℃で40minのアニール処理を施して、Ni膜をリフローさせた。
さらに、膜形成に先立って、SiO膜のエッチバックを行い(エッチングガス:C、O、Ar)、トレンチの間口を広げた後、CVDにより厚さ1nmのTiN膜からなるバリア膜を成膜し、さらに還元ガスのH/H比を50%/50%の条件に限定し、厚さ20nmとしたNi膜の成膜と、上記と同様の条件のアニール処理とを2回繰り返したサンプルも作製した。
図13に、これらのサンプルの断面のTEM写真を示す。これらの写真に示すように、as depo状態では、いずれもトレンチ内にシームが残り、欠陥のない埋め込みができなかった(図13(a))。これに対し、エッチバックした後、成膜した場合には、還元ガスがNHガスのみでは、モフォロジーが良好なため、成膜した段階で良好な埋め込みが達成されたが、NH/H比が50%/50%では、成膜した段階で明確なシームは見られないものの、欠陥が残存していた(図13(b))。また、その後アニール処理を行うことにより、還元ガスがNHガスのみでは、トレンチ上部でNiの凝集が生じた。一方、NH/H比が50%/50%では、アニール処理によりNiがトレンチ内にリフローされ、CDが50nm以下のトレンチは良好な埋め込み性にて埋め込まれたが、それを超えるCDのトレンチは、十分に埋め込むことができなかった(図13(c))。さらに、成膜の際に還元ガスのH/H比を50%/50%の条件に限定し、20nmの成膜とアニールを2回繰り返した場合には、全てのトレンチを欠陥が存在しない良好な埋め込み性で埋め込むことができた(図13(d))。
[実験例3]
ここでは、複数の条件で実際にNi配線を形成した場合の抵抗率測定結果について説明する。
所定の下部構造を有するSi基体上に、TEOSを用いたCVDによりSiO膜を形成し、このSiO膜に実際のCD(ミドルCD)が約30nmのトレンチを複数形成したウエハを準備した。このような構造のウエハに対し、CVDにより厚さ1nmのTiN膜からなるバリア膜を成膜し、次いで種々の条件でNi膜の埋め込みを行い、次いでPVDにより厚さ100nmのNi膜の積み増し層を形成後、CMPを3分間行った。
Ni膜の埋め込みは、成膜原料であるNi化合物としてニッケルアルキルアミジネートを用い、還元ガスのNH/H比を50%/50%として、厚さ30nm成膜し、アニールは行わなかったもの(条件1)、Ni膜を同様の条件で成膜した後、Nガス雰囲気にて400℃で40minのアニール処理を施したもの(条件2)、同様の条件での厚さ20nmのNi膜の成膜と、同様の条件でアニール処理とを2回繰り返したもの(条件3)、還元ガスをNHガスのみとして、厚さ30nm成膜し、アニールは行わなかったもの(条件4)の4つの条件で行った。これらについて抵抗率を測定した。
図14に、これらの条件により形成したNi配線の断面のTEM写真、ならびにこれらの実際のCDの値および抵抗率の値を示す。これらの写真に示すように、条件1では埋め込み性が十分ではなく、抵抗率が45.8μΩ・cmと高い値を示した。これに対して、アニールによるリフローを行った条件2では、埋め込み性が条件1よりも改善され、抵抗率も23.6μΩ・cmまで低下した。また、成膜とアニールによるリフローを2回繰り返した条件3では、トレンチ底部に小さいボイドが見られたものの、抵抗率が21.1μΩ・cmと最も低かった。還元ガスとしてNHガスのみを用いてNi膜を成膜した条件4では、CMPによりトレンチ内のNiのロスが生じ、抵抗率を測定することができなかった。
[実験例4]
ここでは、CDを変化させて実際にNi配線を形成した場合の抵抗率測定結果について説明する。
実験例3と同様、所定の下部構造を有するSi基体上に、TEOSを用いたCVDによりSiO膜を形成し、このSiO膜にCDを変えて複数のトレンチを形成した複数のウエハを準備した。各ウエハのトレンチのCDは、53nm、41nm、35nm、30nmとした。これらのウエハに対し、CVDにより厚さ1nmのTiN膜からなるバリア膜を成膜し、次いで上記実験例3の条件3と同様の条件で成膜とアニールによるリフローを2回繰り返してNi膜の埋め込みを行い、次いでPVDにより厚さ100nmのNi膜の積み増し層を形成後、CMPを3分間行った。これらについて抵抗率を測定した。
図15に、これらの条件により形成したNi配線の断面のTEM写真、ならびにこれらの実際のCDの値および抵抗率の値を示す。これらの写真に示すように、CDが35nm以上では、良好な埋め込み性が得られ、抵抗率も約15μΩ・cmと低い値が得られた。CDが30nmの場合には、トレンチ底部に小さいボイドが見られ、抵抗率が21.1μΩ・cmとわずかに高い値となった。これらの結果から、Ni膜の埋め込み条件をさらに調整して最適化することにより、CDが30nm以下でも良好な埋め込み性でNi膜を埋め込んで、15μΩ・cm程度の低い抵抗率となることが期待される。
<成膜システム>
次に、上述したNi配線の製造方法を実施するために用いられる成膜システムの一例について説明する。
図16は、成膜システムの一例を概略的に示す水平断面図である。
図16に示すように、本例の成膜システム300は、平面形状が七角形をなす真空搬送室301を有し、真空搬送室301の4つの壁部にそれぞれゲートバルブGを介してTiN膜成膜装置302、Ni膜成膜装置303、アニール装置304、および積み増し層形成装置305が接続されている。TiN膜成膜装置302は、CVDまたはALDによりバリア膜としてのTiN膜を成膜するものである。Ni膜成膜装置303は、CVDまたはALDによりトレンチを埋め込むためのNi膜を成膜するものである。アニール装置304は、Ni膜成膜後にウエハをアニールしてNi膜をトレンチ内にリフローするためのものである。積み増し層形成装置305は、埋め込みのためのNi膜の上にさらにPVDまたはCVDにより次のCMP処理に備えてNi膜の積み増し層を形成するためのものである。真空搬送室301内は、真空ポンプにより排気されて所定の真空度に保持される。
また、真空搬送室301の他の3つの壁部には3つのロードロック室306がゲートバルブG1を介して接続されている。ロードロック室306を挟んで真空搬送室301の反対側には大気搬送室307が設けられている。3つのロードロック室306は、ゲートバルブG2を介して大気搬送室307に接続されている。ロードロック室306は、大気搬送室307と真空搬送室301との間でウエハWを搬送する際に、大気圧と真空との間で圧力制御するものである。
大気搬送室307のロードロック室306取り付け壁部とは反対側の壁部にはウエハWを収容するキャリア(FOUP等)Cを取り付ける3つのキャリア取り付けポート308を有している。また、大気搬送室307の側壁には、シリコンウエハWのアライメントを行うアライメントチャンバー309が設けられている。大気搬送室307内には清浄空気のダウンフローが形成されるようになっている。
真空搬送室301内には、ウエハ搬送機構310が設けられている。ウエハ搬送機構310は、TiN膜成膜装置302、Ni膜成膜装置303、アニール装置304、積み増し層形成装置305、およびロードロック室306に対してウエハWを搬送する。ウエハ搬送機構310は、独立に移動可能な2つの搬送アーム310a,310bを有している。
大気搬送室307内には、ウエハ搬送機構311が設けられている。ウエハ搬送機構311は、キャリアC、ロードロック室306、アライメントチャンバー309に対してシリコンウエハWを搬送するようになっている。
成膜システム300は、全体制御部312を有している。全体制御部312は、TiN膜成膜装置302、Ni膜成膜装置303、アニール装置304、積み増し層形成装置305の各構成部、真空搬送室301の排気機構やウエハ搬送機構310、ロードロック室306の排気機構やガス供給機構、大気搬送室307のウエハ搬送機構311、ゲートバルブG、G1、G2の駆動系等を制御する、CPU(コンピュータ)を有する主制御部と、入力装置(キーボード、マウス等)、出力装置(プリンタ等)、表示装置(ディスプレイ等)、記憶装置(記憶媒体)を有している。全体制御部312の主制御部は、例えば、記憶装置に内蔵された記憶媒体、または記憶装置にセットされた記憶媒体に記憶された処理レシピに基づいて、成膜システム300に、所定の動作を実行させる。また、全体制御部312は、図示していないCMP装置も制御するようになっている。
なお、成膜システム300とCMP装置とにより、Ni配線製造システムを構成する。
次に、成膜システム300において中心となるNi膜成膜装置303について説明する。
図17は、Ni膜成膜装置303の一例を示す断面図である。このNi膜成膜装置303は、気密に構成された略円筒状のチャンバー1を有しており、その中には被処理基板であるウエハWを水平に支持するためのサセプタ2が、後述する排気室の底部からその中央下部に達する円筒状の支持部材3により支持された状態で配置されている。このサセプタ2は例えばAlN等のセラミックスからなっている。また、サセプタ2にはヒーター5が埋め込まれており、このヒーター5にはヒーター電源6が接続されている。一方、サセプタ2の上面近傍には熱電対7が設けられており、熱電対7の信号はヒーターコントローラ8に伝送されるようになっている。そして、ヒーターコントローラ8は熱電対7の信号に応じてヒーター電源6に指令を送信し、ヒーター5の加熱を制御してウエハWを所定の温度に制御するようになっている。サセプタ2の内部のヒーター5の上方には、高周波電力印加用の電極27が埋設されている。この電極27には整合器28を介して高周波電源29が接続されており、必要に応じて電極27に高周波電力を印加してプラズマを生成し、プラズマCVDを実施することも可能となっている。なお、サセプタ2には3本のウエハ昇降ピン(図示せず)がサセプタ2の表面に対して突没可能に設けられており、ウエハWを搬送する際に、サセプタ2の表面から突出した状態にされる。また、サセプタ2は昇降機構(図示せず)により昇降可能となっている。
チャンバー1の底壁には、下方に向けて突出する排気室21が設けられている。チャンバー1内は排気室21を介して排気機構20により排気されるようになっている。排気機構20は、排気室21の側面に接続された排気管22と、排気配管22に介装された、チャンバー1内の圧力を制御するための自動圧力制御弁(APC)23と、排気配管22を介してチャンバー1内を排気するための真空ポンプ24とを有している。
チャンバー1の側壁には、ウエハWの搬入出を行うための搬入出口25が形成されており、この搬入出口25はゲートバルブGを介して真空搬送室301と接続されている。
チャンバー1の天壁1aには、円形の孔1bが形成されており、そこからチャンバー1内へ突出するようにシャワーヘッド10が嵌め込まれている。シャワーヘッド10は、後述するガス供給機構30から供給された成膜用のガスをチャンバー1内に吐出するためのものであり、その上部には、成膜原料ガスであるニッケル化合物ガスを導入する第1の導入路11と、還元ガスとしてのNHガスおよびHガスを導入する第2の導入路12とを有している。シャワーヘッド10は、ベース部材13と、シャワープレート14と、ベース部材13とシャワープレート14の間に設けられた円筒状の側壁15とを有しており、内部にガス拡散空間16が形成されている。シャワープレート14には複数のガス吐出孔17が形成されている。シャワーヘッド10はヒーター(図示せず)により加熱されるようになっている。
ガス供給機構30は、成膜原料として、上述したようなNi化合物を貯留する成膜原料タンク31を有している。成膜原料タンク31には、Ni化合物として例えばニッケルアルキルアミジネートが適宜の溶媒(例えばテトラリン溶媒)に溶解させ状態で貯留されている。成膜原料タンク31の周囲にはヒーター31aが設けられており、成膜減容タンク31内の成膜原料を適宜の温度に加熱することができるようになっている。なお、ニッケル含有化合物として常温で液体のものを用いるときは溶媒に溶かすことなくそのまま貯留することができる。
成膜原料タンク31には、上方からバブリングガスであるArガスを供給するためのバブリング配管32が成膜原料に浸漬されるようにして挿入されている。バブリング配管32にはArガス供給源33が接続されており、また、流量制御器としてのマスフローコントローラ34およびその前後のバルブ35が介装されている。また、成膜原料タンク31内には原料ガス送出配管36が上方から挿入されており、この原料ガス送出配管36の他端はシャワーヘッド10の第1の導入路11に接続されている。原料ガス送出配管36にはバルブ37が介装されている。また、原料ガス送出配管36には成膜原料ガスの凝縮防止のためのヒーター38が設けられている。そして、バブリングガスであるArガスが成膜原料に供給されることにより成膜原料タンク31内で成膜原料がバブリングにより気化され、生成された成膜原料ガスが、原料ガス送出配管36および第1の導入路11を介してシャワーヘッド10内に供給される。
バブリング配管32と原料ガス送出配管36との間は、バイパス配管48により接続されており、この配管48にはバルブ49が介装されている。バブリング配管32および原料ガス送出配管36における配管48接続部分の下流側にはそれぞれバルブ35a,37aが介装されている。そして、バルブ35a,37aを閉じてバルブ49を開くことにより、Arガス供給源33からのアルゴンガスを、バブリング配管32、バイパス配管48、原料ガス送出配管36を経て、パージガス等としてチャンバー1内に供給することが可能となっている。なお、Arガスの代わりにNガス等の他の不活性ガスを用いてもよい。
シャワーヘッド10の第2の導入路12には、配管40が接続されており、配管40にはバルブ41が設けられている。この配管40は分岐配管40a,40bに分岐しており、分岐配管40aにはNHガス供給源42が接続され、分岐配管40bにはHガス供給源43が接続されている。また、分岐配管40aには流量制御器としてのマスフローコントローラ44およびその前後のバルブ45が介装されており、分岐配管40bには流量制御器としてのマスフローコントローラ46およびその前後のバルブ47が介装されている。配管40にはArガス配管51が接続され、Arガス配管51にはパージガス等としてArガスを供給するArガス供給源52が接続されている。Arガス配管51には流量制御器としてのマスフローコントローラ53およびその前後のバルブ54が介装されている。なお、Arガスの代わりに他の不活性ガスを用いてもよい。
必要に応じて電極27に高周波電力を印加してプラズマCVDを実施する場合には、Arガス供給源52から供給されるArガスをプラズマ着火用として用いることができる。
原料ガス送出配管36には第1のプリフローライン61が接続されており、配管40には第2のプリフローライン62が接続されている。これら第1および第2のプリフローライン61および62は、排気管22に接続されており、原料ガスであるNi化合物ガスおよび還元ガスをチャンバー1を介さずに排気するプリフローを行えるようになっている。第1のプリフローライン61には、配管40側と排気管22側にそれぞれバルブ63および64が設けられており、第2のプリフローライン62には、配管40側と排気管22側にそれぞれバルブ65および66が設けられていて、これらにより、プリフローとチャンバー1への供給を切り替えられるようになっている。
以上のように構成された成膜システム300においては、図2(a)に示すような所定の下部構造(図示略)を有する基体201の上に層間絶縁膜(下地膜)202が形成され、層間絶縁膜(下地膜)202にトレンチ(凹部)203が所定パターンで形成されたウエハWを所定枚数収容したキャリアCを大気搬送室307のキャリア取り付けポート308に接続する。そして、まず、ウエハ搬送機構311によりキャリアCからウエハWを取り出し、いずれかのロードロック室306のゲートバルブG2を開けてウエハWをそのロードロック室306に搬入する。ゲートバルブG2を閉じた後、ロードロック室306内を真空排気する。
そのロードロック室306が、所定の真空度になった時点でゲートバルブG1を開けて、ウエハ搬送機構310によりロードロック室306からウエハWを取り出す。そして、TiN成膜装置302のゲートバルブGを開けて、ウエハ搬送機構310に保持されたウエハWをTiN膜成膜装置302のチャンバー内に搬入する。そして、チャンバー内に例えばTi原料ガスとしてTiClガス窒化ガスとしてNHガスを供給してCVDまたはALDによりバリア膜であるTiN膜の成膜を行う。
TiN膜の成膜後、ウエハ搬送機構310により、TiN膜成膜装置302内のウエハWを取り出す。そして、Ni膜成膜装置303のゲートバルブGを開けて、ウエハ搬送機構310に保持されたウエハWをNi膜成膜装置303のチャンバー1内に搬入して、主要の工程であるNi膜の成膜を行う。
以下、詳細に説明する。
ウエハ搬送機構310によりウエハWをNi膜成膜装置303のチャンバー1内に搬入した後、ウエハWを載置台2上に載置する。そして、ウエハ搬送機構310を真空搬送室301に戻し、ゲートバルブGを閉じる。載置台2上のウエハWをヒーター5により所定の温度、例えば200〜300℃の範囲内の所定の温度に加熱するとともに、排気機構20によりチャンバー1内を排気しつつ、Arガス供給源33および52からパージガスとしてArガスを供給してチャンバー1内の圧力調整を行い、次いでArガス供給源33からバブリング配管32を介して成膜原料タンク31内にArガスを供給してバブリングし、原料ガス送出配管36および第1のプリフローライン61を介してNi化合物ガスであるニッケルアルキルアミジネートのプリフローを行うとともに、NHガス供給源42から分岐配管40a、配管40および第2のプリフローライン62を介してNHガスのプリフローを行う。
その後、バルブ65,66,41を切り替えてNHガスをチャンバー1側に供給し、ウエハWの表面にNHガスを吸着させる。その後、バルブ63,64,37を切り替えて成膜原料タンク31内のNi化合物ガスであるニッケルアルキルアミジネートガスをチャンバー1側に供給し、さらに還元ガスとしてNHガスに加えてHガスも供給してNi膜を成膜する。このとき、Ni化合物ガスであるニッケルアルキルアミジネートガスと還元ガスであるNHガスおよびHガスとを同時に供給してCVDによりNi膜を成膜してもよいし、ニッケルアルキルアミジネートガスと還元ガスとをチャンバー1のパージを挟んで交互に供給してALDによりNi膜を成膜してもよい。
これにより、ウエハWに形成されたトレンチ内に部分的にNi膜が埋め込まれる。このとき、予めウエハWの表面にNHガスが吸着されていることにより、Ni化合物ガスであるニッケルアルキルアミジネートの吸着性を良好にすることができ、良好なステップカバレッジでNi膜を成膜することができる。
Ni膜を成膜してトレンチ内に所定のNi膜の埋め込みがなされた後、Ni化合物ガスであるニッケルアルキルアミジネートガスおよび還元ガスを停止し、チャンバー1内をパージする。その後、ゲートバルブGを開け、ウエハ搬送機構310によりNi膜成膜後のウエハWをチャンバー1から取り出す。そして、アニール装置304のゲートバルブGを開けて、ウエハ搬送機構310に保持されたウエハWをアニール装置304のチャンバー内に搬入する。アニール装置304では、チャンバー内を所定の雰囲気、例えばNガス雰囲気等の不活性雰囲気にし、ウエハWを200〜600℃、例えば400℃で所定時間アニール処理を行い、ウエハWの表面やトレンチの側面に存在するNi膜をトレンチ内にリフローさせる。
なお、Ni膜成膜装置303によるNi膜の成膜と、アニール装置304によるアニールとを2回以上繰り返してもよい。
アニール処理後、ウエハ搬送機構310により、アニール装置304内のウエハWを取り出す。そして、積み増し層形成装置305のゲートバルブを開けて、ウエハ搬送機構310に保持されたウエハWを積み増し層形成装置305のチャンバーに搬入する。そして、チャンバー内でPVDまたはCVDにより積み増し層としてのNi膜を成膜する。なお、PVDで積み増し層を形成する場合は、圧力調整を容易にするために別の真空搬送室に積み増し層形成装置305を接続してもよい。
積み増し層形成後、ウエハ搬送機構310によりウエハWを取り出し、ゲートバルブG1を開けて、ウエハ搬送機構310からロードロック室306にウエハWを搬送し、ゲートバルブG1を閉じてロードロック室306内を大気圧に戻す。その後、ゲートバルブG2を開けて、ウエハ搬送機構311にてロードロック室306内のウエハWをキャリアCに戻す。
以上のような処理を、複数のウエハWについて同時並行的に行って、キャリアCに搭載されている枚数のウエハWに対して一連の処理が完了する。
その後、キャリアCをCMP装置(図示せず)に搬送し、キャリアCからウエハWを一枚ずつ取り出しウエハWのCMP処理を行い、トレンチ以外のフィールド部分の積み増し層、Ni膜、バリア膜を除去し、平坦化する。これにより微細なNi配線を形成することができる。
<他の適用>
以上、本発明の実施形態について説明したが、本発明は、上記実施形態に限定されることなく、本発明の技術思想の範囲内で種々変形可能である。例えば、上記実施形態で用いた成膜システム、Ni膜成膜装置は、あくまで例示であって、本実施形態に限るものではない。
また、上記実施形態では、トレンチが形成された層間絶縁膜に対してNi膜を成膜してトレンチを埋め込んでNi配線を製造する場合について説明したが、これに限らず、ホール等他の凹部であってもよく、基板に形成された凹部にNi膜を埋め込んでNi配線を製造する場合であれば適用可能である。
また、被処理基板として半導体ウエハを例示したが、本発明の原理上、これに限定されるものではなく、例えば液晶表示装置用基板に代表されるFPD用基板やセラミック基板等の他の基板であってもよいことは言うまでもない。
1;チャンバー
2;サセプタ
5;ヒーター
10;シャワーヘッド
30;ガス供給機構
31;成膜原料タンク
42;NHガス供給源
43;Hガス供給源
201;基体
202;層間絶縁膜
203;トレンチ
204;バリア膜
205;Ni膜
206;積み増し層
207;Ni配線
300;成膜システム
301;真空搬送室
302;TiN膜成膜装置
303;Ni膜成膜装置
304;アニール装置
W;半導体ウエハ

Claims (17)

  1. 表面に凹部が形成された基板に対し、ニッケル膜を形成し、前記凹部を埋めてニッケル配線を製造するニッケル配線の製造方法であって、
    前記基板の表面に、成膜原料となるニッケル化合物と、還元ガスとなるNHガスおよびHガスを用いてCVDまたはALDによりニッケル膜を形成し、前記凹部を部分的に埋め込む工程と、
    前記基板をアニールして前記基板表面および前記凹部側面の前記ニッケル膜を前記凹部にリフローさせる工程とを有することを特徴とするニッケル配線の製造方法。
  2. 前記基板は、基体と、該基体上に形成された下地膜を有し、前記凹部は前記下地膜に形成されていることを特徴とする請求項1に記載のニッケル配線の製造方法。
  3. 前記基体は半導体からなり、前記下地膜は前記基体の所定の下部構造の上に形成された層間絶縁膜であり、前記凹部はトレンチであることを特徴とする請求項2に記載のニッケル配線の製造方法。
  4. 前記基板の上にバリア膜を成膜する工程をさらに有し、前記ニッケル膜は前記バリア膜の上に形成されることを特徴とする請求項1から請求項3のいずれか1項に記載のニッケル配線の製造方法。
  5. 前記ニッケル膜を成膜する際の、NHガスとHガスとの流量比率であるNH/H比の値は、0.25〜4の範囲であることを特徴とする請求項1から請求項4のいずれか1項に記載のニッケル配線の製造方法。
  6. 前記ニッケル膜を成膜する際の、前記NH/H比の値は、0.67〜4の範囲であることを特徴とする請求項5に記載のニッケル配線の製造方法。
  7. 前記ニッケル膜を成膜する際の、前記NH/H比の値は、0.67〜2.33の範囲であることを特徴とする請求項6に記載のニッケル配線の製造方法。
  8. 前記ニッケル膜を成膜する際の前記成膜原料となるニッケル化合物は、ニッケルアミジネートを含むことを特徴とする請求項1から請求項7のいずれか1項に記載のニッケル配線の製造方法。
  9. 前記アニールは、200〜600℃の範囲の温度で実施されることを特徴とする請求項1から請求項8のいずれか1項に記載ニッケル配線の製造方法。
  10. 前記ニッケル膜の成膜と前記アニールとを2回以上繰り返すことを特徴とする請求項1から請求項9のいずれか1項に記載のニッケル配線の製造方法。
  11. 前記基板は、幅または径が相対的に小さい第1の凹部と、幅または径が相対的に大きい第2の凹部の少なくとも2種類の凹部を有し、
    1回目のニッケル膜の成膜と1回目のアニールにより、前記第1の凹部を完全に埋め込む一方、前記第2の凹部を部分的に埋め込み、2回目のニッケル膜の成膜と2回目のアニールにより、前記第2の凹部を完全に埋め込むことを特徴とする請求項10に記載のニッケル配線の製造方法。
  12. 前記1回目の成膜の際の膜厚は、前記2回目の成膜の際の膜厚よりも小さいことを特徴とする請求項11に記載のニッケル配線の製造方法。
  13. 前記2回目の成膜の際の還元ガスのNHガスとHガスとの流量比率であるNH/H比の値は、前記1回目の成膜の際のNH/H比の値よりも小さいことを特徴とする請求項11または請求項12に記載のニッケル配線の製造方法。
  14. 前記ニッケル膜の成膜に先立って、前記ニッケル膜の下地をエッチバックして、前記凹部の間口を広げることを特徴とする請求項1から請求項13のいずれか1項に記載のニッケル配線の製造方法。
  15. 前記アニールの後、全面を研磨して、前記凹部以外の表面の膜を除去する研磨工程をさらに有することを特徴とする請求項1から請求項14のいずれか1項に記載のニッケル配線の製造方法。
  16. 前記研磨工程に先立って、前記ニッケル膜の上にニッケル膜からなる積み増し層を形成する工程をさらに有することを特徴とする請求項15に記載のニッケル配線の製造方法。
  17. コンピュータ上で動作し、ニッケル配線製造システムを制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、請求項1から請求項16のいずれかのニッケル配線の製造方法が行われるように、コンピュータに前記ニッケル配線製造システムを制御させることを特徴とする記憶媒体。
JP2016188146A 2016-09-27 2016-09-27 ニッケル配線の製造方法 Active JP6723128B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2016188146A JP6723128B2 (ja) 2016-09-27 2016-09-27 ニッケル配線の製造方法
US15/714,951 US10700006B2 (en) 2016-09-27 2017-09-25 Manufacturing method of nickel wiring
KR1020170123274A KR102017944B1 (ko) 2016-09-27 2017-09-25 니켈 배선의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016188146A JP6723128B2 (ja) 2016-09-27 2016-09-27 ニッケル配線の製造方法

Publications (2)

Publication Number Publication Date
JP2018056227A true JP2018056227A (ja) 2018-04-05
JP6723128B2 JP6723128B2 (ja) 2020-07-15

Family

ID=61686632

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016188146A Active JP6723128B2 (ja) 2016-09-27 2016-09-27 ニッケル配線の製造方法

Country Status (3)

Country Link
US (1) US10700006B2 (ja)
JP (1) JP6723128B2 (ja)
KR (1) KR102017944B1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022530787A (ja) * 2019-05-01 2022-07-01 アプライド マテリアルズ インコーポレイテッド 完全にアライメント調整されたサブトラクティブプロセス及びその電子デバイス

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10468261B2 (en) * 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US20230002888A1 (en) * 2021-07-01 2023-01-05 Applied Materials, Inc. Method of depositing metal films

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07273196A (ja) * 1994-03-31 1995-10-20 Toshiba Corp 電極配線の形成方法
JPH1074763A (ja) * 1996-08-30 1998-03-17 Ulvac Japan Ltd 銅配線製造方法、及び銅配線
JPH1079389A (ja) * 1996-09-02 1998-03-24 Ulvac Japan Ltd 銅配線製造方法、その方法で製造された銅配線、及びcvd装置
JPH11307528A (ja) * 1998-04-20 1999-11-05 Hitachi Ltd 半導体装置およびその製造方法
JP2011228571A (ja) * 2010-04-22 2011-11-10 Napura:Kk 充填用基材及びそれを用いた充填方法
JP2012229488A (ja) * 2011-04-13 2012-11-22 Ulvac Japan Ltd Ni膜の形成方法
JP2013187350A (ja) * 2012-03-08 2013-09-19 Tokyo Electron Ltd 半導体装置、半導体装置の製造方法、半導体製造装置
JP2013209701A (ja) * 2012-03-30 2013-10-10 Tokyo Electron Ltd 金属膜の成膜方法
JP2015029097A (ja) * 2013-07-25 2015-02-12 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
JP2015510031A (ja) * 2011-12-29 2015-04-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ニッケル含有膜堆積用ニッケルアリルアミジナート前駆体
JP2016111047A (ja) * 2014-12-02 2016-06-20 東京エレクトロン株式会社 Cu配線の形成方法および半導体装置の製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
KR20080062563A (ko) 2006-12-29 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US8891038B2 (en) * 2009-04-15 2014-11-18 3M Innovative Properties Company Lightguide with optical film containing voids and blacklight for display system
DE102009023251B4 (de) * 2009-05-29 2011-02-24 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung
JP5661006B2 (ja) * 2011-09-02 2015-01-28 東京エレクトロン株式会社 ニッケル膜の成膜方法
TWI576961B (zh) 2012-04-26 2017-04-01 應用材料股份有限公司 用於高深寬比塡充的半導體重流處理
JP5917351B2 (ja) 2012-09-20 2016-05-11 東京エレクトロン株式会社 金属膜の成膜方法
JP6220649B2 (ja) 2013-11-25 2017-10-25 東京エレクトロン株式会社 金属膜の成膜方法
US9624576B2 (en) * 2013-12-17 2017-04-18 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for gap filling improvement
US9805976B2 (en) * 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9754883B1 (en) * 2016-03-04 2017-09-05 International Business Machines Corporation Hybrid metal interconnects with a bamboo grain microstructure

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07273196A (ja) * 1994-03-31 1995-10-20 Toshiba Corp 電極配線の形成方法
JPH1074763A (ja) * 1996-08-30 1998-03-17 Ulvac Japan Ltd 銅配線製造方法、及び銅配線
JPH1079389A (ja) * 1996-09-02 1998-03-24 Ulvac Japan Ltd 銅配線製造方法、その方法で製造された銅配線、及びcvd装置
JPH11307528A (ja) * 1998-04-20 1999-11-05 Hitachi Ltd 半導体装置およびその製造方法
JP2011228571A (ja) * 2010-04-22 2011-11-10 Napura:Kk 充填用基材及びそれを用いた充填方法
JP2012229488A (ja) * 2011-04-13 2012-11-22 Ulvac Japan Ltd Ni膜の形成方法
JP2015510031A (ja) * 2011-12-29 2015-04-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ニッケル含有膜堆積用ニッケルアリルアミジナート前駆体
JP2013187350A (ja) * 2012-03-08 2013-09-19 Tokyo Electron Ltd 半導体装置、半導体装置の製造方法、半導体製造装置
JP2013209701A (ja) * 2012-03-30 2013-10-10 Tokyo Electron Ltd 金属膜の成膜方法
JP2015029097A (ja) * 2013-07-25 2015-02-12 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
JP2016111047A (ja) * 2014-12-02 2016-06-20 東京エレクトロン株式会社 Cu配線の形成方法および半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022530787A (ja) * 2019-05-01 2022-07-01 アプライド マテリアルズ インコーポレイテッド 完全にアライメント調整されたサブトラクティブプロセス及びその電子デバイス
JP7393437B2 (ja) 2019-05-01 2023-12-06 アプライド マテリアルズ インコーポレイテッド 完全にアライメント調整されたサブトラクティブプロセス及びその電子デバイス

Also Published As

Publication number Publication date
JP6723128B2 (ja) 2020-07-15
KR20180034265A (ko) 2018-04-04
US20180090446A1 (en) 2018-03-29
KR102017944B1 (ko) 2019-09-03
US10700006B2 (en) 2020-06-30

Similar Documents

Publication Publication Date Title
JP6700459B2 (ja) タングステン膜の成膜方法および成膜装置
US9748105B2 (en) Tungsten deposition with tungsten hexafluoride (WF6) etchback
KR101263856B1 (ko) 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법
JP6807251B2 (ja) ルテニウム配線の製造方法
CN106887380B (zh) 实现无缝钴间隙填充的方法
KR101785145B1 (ko) 텅스텐막의 성막 방법, 반도체 장치의 제조 방법 및 기억 매체
KR102189781B1 (ko) 망간 및 망간 니트라이드들의 증착 방법들
KR20190101497A (ko) 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능
US20180277428A1 (en) Doping Control of Metal Nitride Films
JP2017069313A (ja) 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
KR102096143B1 (ko) 루테늄 배선 및 그 제조 방법
WO2016153987A1 (en) Methods for etching via atomic layer deposition (ald) cycles
US9779950B2 (en) Ruthenium film forming method, film forming apparatus, and semiconductor device manufacturing method
TW201824452A (zh) 成膜方法、成膜系統及其記憶媒體
KR102017944B1 (ko) 니켈 배선의 제조 방법
JP6391355B2 (ja) タングステン膜の成膜方法
US10522467B2 (en) Ruthenium wiring and manufacturing method thereof
KR20180068328A (ko) 구리 배선의 제조 방법
TWI609095B (zh) 用於氮化錳整合之方法
JP6608026B2 (ja) タングステン膜の成膜方法および成膜装置
KR20110120947A (ko) Cu막의 성막 방법 및 기억 매체
KR101907971B1 (ko) 반도체 소자의 콘택플러그용 금속 증착 방법
JP2018117065A (ja) 金属膜の埋め込み方法
TW202315118A (zh) 經摻雜之含鉭阻障膜

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190605

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200306

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200317

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200501

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200526

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200623

R150 Certificate of patent or registration of utility model

Ref document number: 6723128

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250