JP2013179047A - インピーダンスに基づいた電力および周波数の調整 - Google Patents

インピーダンスに基づいた電力および周波数の調整 Download PDF

Info

Publication number
JP2013179047A
JP2013179047A JP2013031827A JP2013031827A JP2013179047A JP 2013179047 A JP2013179047 A JP 2013179047A JP 2013031827 A JP2013031827 A JP 2013031827A JP 2013031827 A JP2013031827 A JP 2013031827A JP 2013179047 A JP2013179047 A JP 2013179047A
Authority
JP
Japan
Prior art keywords
value
signal
power
frequency
mhz
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013031827A
Other languages
English (en)
Other versions
JP6400272B2 (ja
JP2013179047A5 (ja
Inventor
C Valcore John Jr
ジョン・シー.・バルコア・ジュニア
J Lyndaker Bradford
ブラッドフォード・ジェイ.・リンデーカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/531,491 external-priority patent/US9114666B2/en
Priority claimed from US13/550,719 external-priority patent/US9368329B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013179047A publication Critical patent/JP2013179047A/ja
Publication of JP2013179047A5 publication Critical patent/JP2013179047A5/ja
Application granted granted Critical
Publication of JP6400272B2 publication Critical patent/JP6400272B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

【課題】プラズマを安定化させるための電力および/または周波数の正確な調整システムの提供。
【解決手段】システム180は、プラズマを収容するためのプラズマチャンバ102を備える。プラズマチャンバ102は、下側電極104を備える。システム180は、高周波(RF)信号を下側電極104に供給するためにプラズマチャンバ102に接続された駆動増幅器を備える。駆動増幅器は、伝送線路232を介してプラズマチャンバ102に接続されている。システム180は、さらに、駆動増幅器に接続されたセレクタと、セレクタに接続された第1の自動周波数制御部(AFC)と、セレクタに接続された第2のAFCと、を備える。セレクタは、伝送線路232上で検知された電流および電圧の値に基づいて、第1のAFCまたは第2のAFCを選択するよう構成されている。
【選択図】図1

Description

本実施形態は、プラズマインピーダンスの変化に対する応答時間の改善および/またはプラズマ安定化の精度の向上に関し、特に、インピーダンスに基づいた電力および周波数の調整のための装置、方法、および、コンピュータプログラムに関する。
一部のプラズマ処理システムでは、複数の高周波(RF)信号が、プラズマチャンバ内の1または複数の電極に供給される。RF信号は、プラズマチャンバ内でプラズマを生成する助けとなる。プラズマは、様々な動作、例えば、下側電極上に設置された基板の洗浄、基板のエッチングなどに用いられる。
高周波(RF)信号を生成する駆動増幅システムとプラズマチャンバとの間には、通常、インピーダンス整合回路が配置される。インピーダンス整合回路は、負荷(例えば、プラズマチャンバ内のプラズマ)のインピーダンスを供給源(例えば、RF信号を生成する駆動増幅システム)のインピーダンスと整合させる。しかしながら、特定の状況では、インピーダンス整合は、プラズマインピーダンスの変化に応答するのに十分なほど速くない。
さらに、いくつかのシステムは変化に応答するのに十分高速であるが、これらのシステムでは、プラズマを安定化させるための電力および/または周波数の正確な調整が実現されない場合がある。
本開示の実施形態は、このような課題に対処するものである。
本開示の実施形態は、状態に基づいて電力および周波数を調整するための装置、方法、および、コンピュータプログラムを提供する。本実施形態は、処理、装置、システム、デバイス、または、コンピュータ読み取り可能な媒体に記録された方法など、種々の形態で実施できることを理解されたい。以下に、いくつかの実施形態を記載する。
一実施形態では、システムが、プラズマを収容するためのプラズマチャンバを備える。プラズマチャンバは、電極を備える。システムは、高周波(RF)信号を電極に供給するためにプラズマチャンバに接続された駆動増幅(DA)システムを備える。DAシステムは、伝送線路を介してプラズマチャンバに接続されている。システムは、さらに、DAシステムに接続されたセレクタと、セレクタに接続された第1の自動周波数制御部(AFC)と、セレクタに接続された第2のAFCと、を備える。セレクタは、伝送線路上で検知された電流および電圧の値に基づいて、第1のAFCまたは第2のAFCを選択するよう構成されている。
一実施形態では、システムが、電極に接続された第1発生器を備える。第1発生器は、第1高周波(RF)信号を電極に供給するための第1駆動増幅器を備える。第1発生器は、さらに、パルス信号が第1の状態にある時に第1の第1周波数入力を第1駆動増幅器に提供するための第1自動周波数チューナ(AFT)を備える。第1AFTは、パルス信号が第2の状態にある時に第2の第1周波数入力を第1駆動増幅器に提供するよう構成される。システムは、さらに、電極に接続された第2発生器を備える。
この実施形態において、第2発生器は、第2RF信号を電極に供給するための第2駆動増幅器を備える。第2発生器は、さらに、第2駆動増幅器に接続された第1の第2AFTを備える。第2発生器は、第2駆動増幅器に接続された第2の第2AFTを備える。第2発生器は、さらに、第1の第2AFTおよび第2の第2AFTに接続されたプロセッサを備える。第2発生器は、さらに、電極に接続されたセンサを備える。センサは、第1および第2の状態中に第2発生器および電極の間で伝達される電流および電圧を検知するために用いられる。プロセッサは、電流および電圧に基づいてパラメータを生成すると共に、第1の状態に対するパラメータの内の第1のパラメータが第1の限度を超えるか否か、および、第2の状態に対するパラメータの内の第2のパラメータが第2の限度を超えるか否かを判定するよう構成されている。第1の第2AFTは、第1のパラメータが第1の限度を超えたという判定を受信すると、第1の第2周波数入力を第2駆動増幅器に提供するよう構成されており、第2の第2AFTは、第2のパラメータが第2の限度を超えたという判定を受信すると、第2の第2周波数入力を第2駆動増幅器に提供するよう構成されている。
一実施形態では、パルス信号を生成するためのデジタルパルス源を備えるシステムが開示されている。システムは、第1発生器を備える。第1発生器は、第1高周波(RF)信号を電極に供給するために電極に接続された第1駆動増幅器を備える。第1発生器は、さらに、パルス信号を受信するためにパルス源に接続された1または複数の第1プロセッサを備える。1または複数の第1プロセッサは、パルス信号の2つの状態の内の第1の状態および第2の状態を識別し、パルス信号が第1の状態にある時、第1電力値を第1駆動増幅器に提供することを決定し、パルス信号が第1の状態にある時、第1RF信号の第1周波数値を提供することを決定するよう構成されている。
この実施形態において、システムは、さらに、第2発生器を備えており、第2発生器は、第2RF信号を電極に供給するために電極に接続された第2駆動増幅器を備える。第2発生器は、さらに、パルス信号を受信するためにパルス源に接続された1または複数の第2プロセッサを備える。1または複数の第2プロセッサは、パルス信号が第1の状態にある時に、プラズマに関するパラメータが第1の限度を超えるか否かを判定し、パルス信号が第2の状態にある時に、パラメータが第2の限度を超えるか否かを判定し、パラメータが第1の限度を超えるとの判定に応じて、第1の第2電力値を第2駆動増幅器に提供することを決定するよう構成されている。1または複数の第2プロセッサは、さらに、パラメータが第2の限度を超えるとの判定に応じて、第2の第2電力値を第2駆動増幅器に提供することを決定し、パラメータが第1の限度を超えるとの判定に応じて、第1の第2周波数値を第2駆動増幅器に提供することを決定し、パラメータが第2の限度を超えるとの判定に応じて、第2の第2周波数値を第2駆動増幅器に提供することを決定するよう構成されている。
一実施形態では、方法が、2つの状態を有するデジタルパルス信号を受信する工程を備える。方法は、電流および電圧の値を受信する工程と、プラズマインピーダンスに関するパラメータを電流および電圧の値から計算する工程と、第1の状態中に、パラメータの内の第1のパラメータが第1の限度を超えるか否かを判定する工程と、を備える。方法は、さらに、第1のパラメータが第1の限度を超えると判定されると、第1の周波数値および第1の電力値を高周波(RF)駆動増幅器に提供する工程と、第2の状態中に、パラメータの内の第2のパラメータが第2の限度を超えるか否かを判定する工程と、第2のパラメータが第2の限度を超えると判定されると、第2の周波数値および第2の電力値をRF駆動増幅器に提供する工程と、を備える。
上述の実施形態のいくつかの利点は、プラズマを安定させるため、例えば、電源(例えば、RF駆動増幅器)と負荷(例えば、プラズマ)との間のインピーダンスの差を低減するために、正確な電力および/または周波数値を提供することを含む。周波数および/または電力の値は、プラズマインピーダンスの変化に基づいて生成されると正確になる。例えば、順方向電力および反射電力が測定され、ガンマ値を生成するために用いられる。ガンマ値が閾値を超えるか否かが判定され、超える場合、電力および/または周波数の値は、プラズマを安定させるように変更される。
実施形態の別の利点は、プラズマの安定性を実現するための時間を削減することを含む。駆動増幅システムに適用すべき周波数および/または電力の値を決定するために、トレーニングルーチンが用いられる。電力および/または周波数の値は、トレーニングルーチン中に決定されたガンマ値に対応する。トレーニングルーチンは、生産中の時間、例えば、基板を洗浄するための時間、基板をエッチングするための時間、基板上に材料を蒸着するための時間などを削減する。例えば、生産中、ガンマ値が閾値を超えると判定されると、電力および/または周波数の値は、電力および/または周波数の値を調整する必要なく、駆動増幅システムに適用される。
添付の図面を参照して行う以下の詳細な説明から、別の態様が明らかになる。
実施形態は、添付の図面に関連して行う以下の説明を参照することによって最も良好に理解できる。
本開示に記載された一実施形態に従って、プラズマインピーダンスに基づいて状態を変化させるためのシステムの一実施形態を示すブロック図。
本開示に記載された一実施形態に従って、ガンマ値が第1の閾値または第2の閾値よりも大きいか否かに基づいた状態の変化を示した表の一実施形態を示す図。
本開示に記載された一実施形態に従って、トレーニングルーチン中に2つのRF信号について順方向電力を時間に対してプロットしたグラフの一実施形態を示す図。
本開示に記載された一実施形態に従って、トレーニングルーチンのフローチャートの一実施形態を示す図。
本開示に記載された一実施形態に従って、電力コントローラおよび/または周波数チューナが非ゼロ値を提供しない場合に、プラズマインピーダンスに基づいて状態を変化させるためのシステムの一実施形態を示す図。
本開示に記載された一実施形態に従って、一方が一定値または様々な値を有する2つの高周波(RF)信号を示すグラフ。
本開示に記載された一実施形態に従って、両方が様々な値を有する2つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、1つの信号が一定値を有すると共に別の信号が一定値または様々な値を有する3つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、1つの信号が一定値を有すると共にそれ以外の2つの信号が様々な値を有する3つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、1つの信号が一定値または様々な値を有すると共にそれ以外の2つの信号が様々な値を有する3つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、いずれも様々な値を有する3つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、1つの信号が一定値または様々な値を有すると共にそれ以外の信号が様々な値を有する3つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、いずれも様々な値を有する3つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、ガンマ値が第1の閾値または第2の閾値よりも大きいか否かに基づいて、自動周波数チューナ(AFT)の間で選択を行うためのシステムの一実施形態を示すブロック図。
本開示に記載された一実施形態に従って、60MHz駆動増幅器の周波数および/または電力を調整して、60MHz発生器の状態S1またはS0を達成するための方法の一実施形態を示すフローチャート。
本開示に記載された一実施形態に従って、独立(IP)RF信号のパルシング中に最適な生産時間電力供給を行うために依存RF発生器によってRF調整を実施する時間に対して正規化RF変数を示したグラフの一実施形態を示す図。
本開示に記載された一実施形態に従って、パルシング中に最適な電力供給に向けて依存RF発生器によって周波数調整を実施するための方法のフローチャートの一実施形態を示す図。
以下の実施形態では、インピーダンスに基づいた電力および周波数の調整のためのシステムおよび方法を記載する。本実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能であることが明らかである。また、本実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。
図1は、プラズマインピーダンスに基づいて状態を変化させるためのシステム180の一実施形態を示すブロック図である。2メガヘルツ(MHz)高周波(RF)駆動増幅(DA)システムが、インピーダンス整合回路182を介してプラズマチャンバ102の下側電極104にRF電力を供給する。同様に、60MHzDAシステムが、インピーダンス整合回路186を介して下側電極104にRF電力を供給する。一実施形態では、RF電力を下側電極に供給するために、60MHz電源の代わりに、27MHz電源が用いられることに注意されたい。さらに、2MHz、27MHz、および、60MHzという値は、例として提供されており、限定を意図したものではないことに注意されたい。例えば、2MHzDAシステムの代わりに2.5MHzDAシステムが用いられてもよいし、60MHzDAシステムの代わりに65MHzDAシステムが用いられてもよい。別の実施形態では、2MHz電源および60MHz電源に加えて、RF電力を下側電極104に供給するために27MHz電源が用いられる。
インピーダンス整合回路は、電子回路素子、例えば、インダクタ、コンデンサなどを備えており、インピーダンス整合回路に接続された電源のインピーダンスを、インピーダンス整合回路に接続された負荷のインピーダンスと整合させる。例えば、インピーダンス整合回路182は、2MHzDAシステムのインピーダンスを、プラズマチャンバ102内に生成されたプラズマのインピーダンスと整合させる。別の例として、インピーダンス整合回路186は、60MHzDAシステムのインピーダンスを、プラズマチャンバ102内に生成されたプラズマのインピーダンスと整合させる。さらに別の例として、インピーダンス整合回路182は、2MHzDAシステムのインピーダンスを、プラズマチャンバ102の一部(例えば、プラズマおよび下側電極104)のインピーダンスと整合させる。一実施形態において、インピーダンス整合回路は、インピーダンス整合回路に接続されたRF・DAシステムのインピーダンスと負荷のインピーダンスとの間の整合を実現するように調整される。電源および負荷の間のインピーダンス整合がなされると、負荷から電源に電力が反射される可能性が低減される。
プラズマチャンバ102は、下側電極104と、上側電極110と、その他の構成要素(図示せず)、例えば、上側電極110を取り囲む上側誘電体リング、上側誘電体リングを取り囲む下側電極延長部、下側電極を取り囲む下側誘電体リング、下側誘電体リングを取り囲む下側電極延長部、上側プラズマ排除区域(PEZ)リング、下側PEZリングなど、とを備える。上側電極110は、下側電極104に対向するように配置される。基板108(例えば、半導体ウエハ)が、下側電極104の上面106上に支持される。集積回路(例えば、特定用途向け集積回路(ASIC)、プログラム可能論理回路(PLD)など)が、基板108に製造され、それらの集積回路は、様々なデバイス、例えば、携帯電話、タブレット、スマートフォン、コンピュータ、ラップトップ、ネットワーク装置などで利用される。下側電極104は、金属(例えば、陽極酸化アルミニウム、アルミニウム合金など)で形成される。また、上側電極110も、金属(例えば、アルミニウム、アルミニウム合金など)で形成される。
一実施形態において、上側電極110は、中央ガス供給部(図示せず)につながる穴を備える。中央ガス供給部は、ガス供給源(図示せず)から1または複数の処理ガスを受け入れる。処理ガスの例としては、酸素含有ガス(O2など)が挙げられる。処理ガスの他の例は、フッ素含有ガス、例えば、テトラフルオメタン(CF4)、六フッ化硫黄(SF6)、ヘキサフルオロエタン(C26)などを含む。上側電極110は、接地されている。下側電極104は、インピーダンス整合回路182を介して2MHzRF・DAシステムに接続されると共に、インピーダンス整合回路186を介して60MHzRF・DAシステムに接続されている。
処理ガスが、上側電極110および下側電極104の間に供給され、DAシステム(例えば、2MHzDAシステムおよび/または60MHzDAシステム)が、対応するインピーダンス整合回路を介して下側電極104に電力を供給すると、処理ガスは、点火されてプラズマチャンバ102内でプラズマを生成する。例えば、2MHzDAシステムは、インピーダンス整合回路182を介して電力を供給し、処理ガスに点火してプラズマを生成する。
コンピュータ(図示せず)上のツールユーザインターフェース(UI)190が、デジタルパルス信号であるトランジスタ−トランジスタロジック(TTL)信号112を生成するために用いられる。一実施形態において、コンピュータは、TTL回路を備える。本明細書で用いられているように、コンピュータの代わりに、プロセッサ、コントローラ、ASIC、または、PLDという用語も利用され、これらの用語は本明細書では交換可能に用いられる。TTL信号112は、状態S1およびS0を含む。TTL信号112は、50%のデューティサイクルを有する。一実施形態において、TTL信号112は、5%から95%の範囲のデューティサイクルを有する。状態S1の一例は、オン状態、値「1」を有する状態、または、ハイ状態を含む。状態S0の一例は、オフ状態、値「0」を有する状態、または、ロー状態を含む。高値は、低値よりも大きい。
別の実施形態では、コンピュータの代わりにクロック発振器(例えば、水晶振動子)を用いてアナログクロック信号を生成し、その信号をアナログデジタル変換器によってTTL信号112と同様のデジタル信号に変換する。例えば、水晶振動子は、水晶振動子の近くまたは上にある電極に電圧を印加することによって、電界内で振動するように形成されている。
TTL信号112は、デジタル信号プロセッサ(DSP)140および別のDSP150に送信される。DSP140は、TTL信号112を受信し、TTL信号112の状態S0およびS1を識別する。例えば、DSP140は、状態S0とS1とを区別する。別の例として、DSP140は、TTL信号112が第1の組の期間中に第1の大きさを有すると共に、第2の組の期間中に第2の大きさを有することを決定する。DSP140は、TTL信号112が第1の組の期間中に状態S1を有すると共に、第2の組の期間中に状態S0を有することを決定する。さらに別の例として、DSP140は、TTL信号112の大きさを予め格納された値と比較して、TTL信号112の大きさが第1の組の期間中に予め格納された値よりも大きいと共に、TTL信号112の状態S0中の大きさが第2の組の期間中に予め格納された値以下であることを決定する。クロック発振器が用いられる実施形態において、DSP140は、クロック発振器からアナログクロック信号を受信し、アナログ信号をデジタル形式に変換し、次いで、2つの状態S0およびS1を識別する。
DSP140は、識別した状態S0およびS1をDSP内の1または複数のメモリデバイスの記憶場所に格納する。メンバデバイスの例としては、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)が挙げられる。メモリデバイスは、フラッシュメモリ、ハードディスク、ストレージデバイス、コンピュータ読み取り可能な媒体などであってもよい。
DSP140は、識別した状態S1を、対応する記憶場所から自動周波数チューナ(AFT)114および電力コントローラ142に提供する。例えば、DSP140は、TTL信号112がデューティサイクルの時刻t1およびt2の間に状態S1にあることを、AFT114および電力コントローラ142に示す。チューナおよびコントローラ(制御部)という用語は、本明細書では交換可能に用いられる。AFTの一例が、米国特許第6,020,794号に提供されており、その特許は、参照によって本明細書にその全体が組み込まれる。
一実施形態では、コントローラまたはチューナの代わりに、プロセッサによって実行される制御ロジックブロック(例えば、コンピュータプログラム)が用いられる。例えば、発生器の各AFTは、発生器のプロセッサによって実行されるロジックブロックである。別の例として、発生器の各電力コントローラは、発生器のプロセッサによって実行されるロジックブロックである。コンピュータプログラムは、非一時的なコンピュータ読み取り可能媒体内に具現化され、媒体の例については後に記載する。
AFT114は、TTL信号112の状態に基づいて周波数値を決定し、電力コントローラ142は、TTL信号112の状態に基づいて電力値を決定する。例えば、AFT114は、TTL信号112の状態がS1である時に周波数値F11が2MHzDAシステムに提供されるように決定し、電力コントローラ142は、TTL信号112の状態がS1である時に電力値P11が2MHzDAシステムに提供されるように決定する。
TTL信号112がS1である時、電力コントローラ142は、電力値P11を2MHzDAシステムに提供する。TTL信号112がS1の間に、AFT114は、周波数値F11を2MHzDAシステムに提供する。
2MHzDAシステムは、状態S1中に周波数値F11および電力値P11を受信する。値F11およびP11を受信すると、2MHzDAシステムは、周波数F11を有するRF電力を生成し、RF電力は電力値P11を有する。
一実施形態において、RF・DAシステムは、増幅器へと続く駆動器を備える。増幅器は、伝送線路を介してプラズマチャンバ102に順方向電力を供給する。例えば、2MHzDAシステムの増幅器は、電力値P11に比例する(例えば、同じ、数倍など)電力値を有すると共に周波数値F11を有する順方向電力を、伝送線路230およびインピーダンス整合回路182を介してプラズマチャンバ102に供給する。
TTL信号112が状態S1から状態S1に移行し、2MHzDAシステムが、電力値P11に比例する電力値と周波数値F11とを有する順方向電力をプラズマチャンバ102に供給すると、プラズマチャンバ102のインピーダンスが変化する。TTL信号112が状態S1から状態S0に移行した結果としてプラズマチャンバ102内のインピーダンスが変化すると、60MHz発生器276のセンサ212が、順方向電力および反射電力(プラズマチャンバ102のプラズマから反射されたRF電力)を伝送線路232上で測定する。センサ212は、順方向電力および反射電力の測定値をアナログデジタル変換器(ADC)222に提供し、ADC222は、測定値をアナログ形式からデジタル形式に変換する。順方向電力および反射電力のデジタル値は、DSP150に提供される。一実施形態において、DSPは、ADCを備える。また、一実施形態において、DSP150は、TTL信号112を受信しないことに注意されたい。代わりに、この実施形態において、DSP150は、TTL信号112と同期しなくてもよい別のデジタルパルス信号を受信する。一実施形態において、DSP150によって受信される別のデジタルパルス信号は、TTL信号112と同期する。
TTL信号112が状態S1の間、例えば、TTL信号112のS1からS0への状態移行の直後に、DSP150は、第1のガンマ値を生成するために、関係性(例えば、デジタル反射電力信号とデジタル順方向電力信号との比の平方根、電圧定在波比(VSWR)など)を状態S1中に計算する。ガンマ値「1」は、電源と負荷との間でインピーダンスの不整合の程度が高いことを示し、ガンマ値「0」は、電源と負荷との間でインピーダンスの不整合の程度が低いことを示す。ガンマ値がゼロである場合、プラズマチャンバ102への電力供給が、非常に効率的であると考えられる。ガンマ値が1である場合、電力供給は、非常に非効率であると考えられる。VSWRは、RC−1およびRC+1の比に等しいものとして計算され、ここで、RCは反射係数である。
DSP150は、第1のガンマ値が第1の閾値よりも大きいか否かを判定する。DSP150は、第1のガンマ値が第1の閾値よりも大きいと判定した場合、そのことをAFT118および電力コントローラ152に示す。AFT118は、第1のガンマ値に対応する周波数値F21を決定し、周波数値F21を60MHzDAシステムに提供する。さらに、電力コントローラ152は、第1のガンマ値に対応する電力値P21を決定し、第1のガンマ値に対応する電力値P21を60MHzDAシステムに提供する。例えば、AFT118は、第1のガンマ値と周波数値F21とを対応付けるテーブルをメモリデバイス内に格納し、電力コントローラ152は、電力値P21と第1のガンマ値との対応付けをメモリデバイス内に格納する。
一実施形態において、AFT118は、第1の閾値に対応する周波数値F21および電力値P21の各々を決定する。例えば、AFT118は、第1の閾値と周波数値F21とを対応付けるテーブルをメモリデバイス内に格納し、電力コントローラ152は、電力値P21と第1の閾値との対応付けをメモリデバイス内に格納する。
60MHzDAシステムは、TTL信号112の状態S1中に周波数値F21および電力値P21を受信する。値F21およびP21を受信すると、60MHzDAシステムは、周波数F21を有するRF信号を生成し、RF信号は電力値P21を有する。例えば、60MHzDAシステムの増幅器は、電力値P21に比例する(例えば、同じ、数倍など)電力値を有すると共に周波数値F21を有する順方向電力を、伝送線路232およびインピーダンス整合回路186を介してプラズマチャンバ102に供給する。
TTL信号112の状態がS1からS0に変化した場合、2MHzDAシステムには、電力値も周波数値も提供されない。状態S0中、2MHzDAシステムには周波数値が提供されない。2MHzDAシステムは、周波数値も電力値も受信しない、例えば、状態S0中に、周波数値「0」および電力値「0」を受信する。電力値も周波数値も受信せずに、2MHzDAシステムは、周波数値がゼロのRF電力を生成し、RF電力はゼロの電力値を有する。2MHzDAシステムの増幅器は、順方向電力を供給しない、例えば、伝送線路230およびインピーダンス整合回路182を介してプラズマチャンバ102に、電力値「0」および周波数値「0」を有する順方向電力を供給する。
さらに、TTL信号112の状態が状態S1から状態S0に変化した場合、プラズマチャンバ102内のプラズマのインピーダンスが変化する。再び、TTL信号112の状態S0中、例えば、TTL信号112の状態S1から状態S0への移行の直後に、センサ212は、伝送線路232上の順方向電力および反射電力を決定し、測定した順方向電力および反射電力をADC222に提供する。ADC222は、測定された順方向電力および反射電力をアナログ形式からデジタル形式に変換する。DSP150は、ADC222からデジタル順方向電力および反射電力を受信し、順方向電力および反射電力から第2のガンマ値を計算する。
DSP150は、第2のガンマ値を第2の閾値と比較し、第2のガンマ値が第2の閾値よりも大きいか否かを判定する。DSP150は、第2のガンマ値が第2の閾値よりも大きいと判定した場合、そのことをAFT118および電力コントローラ152に示す。AFT118は、第2のガンマ値に対応する周波数値F20を決定し、周波数値F20を60MHzDAシステムに提供する。さらに、電力コントローラ152は、第2のガンマ値に対応する電力値P20を決定し、第2のガンマ値に対応する電力値P20を60MHzDAシステムに提供する。例えば、AFT118は、第2のガンマ値と周波数値F20とを対応付けるテーブルをメモリデバイス内に格納し、電力コントローラ152は、電力値P20と第2のガンマ値との対応付けをメモリデバイス内に格納する。
一実施形態において、AFT118は、第2の閾値に対応する周波数値F20および電力値P20の各々を決定する。例えば、AFT118は、第2の閾値と周波数値F20とを対応付けるテーブルをメモリデバイス内に格納し、電力コントローラ152は、電力値P20と第2の閾値との対応付けをメモリデバイス内に格納する。
60MHzDAシステムは、TTL信号112の状態S0中に周波数値F20および電力値P20を受信する。値F20およびP20を受信すると、60MHzDAシステムは、周波数F20を有するRF信号を生成し、RF信号は電力値P20を有する。例えば、60MHzDAシステムの増幅器は、電力値P20に比例する(例えば、同じ、数倍など)電力値を有すると共に周波数値F20を有する順方向電力を、伝送線路232およびインピーダンス整合回路186を介してプラズマチャンバ102に供給する。
60MHzDAシステムによって供給されるRF電力を変更するために、順方向電力および反射電力の測定を用いると、プラズマの安定性につながる。また、プラズマの安定性は、順方向電力および反射電力のリアルタイム測定に基づいている。このリアルタイム測定は、プラズマ安定化の精度を向上させる。
一実施形態において、状態S1およびS0の一方または両方の間に、センサ210が、プラズマチャンバ102のプラズマから反射されたRF電力を伝送線路230上で検知する。さらに、状態S1およびS0の一方または両方の間に、センサ210は、順方向電力が2MHzRF・DAシステムから伝送線路230を介してプラズマチャンバ102に送られた時に、伝送線路230上で順方向電力を検知する。同様に、状態S1およびS0の一方または両方の間に、センサ212は、プラズマチャンバ102のプラズマから反射された電力を検知する。センサ212によって検知される反射電力は、プラズマチャンバ102のプラズマから伝送線路232に反射されたものである。さらに、TTL信号112の状態S1およびS0の一方または両方の間に、センサ212は、順方向電力が60MHzRF・DAシステムから伝送線路232を介してプラズマチャンバ102に送られた時に、伝送線路232上で順方向電力を検知する。
この実施形態では、アナログデジタル変換器(ADC)220が、センサ210によって検知された測定済みの反射電力および順方向電力をアナログ形式からデジタル形式に変換し、ADC222が、センサ212によって検知された測定済みの反射電力および順方向電力をアナログ形式からデジタル形式に変換する。状態S1およびS0の一方または両方の間に、DSP140は、センサ210によって検知された反射電力信号および順方向電力信号のデジタル値を受信し、DSP150は、センサ212によって検知された反射電力信号および順方向電力信号のデジタル値を受信する。
さらに、この実施形態において、状態S1中に伝送線路230上の順方向電力および反射電力のデジタル値から生成されたガンマ値が、DSP140からAFT114に送信され、状態S1中に伝送線路232上で順方向電力および反射電力のデジタル値から生成されたガンマ値が、DSP150からAFT118に送信される。状態S1中に、AFT114は、DSP140から受信したガンマ値に基づいて周波数値を決定し、AFT118は、DSP150から受信したガンマ値に基づいて周波数値を決定する。状態S1中に、AFT114は、ガンマ値に基づいて生成された周波数値に基づいて周波数値F11を調整し、調整した周波数値を2MHzDAシステムに提供する。さらに、状態S1中に、AFT118は、ガンマ値に基づいて生成された周波数値に基づいて周波数値F21を調整し、調整した周波数値を60MHzDAシステムに提供する。
さらに、同じ実施形態において、状態S1中に、電力コントローラ142は、DSP140から受信したガンマ値に基づいて電力値を決定し、電力コントローラ152は、DSP150から受信したガンマ値に基づいて電力値を決定する。状態S1中に、電力コントローラ142は、ガンマ値に基づいて生成された電力値に基づいて電力値P11を調整し、調整した電力値を2MHzDAシステムに提供する。さらに、状態S1中に、電力コントローラ152は、ガンマ値に基づいて生成された電力値に基づいて電力値P21を調整し、調整した電力値を60MHzDAシステムに提供する。
さらに、この実施形態において、状態S1中に、2MHzDAシステムは、AFT114から受信した調整済みの周波数値と電力コントローラ142から受信した調整済みの電力値とを有する電力信号を生成し、その電力信号をインピーダンス整合回路182を介してプラズマチャンバ102に供給する。同様に、状態S1中に、60MHzDAシステムは、AFT118から受信した調整済みの周波数値と電力コントローラ152から受信した調整済みの電力値とを有する電力信号を生成し、その電力信号をインピーダンス整合回路186を介してプラズマチャンバ102に供給する。
さらに、同じ実施形態において、状態S0中には、2MHzDAシステムへの電力値および周波数値の提供はなく、2MHzDAシステムのゼロ周波数値および電力値を調整するために、状態S0中に生成されたガンマ値が利用されることはない。状態S0中に伝送線路232上の順方向電力および反射電力のデジタル値から生成されたガンマ値が、DSP150からAFT120に送信される。AFT120は、DSP150から受信したガンマ値に基づいて周波数値を決定する。状態S0中に、AFT120は、ガンマ値から生成された周波数値に基づいて周波数値F20を調整し、調整した周波数値を60MHzDAシステムに提供する。さらに、状態S0中に、電力コントローラ154は、DSP150から受信したガンマ値に基づいて電力値を決定する。状態S0中に、電力コントローラ154は、ガンマ値に基づいて生成された電力値に基づいて電力値P20を調整し、調整した電力値を60MHzDAシステムに提供する。状態S0中に、60MHzDAシステムは、AFT120から受信した調整済みの周波数値と電力コントローラ154から受信した調整済みの電力値とを有する電力信号を生成し、その電力信号をインピーダンス整合回路186を介してプラズマチャンバ102に供給する。
この実施形態において、値を調整することによって生成された調整済みの値と元の値との差は、第1または第2の閾値を用いて生成された別の電力値または周波数値との差よりも小さいことに注意すべきである。例えば、電力値P21から生成された調整済みの電力値と電力値P21との差は、電力値P21およびP20の差よりも小さい。別の例として、周波数値F20から生成された調整済みの周波数値と周波数値F20との差は、周波数値F21およびF20の差よりも小さい。
電力コントローラ142、AFT114、および、DSP140は、発生器コントローラ270の一部である。発生器コントローラ270、ADC220、センサ210、および、2MHzDAシステムは、2MHz発生器274の一部である。同様に、電力コントローラ152、電力コントローラ154、AFT114および120、ならびに、DSP150は、発生器コントローラ272の一部である。発生器コントローラ272、ADC222、センサ212、および、60MHzDAシステムは、60MHz発生器276の一部である。
一実施形態において、システム180は、インピーダンス整合回路182および/または186を備えない。一実施形態において、電力コントローラ142およびAFT114の代わりに単一のコントローラが用いられ、電力コントローラ152およびAFT118の代わりに単一のコントローラが用いられ、電力コントローラ154およびAFT120の代わりに単一のコントローラが用いられる。
2MHzおよび60MHzの電源に加えて27MHzDAシステムが用いられる実施形態において、27MHz発生器は、60MHzDAシステムの代わりに27MHzDAシステムを備えることを除けば、60MHz発生器276と同様である。27MHz発生器は、インピーダンス整合回路(図示せず)および伝送線路(図示せず)を介してプラズマチャンバ102の下側電極104に接続される。さらに、27MHzDAシステムは、ツールUI112以外のデジタルパルス信号源に接続されており、デジタルパルス信号源によって生成されるデジタルパルス信号は、TTL信号112と同期しなくてもよい。デジタルパルス信号源の一例としては、クロック発振器、または、TTL信号を生成するTTL回路を備えたコンピュータが挙げられる。一実施形態において、デジタルパルス信号源によって生成されるデジタルパルス信号は、TTL信号112と同期する。27MHz発生器は、2つの電力コントローラ、2つのAFT、DSP、ADC、センサ、および、27MHzDAシステムを備える。
一実施形態において、第1の閾値および第2の閾値は、トレーニングルーチン(例えば、学習プロセス)中に生成される。トレーニングルーチン中、2MHzDAシステムがそのRF電力信号を低電力値から高電力値に変化させた時、プラズマチャンバ102内の1または複数の部分(例えば、プラズマなど)と60MHzDAシステムとの間にインピーダンス不整合が生じる。高電力値は、低電力値よりも高い。2MHzDAシステムは、2MHzRF・DAシステムに供給されるTTL信号112またはクロック信号の状態がS0からS1に変化すると、RF電力信号の状態を低電力値から高電力値に変化させる。この場合、60MHzDAシステムは、2MHzDAシステムが高い電力値で電力供給を始めた時に、周波数および電力を調整される。インピーダンス不整合を低減するために、60MHzDAシステムは、電力値および周波数値の調整(例えば、収束)を開始する。収束は、標準偏差または別の技術に基づいてDSP150によって決定されてよい。60MHzDAシステムがより多くの時間を電力値および周波数値の収束に費やすことを可能にするために、2MHzDAシステムは、通常の期間よりも長い期間にわたって高い電力値に維持される。通常の期間は、インピーダンス不整合が低減(例えば、除去)されない期間である。通常の期間の一例は、TTL信号112の周期の半分に等しい。60MHzDAシステムが電力値および周波数値に収束すると、収束電力値は電力コントローラ152内に電力値P21として格納され、収束周波数値はAFT118内に周波数値F21として格納される。第1の閾値は、トレーニングルーチン中に電力値P21から生成され、第1のガンマ値は、周波数値F21に対応する。例えば、センサ212は、トレーニングルーチン中に順方向電力値および反射電力値を測定する。センサ212は、60MHz信号の周波数がF21である場合、トレーニングルーチン中に順方向電力値および反射電力値を測定する。ADC222は、測定された順方向電力値および反射電力値をアナログ形式からデジタル形式に変換する。DSP150は、デジタル順方向電力値P21およびデジタル反射電力値をADC222から受信し、電力値P21とトレーニングルーチン中に測定されたデジタル反射電力値とから第1の閾値を生成する。
同様に、トレーニングルーチン中、電力値P20および周波数値F20は、2MHzDAシステムがそのRF電力信号を高電力値から低電力値に変化させた時に生成される。電力値P20は電力コントローラ154に格納され、周波数値F20はAFT120に格納される。また、電力値P20は、第1の閾値が電力値P21から生成されるのと同様に、トレーニングルーチン中に第2の閾値を生成するために用いられる。第2の閾値は、周波数値F20に対応する。例えば、60MHz信号の電力値がP20に決定された場合、60MHz信号の周波数値はF20である。
一実施形態では、第1のガンマ値が第1の閾値よりも大きいか否かを、DSP150の代わりに、AFT118および電力コントローラ152が判定する。この実施形態において、DSP150は、第1のガンマ値をAFT118および電力コントローラ152に提供する。AFT118は、第1のガンマ値が第1の閾値よりも大きいと判定した場合、第1のガンマ値に対応する周波数値F21を決定し、周波数値F21を60MHzDAシステムに提供する。さらに、電力コントローラ152は、第1のガンマ値が第1の閾値よりも大きいと判定した場合、第1のガンマ値に対応する電力値P21を決定し、電力値P21を60MHzDAシステムに提供する。
さらに、この実施形態では、第2のガンマ値が第2の閾値よりも大きいか否かを、DSP150の代わりに、AFT120および電力コントローラ154が判定する。この実施形態では、DSP150は、第2のガンマ値をAFT120および電力コントローラ154に提供する。AFT120は、第2のガンマ値が第2の閾値よりも大きいと判定した場合、第2のガンマ値に対応する周波数値F20を決定し、周波数値F20を60MHzDAシステムに提供する。さらに、電力コントローラ154は、第2のガンマ値が第2の閾値よりも大きいと判定した場合、第2のガンマ値に対応する電力値P20を決定し、電力値P20を60MHzDAシステムに提供する。
一実施形態では、センサ212が順方向電力および反射電力を検知する代わりに、複素電圧および複素電流が検知され、検知された電圧および電流の値からガンマが生成される。例えば、1または複数のセンサ(例えば、電圧センサ、電流センサなど)が、伝送線路232上の電流および電圧を検知し、複素値として検知した電流および電圧の値をDSP150に提供する。DSP150は、検知された電流および電圧の値から順方向電力および反射電力を計算し、順方向電力および反射電力からガンマ値を生成する。
一実施形態では、センサ212が順方向電力および反射電力を検知する代わりに、TTL信号106の状態S1中に、第1の比較器が、伝送線路232に反射された電圧または電流を比較して、電圧または電流が第1の所定の値よりも大きいか否かを判定する。TTL信号106の状態S1中に、電圧または電流が第1の所定の値よりも大きい場合、第1の比較器は、第1の信号をDSP150に提供し、電圧または電流が第1の所定の値以下である場合、比較器は、第2の信号をDSP150に提供する。第1の信号を受信すると、DSP150は、電圧または電流が第1の所定の値よりも大きいと特定し、第2の信号を受信すると、DSP150は、電圧または電流が第1の所定の値以下であると特定する。DSP150は、電圧または電流が第1の所定の値を超えると特定した場合、第1の所定の値に対応する周波数値F21を決定し、周波数値F21をAFT118に提供する。さらに、電圧または電流が第1の所定の値を超える旨の示唆を受信すると、DSP150は、第1の所定の値に対応する電力値P21を決定し、電力値P21を電力コントローラ152に提供する。比較器は、DSP150に接続されている。
この実施形態において、TTL信号106の状態S0中に、比較器は、伝送線路232に反射された電圧または電流を比較して、電圧または電流が第2の所定の値よりも大きいか否かを判定する。電圧または電流が第2の所定の値よりも大きい場合、比較器は、第1の信号をDSP150に提供し、電圧または電流が第2の所定の値以下である場合、比較器は、第2の信号をDSP150に提供する。TTL信号106の状態S0中に第1の信号を受信すると、DSP150は、電圧または電流が第2の所定の値よりも大きいと特定し、TTL信号106の状態S0中に第2の信号を受信すると、DSP150は、電圧または電流が第2の所定の値以下であると特定する。DSP150は、電圧または電流が第2の所定の値を超えると決定した場合、第2の所定の値に対応する周波数値F20を決定し、周波数値F20をAFT120に提供する。さらに、電圧または電流が第2の所定の値を超える旨の示唆を受信すると、DSP150は、第2の所定の値に対応する電力値P20を決定し、電力値P20を電力コントローラ154に提供する。一実施形態において、比較器は、アナログ回路(例えば、1または複数のオペアンプ)を含む。
図2は、ガンマ値が第1の閾値または第2の閾値よりも大きいか否かに基づいた状態の変化を示す表250の一実施形態の図である。表250に示すように、TTL信号112は、デジタルパルス信号(例えば、クロック信号)をDSP140(図1)に提供するために用いられる。
TTL信号112が状態S1にある時、2MHz信号は高電力レベルを有する。TTL信号112の状態S1中に、ガンマ値が第1の閾値を超えるか否かが判定される。ガンマ値が第1の閾値を超えると判定されると、状態S1を達成するために、60MHz信号の電力値は、電力値P21から電力値P20に変更され、60MHz信号の周波数値は、周波数値F20から周波数値F21に変更される。
また、TTL信号112が状態S0にある時、2MHz信号は低電力レベルを有する。TTL信号112の状態S0中に、ガンマ値が第2の閾値を超えるか否かが判定される。ガンマ値が第2の閾値を超えると判定されると、状態S0を達成するために、60MHz信号の電力値は、電力値P20から電力値P21に変更され、60MHz信号の周波数値は、周波数値F21から周波数値F20に変更される。
図3は、トレーニングルーチン中に2つのRF信号(2MHz信号および60MHz信号)について順方向電力を時間に対してプロットしたグラフ111の一実施形態を示す図である。一実施形態において、トレーニングルーチンは、調整されたRF値(例えば、電力値P20およびP21、周波数値F20およびF21、閾値など)を決定するために一回実行されるか、もしくは、例えばプラズマインピーダンスを考慮するために或る期間中に一回実行される。この例では、2MHz信号は、独立的にパルシングする(IP)RF信号であり、60MHz信号は、2MHzRF信号がパルシングする時に電力供給を最適化するためにRF周波数を調整する依存的なRF信号である。図3では、1つのみの依存RF発生器(例えば、60MHz)について記載されているが、複数の依存RF発生器が設けられてもよく、各々、IP・RF信号がパルシングした時に自身の最適な調整RF周波数および閾値を確認するために同様のトレーニングルーチンを受けてよいことを理解されたい。
図3は、図4で説明する方法113のフローチャートの一実施形態を参照すれば、より良好に理解できる。方法113は、トレーニングルーチンの一例である。
点115において、IP・RF発生器(例えば、2MHz発生器)のIP・RF信号119は、ハイに移行して高電力設定点に至る。図Iの例において、2MHzIP・RF発生器の高電力設定点は、6キロワット(kW)である。これは、図4の動作117にも示されている。
さらに、依存RF発生器(例えば、60MHz発生器)は、IP・RF信号119がハイに移行した時に依存RF発生器が電力供給に最適なRF周波数に収束するのを可能にするために、周波数自己調整モードに設定される。これは、図4の動作117にも示されている。詳述すると、独立または依存RF発生器は、プラズマチャンバ102に関連する多くの変数を監視し、自身の変数を調整して、プラズマチャンバ102への電力供給を最大化する。次いで、独立または依存RF発生器は、自身のRF信号周波数を調整して、ガンマを最小化することにより、電力供給の効率を最大化する。
2MHzのIP・RF信号は、点115および121の間の期間中にハイ状態である。IP・RF信号のこのハイパルス期間は、基板108の処理のための生産時間中に利用されるIP・RF信号のハイパルス期間に比べて、トレーニング期間中に大幅に延長される(10分の数秒から数秒)。基板108は、基板108をエッチングする、基板108上に1または複数の層を蒸着する、基板108を洗浄するなどのために、処理されてよい。この人為的に延長されたハイパルス期間は、IP・RF信号がハイに移行した時に存在するプラズマインピーダンス条件に対して電力供給効率を最大化するように周波数を最適に調整するのに十分な時間を依存RF発生器に与える。
依存RF発生器は、2MHzIP・RF信号がハイパルスの時、0.04のガンマ値に対する61.3MHzの周波数値に同調する。次いで、依存RF発生器にとって最適な調整RF周波数61.3MHz(例えば、IDPC_Freq1)は、動作123に示すように、AFT118(図1)内に記録され、図4の動作125に示すように、IDPC_Freq1として設定される。IDPC_Freq1は、周波数値F21の一例である。センサ212によって検知された周波数IDPC_Freq1の順方向電力(例えば、6kW)は、電力値P21の一例である。この61.3MHzの値は、2MHzIP・RF信号がハイパルスである時、60MHzの依存RF信号にとって最適なRF周波数である。0.04のガンマ値は、依存RF発生器にとって最適な調整RF周波数で電力供給が効率的であることを証明する。
次いで、依存RF発生器は、固定周波数モードで作動され、それにより、そのRF周波数は、調整が不可能になる。代わりに、依存RF発生器は、上述の最適な調整RF周波数61.3MHzで動作せざるを得ず、2MHzのIP・RF信号は、高電力設定点から低電力設定点(121から127)に移行する。これは、図4の動作131に示されている。2MHzRF信号の低電力設定点は、図2の例ではゼロであるが、一実施形態において、低電力設定点は、高電力設定点6kWよりも低い任意の電力レベル設定値であってよい。
IP・RF信号がローに移行した後(点127の後)、以前の最適な調整RF周波数61.3MHzは、依存RF発生器による電力供給にとってもはや効率的なRF周波数ではなくなる。これは、2MHzIP・RF信号がローに移行してプラズマ102内のプラズマに供給するRF電力の量が小さくなった時に、プラズマインピーダンスが変化したからである。非効率は、ガンマ値0.8に反映され、依存RF発生器のセンサ212によって検出される。このガンマ値0.8は、図4の動作133で記録され、図4の動作135でIDPC_Gamma1閾値として設定されてよい。IDPC_Gamma1閾値は、第2の閾値の一例である。IDPC_Gamma2閾値は、DSP150のメモリデバイス、AFT120のメモリデバイス、および/または、電力コントローラ154のメモリデバイス(図1)に格納される。
生産時間中、IP・RF信号がハイに移行し、60MHzRF信号が61.3MHzになり、次いで、IDPC_Gamma1閾値に到達すると、依存RF発生器は、2MHzIP・RF信号がハイからローに移行したと決定する。
1または複数の実施形態において、IDPC_Gamma1閾値は、Threshold1_Adjustの値によって感度を高くするために調整されうる。例えば、動作135において、センサ212によるハイからローへの検出感度を上げるために、0.8の代わりに0.7(例えば、2MHzIP・RF信号のハイからローへの移行によって生じるガンマ値よりもやや低い値)にIDPC_Gamma1閾値を設定することが望ましい場合がある。この例では、Threshold1_Adjustの値は、−0.1であり、IDPC_Gamma1閾値「0.7」は、ガンマ値「0.8」およびThreshold1_Adjustの値「−0.1」の和である。
IDPC_Gamma1閾値が取得されると、60MHzの依存RF発生器は、2MHzIP・RF信号がローに移行した時に電力供給に最適な調整RF周波数を決定することを可能にするために、動作139において周波数自己調整モードに設定される。再び、2MHzIP・RF信号のローパルスは、IDPC_Gamma1閾値の確認を可能にすると共に、60MHzの依存的なRF発生器が2MHzIP・RF信号のローパルス中に電力供給に最適なRF周波数に自己調整することを可能にするために、図3の点127および137の間で人為的に延長される。
依存的なRF発生器が、2MHzIP・RF信号のローパルス中に電力供給に最適なRF周波数(例えば、60.5MHz)に同調すると、依存RF発生器の最適な調整RF周波数は、動作141で記録され、動作143でIDPC_Freq2として設定される。
依存RF発生器は、2MHzIP・RF信号のローパルスに最適なRF周波数(例えば、60.5MHzなど)に同調した後、動作145においてIDPC_Freq2の固定周波数モードで動作するよう設定され、2MHzIP・RF発生器は、ハイに移行する(点137から点147に移行する)ことが可能になる。IDPC_Freq2は、周波数値F20の一例である。センサ212によって検知された周波数IDPC_Freq2の順方向電力は、電力値P20の一例である。これは、図4の動作145にも示されている。
2MHzのIP・RF信号がハイに移行した後(例えば、点137の後)、以前の最適な調整RF周波数IDPC_Freq2は、60MHzRF発生器による電力供給にとってもはや効率的なRF周波数ではなくなる。これは、2MHzの独立的にパルシングするRF信号がハイに移行してプラズマ102内のプラズマに供給するRF電力が大きくなった時に、プラズマインピーダンスが変化したからである。非効率は、ガンマ値0.78に反映され、センサ212によって検出される。このガンマ値0.78は、動作151で記録され、動作153でIDPC_Gamma2閾値として設定されてよい。IDPC_Gamma2閾値は、第1の閾値の一例である。IDPC_Gamma2閾値は、DSP150のメモリデバイス、AFT118のメモリデバイス、および/または、電力コントローラ152のメモリデバイスに格納される。
生産時間中、IP・RF信号がローに移行して、60MHzRF信号が60.5MHz(IP・RF信号がロー状態の時に60MHzRF発生器にとって最適な調整RF周波数)になり、次いで、IDPC_Gamma2閾値に到達すると、依存RF発生器は、2MHzIP・RF信号がローからハイに移行したばかりであると決定する。
1または複数の実施形態において、IDPC_Gamma2閾値は、Threshold2_Adjustの値によって感度を高くするために調整されうる。例えば、図4の動作153において、センサ212によるローからハイへの検出感度を上げるために、0.78の代わりに0.75(例えば、2MHzIP・RF信号のローからハイへの移行によって生じる実際のガンマ値よりもやや低い値)にIDPC_Gamma2閾値を設定することが望ましい場合がある。この例では、Threshold2_Adjustの値は、−0.03であり、IDPC_Gamma2閾値「0.75」は、ガンマ値「0.78」およびThreshold2_Adjustの値「−0.03」の和である。
次いで、2つの最適な調整RF周波数値(例えば、61.3MHzおよび60.5MHz)ならびに2つのガンマ閾値(例えば、IDPC_Gamma1閾値およびIDPC_Gamma2閾値)は、生産時間中に利用され、2MHzはパルシングすることを許容され、60MHzの依存RF発生器は、ガンマ値が閾値を超えたことをセンサ212が検出した時に、以前に学習した2つの最適な調整RF周波数の間で切り換えを行う。60MHz信号は、図3では信号155として図示されている。
図5は、電力コントローラおよび/または周波数チューナが非ゼロ値を提供しない場合に、プラズマインピーダンスに基づいて状態を変化させるためのシステム262の一実施形態を示す図である。システム262は、それぞれ非ゼロ値を提供する電力コントローラ172およびAFT264を備えることを除いては、図1のシステム180と同様である。
DSP140は、識別された状態S0を、対応する記憶場所からAFT264および電力コントローラ172に提供する。一例として、DSP140は、TTL信号112がデューティサイクルの時刻t2およびt3の間に状態S0にあることを、AFT264および電力コントローラ172に示す。AFT264は、TTL信号112の状態に基づいて周波数値を決定し、電力コントローラ172は、TTL信号112の状態に基づいて電力値を決定する。例えば、AFT264は、TTL信号112の状態がS0である時に周波数値F10が2MHzDAシステムに提供されるように決定し、電力コントローラ172は、TTL信号112の状態がS0である時に電力値P10が2MHzDAシステムに提供されるように決定する。一実施形態において、値F10およびP10は、正の値である。
周波数値F10はAFT264に格納され、電力値P10は電力コントローラ172に格納される。TTL信号112の状態がS0である時、電力コントローラ172は、電力値P10を2MHzDAシステムに提供し、AFT264は、周波数値F10を2MHzDAシステムに提供する。
2MHzDAシステムは、状態S0中に周波数値F10および電力値P10を受信する。値F10およびP10を受信すると、2MHzDAシステムは、周波数F10のRF電力を生成し、RF電力は電力値P10を有する。2MHzDAシステムの増幅器は、電力値P10に比例する電力値を有すると共に周波数値F10を有する順方向電力を、伝送線路230およびインピーダンス整合回路182を介してプラズマチャンバ102に供給する。
一実施形態において、TTL信号112の状態S0中に、AFT264は、DSP140から受信したガンマ値に基づいて周波数値を決定する。状態S0中に、AFT264は、ガンマ値から生成された周波数値に基づいて周波数値F10を調整し、調整した周波数値を2MHzDAシステムに提供する。さらに、状態S0中に、電力コントローラ172は、DSP140から受信したガンマ値に基づいて電力値を決定する。状態S0中に、電力コントローラ172は、ガンマ値に基づいて生成された電力値に基づいて電力値P10を調整し、調整した電力値を2MHzDAシステムに提供する。また、状態S0中に、2MHzDAシステムは、AFT264から受信した調整済みの周波数値と電力コントローラ172から受信した調整済みの電力値とを有する電力信号を生成し、その電力信号をインピーダンス整合回路182を介してプラズマチャンバ102に供給する。
電力コントローラ142および172、AFT114および264、ならびに、DSP140は、発生器コントローラ290の一部である。発生器コントローラ290、ADC220、センサ210、および、2MHzDAシステムは、2MHz発生器292の一部である。
一実施形態では、各DSP140または150の代わりに、DSPによって実行される機能を実行するために、任意の数のプロセッサが用いられる。
図6Aは、グラフ302、304、306、および、308の実施形態を示す。各グラフ302、304、306、および、308は、時刻tの関数としてキロワット(kW)で電力値を示している。グラフ302に示すように、2MHz電力信号(2MHzDAシステムによって供給される電力信号)は、状態S1中に電力値a1を有し、状態S0中に電力値0を有する。電力値a1は、電力値P11の一例である。また、60MHz電力信号(60MHzDAシステムによって提供される電力信号)は、状態S1中に電力値a2を有し、状態S0中に電力値a3を有する。電力値a2は電力値P21の一例であり、電力値a3は電力値P20の一例である。
グラフ304に示すように、60MHz電力信号は、状態S1およびS0中に電力値a2を有する。さらに、グラフ306に示すように、2MHz信号は、状態S0中に電力値a4を有する。電力値a4は、電力値P10の一例である。グラフ308に示すように、60MHz信号は、2MHz信号が電力値a4を有する時に、電力値a2を有する。
図6Bは、グラフ310、312、314、および、316の実施形態を示す。各グラフ310、312、314、および、316は、時刻tの関数としてキロワットで電力値を示している。グラフ310に示すように、60MHz信号は、電力値a2から電力値a3に移行する(図6A)代わりに、電力値a2から電力値0に移行する。
さらに、グラフ312に示すように、60MHz信号は、電力値a2から電力値a5に移行する。電力値a5は、電力値P20の一例である。グラフ314に示すように、60MHz信号は、2MHz信号が非ゼロ電力値a4を有する状態S0中に電力値0を有する。グラフ316に示すように、60MHz電力信号は、2MHz信号が非ゼロ電力値a4を有する状態S0中に非ゼロ電力値a5を有する。
図7Aは、グラフ318、320、322、および、324の実施形態を示す。各グラフ318、320、322、および、324は、時刻tの関数としてキロワットで電力値を示している。グラフ318、320、322、および、324が、27MHz信号のプロットを含むことを除けば、グラフ318はグラフ302(図6A)と同様であり、グラフ320はグラフ304(図6A)と同様であり、グラフ320はグラフ306(図6A)と同様であり、グラフ322はグラフ308(図6A)と同様である。27MHz信号は、27MHz発生器の27MHzDAシステム(図示せず)から生成される。27MHz信号は、状態S1およびS0の両方の間、電力値a6を有するRF信号である。
図7Bは、グラフ326、328、330、および、332の実施形態を示す。各グラフ326、328、330、および、332は、時刻tの関数としてキロワットで電力値を示している。グラフ326、328、330、および、332が、電力値a6を有する27MHz信号のプロットを含むことを除けば、グラフ326はグラフ310(図6B)と同様であり、グラフ328はグラフ312(図6B)と同様であり、グラフ330はグラフ314(図6B)と同様であり、グラフ332はグラフ316(図6B)と同様である。
図7Cは、グラフ334、336、338、および、340の実施形態を示す。各グラフ334、336、338、および、340は、時刻tの関数としてキロワットで電力値を示している。グラフ334、336、338、および、340が、27MHz信号のプロットを含むことを除けば、グラフ334はグラフ302(図6A)と同様であり、グラフ336はグラフ304(図6A)と同様であり、グラフ338はグラフ306(図6A)と同様であり、グラフ340はグラフ308(図6A)と同様である。27MHz信号は、状態S1中の電力値a7から状態S0中の電力値a8に移行する。電力値a7は、電力値a8よりも小さい。
図7Dは、グラフ342、344、346、および、348の実施形態を示す。各グラフ342、344、346、および、348は、時刻tの関数としてキロワットで電力値を示している。グラフ342、344、346、および、348が、電力値a7およびa8を有する27MHz信号のプロットを含むことを除けば、グラフ342はグラフ310(図6B)と同様であり、グラフ344はグラフ312(図6B)と同様であり、グラフ346はグラフ314(図6B)と同様であり、グラフ348はグラフ316(図6B)と同様である。
図7Eは、グラフ350、352、354、および、356の実施形態を示す。各グラフ350、352、354、および、356は、時刻tの関数としてキロワットで電力値を示している。グラフ350、352、354、および、356が、27MHz信号のプロットを含むことを除けば、グラフ350はグラフ302(図6A)と同様であり、グラフ352はグラフ304(図6A)と同様であり、グラフ354はグラフ306(図6A)と同様であり、グラフ356はグラフ308(図6A)と同様である。27MHz信号は、状態S1中の電力値a9から状態S0中の電力値a10に移行する。電力値a9は、電力値a10よりも大きい。
図7Fは、グラフ358、360、362、および、364の実施形態を示す。各グラフ358、360、362、および、364は、時刻tの関数としてキロワットで電力値を示している。グラフ358、360、362、および、364が、電力値a9およびa10を有する27MHz信号のプロットを含むことを除けば、グラフ358はグラフ310(図6B)と同様であり、グラフ360はグラフ312(図6B)と同様であり、グラフ362はグラフ314(図6B)と同様であり、グラフ364はグラフ316(図6B)と同様である。
上述のグラフ302、304、306、308、310、312、314、316、318、320、322、324、326、328、330、332、334、336、338、340、342、344、346、348、350、352、354、356、および、358において、2MHz信号は実線で示され、60MHz信号は破線で示され、27MHz信号は点線で示されている。
図8は、ガンマ値が第1の閾値または第2の閾値よりも大きいか否かに基づいてAFT118および120(図1および図3)の間で選択を行うためのシステム310の一実施形態を示すブロック図である。TTL信号112が状態S1であり、状態S1中に測定されたガンマ値が第1の閾値を超えた場合、システム310の選択ロジック128(セレクタの一例)がAFT118を選択し、TTL信号112が状態S0であり、状態S0中に測定されたガンマ値が第2の閾値を超えた場合、選択ロジック128はAFT120を選択する。選択ロジック128の例としては、マルチプレクサが挙げられる。選択ロジック128がマルチプレクサを含む場合、TTL信号112の状態S1中に測定されたガンマ値が第1の閾値よりも大きいことを示す信号、または、TTL信号112の状態S0中に測定されたガンマ値が第2の閾値よりも大きいことを示す信号が、マルチプレクサの選択入力で受信される。DSP150は、TTL信号112の状態S1中に測定されたガンマ値が第1の閾値よりも大きいことを示す信号を生成して、TTL信号112が状態S1を有する時にその信号をマルチプレクサに提供する。DSP150は、TTL信号112の状態S0中に測定されたガンマ値が第2の閾値よりも大きいことを示す信号を生成して、TTL信号112が状態S0を有する時にその信号をマルチプレクサに提供する。
一実施形態において、選択ロジック128は、プロセッサを備える。一実施形態において、選択ロジック128は、DSP140内に実装される。
AFT118が選択されると、AFT118は、周波数値F21を60MHzDAシステムに提供する。同様に、AFT120が選択されると、AFT120は、周波数値F20を60MHzDAシステムに提供する。
60MHzDAシステムは、クロック源312から受信したクロック信号と同期する2MHz信号を生成する。一実施形態において、クロック源312のクロック信号は、TTL信号112と同期しない。一実施形態において、クロック源3112のクロック信号は、TTL信号112と同期する。
一実施形態において、選択ロジック128は、AFT118および120の代わりに、電力コントローラ152および154(図5)の間で選択を行う。電力コントローラ152がTTL信号112の状態S1中に選択されると、電力コントローラ152は、電力値P21を60MHzDAシステムに提供し、電力コントローラ154がTTL信号112の状態S0中に選択されると、電力コントローラ154は、電力値P20を60MHzDAシステムに提供する。
一実施形態において、選択ロジック128は、選択ロジック128が60MHz発生器276(図1および図3)内に実装されるのと同様の方法で27MHz発生器内に実装される。
ガンマ値が、選択ロジック128によって、状態S1またはS0に基づいてAFT118または120に転送される。例えば、状態がS1である時、DSP150は、第1のガンマ値を選択ロジック128に提供する。この例において、状態S1中にAFT118を選択した選択ロジック128は、DSP150から受信した第1のガンマ値をAFT118に転送する。別の例として、状態がS0である時、DSP150は、第2のガンマ値を選択ロジック128に提供する。この例において、状態S0中にAFT120を選択した選択ロジック128は、DSP150から受信した第2のガンマ値をAFT120に転送する。
同様に、電力コントローラ152および154(図5)が用いられる実施形態において、選択ロジック128は、DSP150から受信した第1のガンマ値を状態S1中に電力コントローラ152に転送し、DSP150から受信した第2のガンマ値を電力コントローラ154に転送する。
さらに、選択ロジック128が27MHz発生器内に実装され、27MH発生器の電力コントローラに接続される実施形態において、選択ロジック128は、27MHz発生器のDSPから受信した第3のガンマ値を状態S1中に電力コントローラの1つに転送し、DSPから受信した第4のガンマ値を状態S0中に電力コントローラの別の1つに転送する。この実施形態において、第3のガンマ値は、27MHz発生器に接続された伝送線路上の順方向電力および反射電力に基づいて生成される。また、この実施形態において、順方向電力および反射電力は両方とも、27MHz発生器のセンサによって検知される。この実施形態において、第4のガンマ値は、27MHz発生器に接続された伝送線路上の順方向電力および反射電力に基づいて生成される。
さらに、選択ロジック128が27MHz発生器内に実装され、27MH発生器のAFTに接続される実施形態において、選択ロジック128は、27MHz発生器のDSPから受信した第3のガンマ値を状態S1中にAFTの一方に転送し、DSPから受信した第4のガンマ値を状態S0中にAFTの他方に転送する。
図9は、60MHzDAシステムの周波数および/または電力を調整して、60MHz発生器276(図1および図3)の状態S1またはS0を達成するための方法321の一実施形態を示すフローチャートである。動作325において、プラズマが、プラズマチャンバ102(図1)内で点火(例えば、生成)される。
動作327において、TTL信号112の両方の状態中に、伝送線路232上の順方向電力および反射電力が、センサ212(図5)によって測定される。測定された順方向電力および反射電力は、アナログ形式からデジタル形式に変換される。
動作329において、DSP140および150は、TTL信号112の状態S0およびS1中に測定された順方向電力および反射電力のデジタル値からガンマ値を計算する。TTL信号112の各状態について、ガンマ値がDSPによって決定される。例えば、TTL信号112の状態S0中に、ガンマ値が、DSP150によって、順方向電力および反射電力の間の関係性(例えば、伝送線路232上で検知された反射電力と順方向電力との比の平方根など)に基づいて決定され、TTL信号112の状態S1中に、ガンマ値が、DSP150によって、順方向電力および反射電力の間の関係性(例えば、伝送線路232(図5)上で検知された反射電力と順方向電力との比の平方根)に基づいて決定される。
動作331において、TTL信号112の状態S1中に測定されたガンマ値が第1の閾値よりも大きいか否かが判定され、TTL信号112の状態S0中に測定されたガンマ値が第2の閾値よりも大きいか否かが判定される。例えば、AFT118および電力コントローラ152は、DSP150から受信したガンマ値が第1の閾値よりも大きいか否かを判定し、AFT120および電力コントローラ154は、DSP150から受信したガンマ値が第2の閾値を超えるか否かを判定する。別の例として、DSP150は、第1のガンマ値が第1の閾値よりも大きいか否か、または、第2のガンマ値が第2の閾値よりも大きいか否かを判定する。
ガンマ値が第1の閾値よりも大きいと判定されると、動作333において、AFT118は、周波数値F21を60MHzDAシステムに提供し、電力コントローラ152は、電力値P21を60MHzDAシステムに提供する。さらに、ガンマ値が第2の閾値よりも大きいと判定されると、動作335において、AFT120は、周波数値F20を60MHzDAシステムに提供し、電力コントローラ154は、電力値P20を60MHzDAシステムに提供する。方法321の動作327は、動作333および335の後に繰り返される。
方法321は、60MHz発生器276に関して説明されているが、一実施形態において、方法321は、27MHz発生器、もしくは、27MHzまたは60MHz以外の周波数を有する発生器に適用される。
図10は、IP・RF信号のパルシング中に最適な生産時間電力供給を行うために依存RF発生器によってRF調整を実施する時間に対して正規化RF変数を示すグラフ400の一実施形態の図である。正規化RF変数の例は、順方向電力およびガンマ値を含む。図10は、方法500のフローチャートを参照すれば、より良好に理解できる。方法500の一実施形態は、図11に示す。方法500は、パルシング中の最適な電力供給のために依存RF発生器によって周波数調整を実行するための動作に関する詳細を提供する。
点402において、2MHzIP・RF発生器は、ハイに移行し、60MHzの依存RF発生器は、以前に学習した最適なRF周波数IDPC_Freq1(例えば、61.3MHz)に設定されるか、もしくは、この最適なRF周波数IDPC_Freq1に自己調整することを許可される。これは、図11の動作504に示されている。その後、依存RF発生器は、周波数調整モードで動作する。
図10の例では、2MHzIP・RF信号は、高電力設定点6kWと低電力設定点0kW(0は必須ではなく、非ゼロでもよい)との間で、159.25Hzのパルス周波数、50%のデューティサイクル(必要に応じて変更可能)でパルシングする。60MHzの依存RF発生器は、電力設定点900Wの電力を供給する。60MHzの依存RF発生器は、プラズマチャンバ102内のプラズマ負荷に電力を供給しつつ、図11の動作506および508に示すように、センサ212を介してガンマ値を監視する。
点404において、2MHzIP・RF信号は、ローに移行して、点409に至る。このハイからローへの移行の直後に、60MHzの依存RF発生器によって測定されるガンマ値が、約0.04から約0.8まで(例えば、点407から点408まで)急上昇する。IDPC_Gamma1閾値が、例えば0.7に設定された場合、検出されたガンマ値が閾値を超えると(動作508のYES分岐)、60MHzRF発生器は、以前に学習した或る最適な調整RF周波数値IDPC_Freq1から、以前に学習した他の最適な調整RF周波数値IDPC_Freq2に切り替わるよう促される。これは、図11の動作510に示されている。このように、2MHzIP・RF信号のハイからローへの移行に応じて60MHzの依存RF発生器をIDPC_Freq1からIDPC_Freq2に調整することにより、測定されるガンマ値は、0.05まで下がる(例えば、点410から点412に下がる)。
点415において、2MHzIP・RF信号は、ローからハイに移行して、例えば、点417に至る。このローからハイへの移行の直後、動作512および514において依存RF発生器によって測定されるガンマ値が、約0.05から約0.78まで急上昇する。この急上昇は、点414および416の間に示されている。
IDPC_Gamma2閾値が、例えば0.75に設定された場合、検出されたガンマ値が閾値を超えると(図11の動作514のYES分岐)、60MHzRF発生器は、以前に学習した最適な調整RF周波数値IDPC_Freq2から、以前に学習した他の最適な調整RF周波数値IDPC_Freq1に切り替わるよう促される。これは、図11の動作504に示されている。このように、2MHzIP・RF信号のローからハイへの移行に応じて60MHzの依存RF発生器をIDPC_Freq2からIDPC_Freq1に調整することにより、測定されるガンマ値は、0.04まで下がる(例えば、点418から点420に下がる)。
図10のタイムスケールは、図3よりも速いタイムスケールを反映していることに注意されたい。図10のタイムスケールは生産時間を示し、図3のタイムスケールは学習時間を示す。上述のように、依存RF発生器が学習を目的に最適な調整RF周波数に自己調整することを可能にするために、IP・RFパルスのハイ期間およびロー期間が、学習時間中に人為的に延長されることに当てはまる。さらに、60MHz信号は、図10で信号460として図示されていることに注意されたい。
一実施形態では、依存RF発生器が基本的に状態機械として動作し、IP・RF信号のハイからローへの移行およびIP・RF信号のローからハイへの移行を検出した時に1つの学習済み最適RF周波数から別の学習済み最適RF周波数に切り替わるた、生産時間中に、かかる自己調整は利用されない。ハイからローへの移行は、測定されたガンマ値をIDPC_Gamma1閾値と比較して、ガンマ逸脱の検出前のIP・RF信号の以前の状態を決定することによって検出される。さらに、ローからハイへの移行は、測定されたガンマ値をIDPC_Gamma2閾値と比較して、ガンマ逸脱の検出前のIP・RF信号の以前の状態を決定することによって検出される。
上述の実施形態は、2MHzRF信号および/または60MHz信号および/または27MHz信号を下側電極104に供給し、上側電極110を接地することに関するが、いくつかの実施形態では、2MHz、60MHz、および、27MHz信号が上側電極110に提供され、下側電極104が接地されることに注意されたい。
また、一実施形態において、入力(例えば、周波数入力、電力入力など)またはレベル(例えば、電力レベル、周波数レベル)は、別の値の制限(例えば、標準偏差など)内にある1または複数の値を含むことに注意されたい。例えば、電力レベルは、電力値P21と、電力値P21の制限内にある他の電力値とを含む。この例において、電力レベルは、別の状態に対する任意の電力値(例えば、状態S0に対する電力値P20)を除外する。別の例として、周波数入力は、周波数値F11と、周波数値F11の制限内にある他の周波数値とを含む。この例において、周波数入力は、別の状態に対する任意の周波数値(例えば、状態S0に対する周波数値F10)を除外する。
上述の実施形態は、平行板プラズマチャンバに関して説明されているが、一実施形態において、上述の実施形態は、その他のタイプのプラズマチャンバ、例えば、誘電結合プラズマ(ICP)リアクタを備えるプラズマチャンバ、電子サイクロトロン共鳴(ECR)リアクタを備えるプラズマチャンバなど、に適用されることに注意されたい。例えば、2MHzおよび60MHz電源は、ICPプラズマチャンバ内のインダクタに接続される。
さらに、上述の実施形態のいくつかはガンマ値を用いて説明されているが、一実施形態において、インピーダンスの差の値が用いられてもよい。例えば、TTL信号112の状態がS1の時、DSP150は、伝送線路232上の反射電力からインピーダンスを決定し、さらに、伝送線路232上の順方向電力からインピーダンスを決定する。DSP150は、インピーダンスの間の第1の差が第1の制限を超えるか否かを判定し、超えると判定した場合、その旨を示すと共に第1の差の値を示す信号を送信する。第1の差の値を示す信号を受信すると、AFT118は、メモリデバイスから周波数値F21を取得し、電力コントローラ152は、メモリデバイスから電力値P21を取得する。次いで、値F21およびP21は、60MHzDAシステムに提供される。
同様に、TTL信号112の状態がS0の時、DSP150は、伝送線路232上の反射電力からインピーダンスを決定し、さらに、伝送線路232上の順方向電力からインピーダンスを決定する。DSP150は、インピーダンスの間の第2の差が第2の制限を超えるか否かを判定し、超えると判定した場合、その旨を示すと共に第2の差の値を示す信号を送信する。第2の差の値を示す信号を受信すると、AFT120は、メモリデバイスから周波数値F20を取得し、電力コントローラ154は、メモリデバイスから電力値P20を取得する。次いで、値F20およびP20は、60MHzDAシステムに提供される。
一実施形態において、発生器コントローラのAFTおよび/または電力コントローラによって実行される動作は、発生器コントローラのDSPによって実行される。例えば、AFT118および120によって実行される本明細書に記載の動作は、DSP150によって実行される。
一実施形態において、用語「駆動増幅器」ならびに「DAシステム」は、本明細書で交換可能に利用されている。
本明細書に記載の実施形態は、ハンドヘルドデバイス、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラム可能な家電、ミニコンピュータ、メインフレームコンピュータなど、様々なコンピュータシステム構成で実施されてもよい。実施形態は、ネットワークを通して接続された遠隔処理デバイスによってタスクが実行される分散コンピューティング環境で実施されてもよい。
上述の実施形態を念頭に置いて、本実施形態は、コンピュータシステムに格納されたデータを含め、コンピュータに実装された様々な動作を利用できることを理解されたい。これらの動作は、物理量の物理操作を必要とするものである。本実施形態の一部を形成する本明細書で説明した動作はいずれも、有用な機械動作である。本実施形態は、さらに、これらの動作を実行するためのデバイスまたは装置に関する。装置は、専用コンピュータ向けに特別に構成されてよい。専用コンピュータとして規定された場合、コンピュータは、特殊目的に含まれない他の処理、プログラム実行、または、ルーチンも実行しつつ、特殊目的のために動作することができる。あるいは、動作は、コンピュータメモリ、キャッシュに格納されたまたはネットワークを介して取得された1または複数のコンピュータプログラムによって選択的にアクティベートまたは構成された汎用コンピュータで処理されてもよい。データがネットワークを介して取得されると、そのデータは、ネットワーク上の他のコンピュータ(例えば、クラウドのコンピューティング資源)によって処理されてよい。
1または複数実施形態は、非一時的なコンピュータ読み取り可能媒体上にコンピュータ読み取り可能なコードとして製造されてもよい。非一時的なコンピュータ読み取り可能媒体は、コンピュータシステムによって読み出し可能であるようにデータを格納できる任意のデータ記憶装置である。非一時的なコンピュータ読み取り可能媒体の例としては、ハードドライブ、ネットワーク接続ストレージ(NAS)、ROM、RAM、コンパクトディスク−ROM(CD−ROM)、CD−レコーダブル(CD−R)、CD−リライタブル(CD−RW)、磁気テープ、および、その他の光学式および非光学式のデータ記憶装置が挙げられる。非一時的なコンピュータ読み取り可能媒体は、コンピュータ読み取り可能なコードが分散的に格納および実行されるように、ネットワーク接続されたコンピュータシステム上に分散されたコンピュータ読み取り可能なタンジブル媒体を含みうる。
上述のフローチャートの方法の動作は特定の順番で記載されているが、オーバーレイ動作の処理が望ましく実行される限りは、他のハウスキーピング動作が動作の合間に実行されてもよいし、動作が若干異なる時間に実行されるように調整されてもよいし、処理に関連した様々な間隔で処理動作が起きることを許容するシステムに分散されてもよいことを理解されたい。
本開示に記載された様々な実施形態に記載された範囲を逸脱することなしに、任意の実施形態の1または複数の特徴が、任意の他の実施形態の1または複数の特徴と組み合わされてもよい。
理解を深めるために、本実施形態について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。
優先権の主張
米国特許出願第13/666,912号は、米国特許法第119条(e)の下、2012年9月14日出願の米国仮特許出願第61/701,560号「Impedance−based Adjustment of Power and Frequency」の利益および優先権を主張し、この出願は、参照によって全ての目的で本明細書にその全体が組み込まれる。
米国特許出願第13/666,912号は、2012年6月22日出願の米国特許出願第13/531,491号「Methods and Apparatus For Controlling Plasma In A Plasma Processing System」の一部継続出願であり、米国特許法第120条の下、その利益および優先権を主張し、この出願は、参照によって全ての目的で本明細書にその全体が組み込まれる。
米国特許出願第13/531,491号は、米国特許法第119条(e)の下、2012年2月22日出願の米国仮特許出願第61/602,040号「Frequency Enhanced Impedance Dependent Power Control For Multi−frequency Pulsing」の利益および優先権を主張し、この出願は、参照によって全ての目的で本明細書にその全体が組み込まれる。
米国特許出願第13/531,491号は、米国特許法第119条(e)の下、2012年2月22日出願の米国仮特許出願第61/602,041号「Methods and Apparatus For Synchronizing RF Pulses In A Plasma Processing System」の利益および優先権を主張し、この出願は、参照によって全ての目的で本明細書にその全体が組み込まれる。
米国特許出願第13/666,912号は、2012年7月17日出願の米国特許出願第13/550,719号「Methods and Apparatus For Synchronizing RF Pulses In A Plasma Processing System」の一部継続出願であり、米国特許法第120条の下、その利益および優先権を主張し、この出願は、参照によって全ての目的で本明細書にその全体が組み込まれる。
米国特許出願第13/550,719号は、米国特許法第119条(e)の下、2012年2月22日出願の米国仮特許出願第61/602,041号「Methods and Apparatus For Synchronizing RF Pulses In A Plasma Processing System」の利益および優先権を主張し、この出願は、参照によって全ての目的で本明細書にその全体が組み込まれる。

Claims (20)

  1. システムであって、
    プラズマを収容するためのプラズマチャンバであって、電極を含む、プラズマチャンバと、
    高周波(RF)信号を前記電極に供給するために電送線路を介して前記プラズマチャンバに接続された駆動増幅器と、
    前記駆動増幅器に接続されたセレクタと、
    前記セレクタに接続された第1の自動周波数制御部(AFC)と、
    前記セレクタに接続された第2のAFCと、
    を備え、
    前記セレクタは、前記伝送線路上で検知された電流および電圧の値に基づいて、前記第1のAFCまたは前記第2のAFCを選択するよう構成されている、システム。
  2. 請求項1に記載のシステムであって、
    前記電流および電圧の値は、1または複数のガンマ値を生成するために用いられ、
    前記セレクタは、前記ガンマ値の1つが第1の閾値よりも大きい場合に前記第1のAFCを選択するよう構成され、前記ガンマ値の別の1つが第2の閾値よりも大きい場合に前記第2のAFCを選択するよう構成されている、システム。
  3. 請求項1に記載のシステムであって、
    前記セレクタは、マルチプレクサを含む、システム。
  4. システムであって、
    電極に接続された第1発生器であって、
    第1高周波(RF)信号を前記電極に供給するための第1駆動増幅器と、
    パルス信号が第1の状態である時に第1の第1周波数入力を前記第1駆動増幅器に提供するための第1自動周波数チューナ(AFT)と、を含み、
    前記第1AFTは、前記パルス信号が第2の状態である時に第2の第1周波数入力を前記第1駆動増幅器に提供するよう構成されている、第1発生器と、
    前記電極に接続された第2発生器であって、
    第2RF信号を前記電極に供給するための第2駆動増幅器と、
    前記第2駆動増幅器に接続された第1の第2AFTと、
    前記第2駆動増幅器に接続された第2の第2AFTと、
    前記第1の第2AFTおよび前記第2の第2AFTに接続されたプロセッサと、
    前記第1および第2の状態中に前記第2発生器および前記電極の間で伝達される電流および電圧を検知するために前記電極に接続された1または複数のセンサと、を含み、
    前記プロセッサは、前記電流および電圧に基づいてパラメータを生成すると共に、前記第1の状態に対する前記パラメータの内の第1のパラメータが第1の限度を超えるか否か、および、前記第2の状態に対する前記パラメータの内の第2のパラメータが第2の限度を超えるか否かを判定するよう構成され、
    前記第1の第2AFTは、前記第1のパラメータが前記第1の限度を超えたという判定を受信すると、第1の第2周波数入力を前記第2駆動増幅器に提供するよう構成され、
    前記第2の第2AFTは、前記第2のパラメータが前記第2の限度を超えたという判定を受信すると、第2の第2周波数入力を前記第2駆動増幅器に提供するよう構成されている、第2発生器と、を備える、システム。
  5. 請求項4に記載のシステムであって、さらに、
    前記第1の第2AFTまたは前記第2の第2AFTを選択するために前記プロセッサに接続されたセレクタを備え、
    前記セレクタは、前記第1のパラメータが前記第1の限度を超えたことを示す信号を前記第2プロセッサから受信すると前記第1の第2AFTを選択し、前記第2のパラメータが前記第2の限度を超えたことを示す信号を前記第2プロセッサから受信すると前記第2の第2AFTを選択する、システム。
  6. 請求項4に記載のシステムであって、
    前記電極は、プラズマチャンバの下側電極を含む、システム。
  7. 請求項4に記載のシステムであって、
    前記第1の状態中に、前記第1駆動増幅器は、前記第2RF信号よりも低い周波数を有する前記第1RF信号を生成するよう構成され、前記第1RF信号は、前記第2RF信号よりも高い電力を有する、システム。
  8. 請求項4に記載のシステムであって、
    前記プロセッサは、前記パルス信号の大きさに基づいて、前記パルス信号が前記第1の状態にあるか、前記第2の状態にあるかを判定するよう構成されている、システム。
  9. 請求項4に記載のシステムであって、
    前記第1および第2のパラメータの各々は、ガンマ値、または、インピーダンスの差の値を含む、システム。
  10. システムであって、
    パルス信号を生成するためのデジタルパルス源と、
    第1発生器であって、
    第1高周波(RF)信号を電極に供給するために前記電極に接続された第1駆動増幅器と、
    前記パルス信号を受信するために前記パルス源に接続された1または複数の第1プロセッサと、を含み、
    前記1または複数の第1プロセッサは、
    前記パルス信号の2つの状態の内の第1の状態および第2の状態を識別し、
    前記パルス信号が前記第1の状態にある時、第1電力値を前記第1駆動増幅器に提供することを決定し、
    前記パルス信号が前記第1の状態にある時、前記第1RF信号の第1周波数値を提供することを決定するよう構成されている、第1発生器と、
    第2発生器であって、
    第2RF信号を前記電極に供給するために前記電極に接続された第2駆動増幅器と、
    前記パルス信号を受信するために前記パルス源に接続された1または複数の第2プロセッサと、を含み、
    前記1または複数の第2プロセッサは、
    前記パルス信号が前記第1の状態にある時に、プラズマに関するパラメータが第1の限度を超えるか否かを判定し、
    前記パルス信号が前記第2の状態にある時に、前記パラメータが第2の限度を超えるか否かを判定し、
    前記パラメータが前記第1の限度を超えるとの判定に応じて、第1の第2電力値を前記第2駆動増幅器に提供することを決定し、
    前記パラメータが前記第2の限度を超えるとの判定に応じて、第2の第2電力値を前記第2駆動増幅器に提供することを決定し、
    前記パラメータが前記第1の限度を超えるとの判定に応じて、第1の第2周波数値を前記第2駆動増幅器に提供することを決定し、
    前記パラメータが前記第2の限度を超えるとの判定に応じて、第2の第2周波数値を前記第2駆動増幅器に提供することを決定するよう構成されている、第2発生器と、
    を備える、システム。
  11. 請求項10に記載のシステムであって、さらに、
    前記第1の第2周波数値または前記第2の第2周波数値を選択するために前記1または複数の第2プロセッサに接続されたセレクタを備え、
    前記セレクタは、前記第1のパラメータが前記第1の限度を超えたことを示す信号を前記1または複数の第2プロセッサから受信すると前記第1の第2周波数値を選択し、前記第2のパラメータが前記第2の限度を超えたことを示す信号を前記1または複数の第2プロセッサから受信すると前記第2の第2周波数値を選択する、システム。
  12. 請求項10に記載のシステムであって、
    前記パラメータは、ガンマ値、または、インピーダンスの差の値を含む、システム。
  13. 請求項10に記載のシステムであって、
    前記電極は、プラズマチャンバの下側電極を含む、システム。
  14. 請求項10に記載のシステムであって、
    前記第1の状態中に、前記第1駆動増幅器は、前記第2RF信号よりも低い周波数を有する前記第1RF信号を生成するよう構成され、前記第1RF信号は、前記第2RF信号よりも高い電力を有する、システム。
  15. 請求項10に記載のシステムであって、
    前記第1および第2周波数値の各々は調整される、システム。
  16. 請求項10に記載のシステムであって、
    前記1または複数の第1プロセッサは、前記パルス信号の大きさに基づいて、前記パルス信号が前記第1の状態にあるか、前記第2の状態にあるかを判定する、システム。
  17. 方法であって、
    2つの状態を有するデジタルパルス信号を受信する工程と、
    電流および電圧の値を受信する工程と、
    プラズマインピーダンスに関するパラメータを前記電流および電圧の値から計算する工程と、
    前記第1の状態中に、前記パラメータの内の第1のパラメータが第1の限度を超えるか否かを判定する工程と、
    前記第1のパラメータが前記第1の限度を超えると判定されると、第1の周波数値および第1の電力値を高周波(RF)駆動増幅器に提供する工程と、
    前記第2の状態中に、前記パラメータの内の第2のパラメータが第2の限度を超えるか否かを判定する工程と、
    前記第2のパラメータが前記第2の限度を超えると判定されると、第2の周波数値および第2の電力値を前記RF駆動増幅器に提供する工程と、
    を備える、方法。
  18. 請求項17に記載の方法であって、
    前記方法は、半導体ウエハを処理して集積回路を製造するために利用される、方法。
  19. 請求項17に記載の方法であって、
    前記パラメータは、ガンマ値、または、インピーダンスの差の値を含む、方法。
  20. 請求項17に記載の方法であって、さらに、
    前記第1の周波数値および前記第1の電力値、もしくは、前記第2の周波数値および前記第2の電力値を選択する工程を備える、方法。
JP2013031827A 2012-02-22 2013-02-21 インピーダンスに基づいた電力および周波数の調整 Active JP6400272B2 (ja)

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
US201261602041P 2012-02-22 2012-02-22
US201261602040P 2012-02-22 2012-02-22
US61/602,041 2012-02-22
US61/602,040 2012-02-22
US13/531,491 2012-06-22
US13/531,491 US9114666B2 (en) 2012-02-22 2012-06-22 Methods and apparatus for controlling plasma in a plasma processing system
US13/550,719 2012-07-17
US13/550,719 US9368329B2 (en) 2012-02-22 2012-07-17 Methods and apparatus for synchronizing RF pulses in a plasma processing system
US201261701560P 2012-09-14 2012-09-14
US61/701,560 2012-09-14
US13/666,912 US9171699B2 (en) 2012-02-22 2012-11-01 Impedance-based adjustment of power and frequency
US13/666,912 2012-11-01

Publications (3)

Publication Number Publication Date
JP2013179047A true JP2013179047A (ja) 2013-09-09
JP2013179047A5 JP2013179047A5 (ja) 2016-03-31
JP6400272B2 JP6400272B2 (ja) 2018-10-03

Family

ID=48981754

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013031827A Active JP6400272B2 (ja) 2012-02-22 2013-02-21 インピーダンスに基づいた電力および周波数の調整

Country Status (6)

Country Link
US (3) US9171699B2 (ja)
JP (1) JP6400272B2 (ja)
KR (1) KR20130096679A (ja)
CN (2) CN105742151B (ja)
SG (1) SG193113A1 (ja)
TW (2) TWI612855B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021511684A (ja) * 2018-01-25 2021-05-06 東京エレクトロン株式会社 プラズマ性能を制御するための方法及びシステム
JP2021530864A (ja) * 2018-06-27 2021-11-11 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッドBeijing NAURA Microelectronics Equipment Co., LTD 無線周波数パルス整合方法およびそのデバイス、ならびに、パルスプラズマ生成システム
JP2022552589A (ja) * 2019-08-13 2022-12-19 エムケーエス インストゥルメンツ,インコーポレイテッド Rf給電プラズマ用途におけるシース形成、進化、およびパルスからパルスへの安定性を強化するための方法および装置

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US9171700B2 (en) * 2012-06-15 2015-10-27 COMET Technologies USA, Inc. Plasma pulse tracking system and method
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
JP5704772B1 (ja) 2014-02-04 2015-04-22 株式会社京三製作所 高周波電源装置およびプラズマ着火方法
US9544987B2 (en) * 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
US9627186B2 (en) 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
EP3029711B1 (en) * 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
EP3091559A1 (en) * 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
DE102015220847A1 (de) * 2015-10-26 2017-04-27 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Impedanzanpassung einer Last an die Ausgangsimpedanz eines Leistungsgenerators und Impedanzanpassungsanordnung
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6378234B2 (ja) * 2016-03-22 2018-08-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9748076B1 (en) 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
US10009028B2 (en) 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
US10879044B2 (en) * 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
CN110800376B (zh) 2017-06-27 2022-04-01 佳能安内华股份有限公司 等离子体处理装置
KR20220031132A (ko) * 2017-06-27 2022-03-11 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
SG11201912567RA (en) * 2017-06-27 2020-01-30 Canon Anelva Corp Plasma processing apparatus
KR102257134B1 (ko) * 2017-06-27 2021-05-26 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
US10020168B1 (en) * 2017-07-20 2018-07-10 Lam Research Corporation Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
US11114321B2 (en) * 2017-08-17 2021-09-07 Tokyo Electron Limited Apparatus and method for real-time sensing of properties in industrial manufacturing equipment
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US20190108976A1 (en) * 2017-10-11 2019-04-11 Advanced Energy Industries, Inc. Matched source impedance driving system and method of operating the same
WO2020112108A1 (en) 2017-11-29 2020-06-04 COMET Technologies USA, Inc. Retuning for impedance matching network control
US10304660B1 (en) 2018-03-21 2019-05-28 Lam Research Corporation Multi-level pulsing of DC and RF signals
US10224183B1 (en) 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
SG11202009122YA (en) 2018-06-26 2020-10-29 Canon Anelva Corp Plasma processing apparatus, plasma processing method, program, and memory medium
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
CN112585715B (zh) 2018-08-17 2024-04-26 朗姆研究公司 在衬底处理系统中用于无匹配式等离子体源的直接频率调谐
CN111293022B (zh) * 2018-12-07 2023-01-24 中微半导体设备(上海)股份有限公司 脉冲射频等离子体的阻抗匹配方法和装置
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
WO2021041984A1 (en) 2019-08-28 2021-03-04 COMET Technologies USA, Inc. High power low frequency coils
US11545341B2 (en) 2019-10-02 2023-01-03 Samsung Electronics Co., Ltd. Plasma etching method and semiconductor device fabrication method including the same
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US12027351B2 (en) 2020-01-10 2024-07-02 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
TW202226319A (zh) * 2020-08-31 2022-07-01 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
WO2024006675A1 (en) * 2022-06-27 2024-01-04 Lam Research Corporation Systems and methods for calibrating rf generators in a simultaneous manner
WO2024091857A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Systems and methods for fast control of impedance associated with an output of a plasma source

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10312899A (ja) * 1997-05-15 1998-11-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP2005130198A (ja) * 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US20050255255A1 (en) * 2002-10-29 2005-11-17 Mitsubishi Heavy Industries, Ltd. Method and device for generating uniform high-frequency plasma over large surface area used for plasma chemical vapor deposition apparatus
US20060220656A1 (en) * 2005-03-31 2006-10-05 Daihen Corporation High-frequency power source
US20070056928A1 (en) * 2005-09-15 2007-03-15 Kenji Maeda Plasma processing method and plasma processing device
JP2008130398A (ja) * 2006-11-22 2008-06-05 Pearl Kogyo Co Ltd 高周波電源装置および高周波電力供給方法
US20090255800A1 (en) * 2008-03-31 2009-10-15 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and computer readable storage medium
JP2010510669A (ja) * 2006-11-17 2010-04-02 ラム リサーチ コーポレーション 高速ガス切り替えプラズマ処理装置
JP2010532549A (ja) * 2007-06-29 2010-10-07 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 強化された電荷中和及びプロセス制御を具えたプラズマ処理
JP2010258605A (ja) * 2009-04-22 2010-11-11 Japan Radio Co Ltd パルスディテクタ
JP2011109674A (ja) * 2001-04-06 2011-06-02 Mks Instruments Inc パルス化インテリジェントrf変調コントローラ

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US6146104A (en) * 1996-11-08 2000-11-14 The It Group, Inc. Groundwater recovery system incorporating a combination of pressure and vacuum to accomplish removal of groundwater fluids from a downhole pump
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6351683B1 (en) 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
CN1129229C (zh) * 1997-09-17 2003-11-26 东京电子株式会社 电抗匹配系统及方法
JP4340348B2 (ja) * 1998-01-22 2009-10-07 株式会社日立国際電気 プラズマ生成装置
US6020794A (en) * 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
US6406590B1 (en) * 1998-09-08 2002-06-18 Sharp Kaubushiki Kaisha Method and apparatus for surface treatment using plasma
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
WO2002075332A1 (en) * 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
CN1305353C (zh) 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
AU2003224727A1 (en) 2002-03-28 2003-10-13 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
JP2005531927A (ja) 2002-06-28 2005-10-20 東京エレクトロン株式会社 材料処理ツールおよびセンサデータを使用して処理性能を予測するための方法およびシステム
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7244344B2 (en) 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
WO2009060213A1 (en) 2007-11-06 2009-05-14 Microoncology Limited Microwave plasms sterilisation system and applicators therefor
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
KR20150017389A (ko) 2008-05-14 2015-02-16 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5141519B2 (ja) * 2008-12-02 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運転方法
US8040068B2 (en) * 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
JP6257638B2 (ja) 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10312899A (ja) * 1997-05-15 1998-11-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP2011109674A (ja) * 2001-04-06 2011-06-02 Mks Instruments Inc パルス化インテリジェントrf変調コントローラ
US20050255255A1 (en) * 2002-10-29 2005-11-17 Mitsubishi Heavy Industries, Ltd. Method and device for generating uniform high-frequency plasma over large surface area used for plasma chemical vapor deposition apparatus
JP2005130198A (ja) * 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US20060220656A1 (en) * 2005-03-31 2006-10-05 Daihen Corporation High-frequency power source
JP2006286254A (ja) * 2005-03-31 2006-10-19 Daihen Corp 高周波電源装置
JP2007081208A (ja) * 2005-09-15 2007-03-29 Hitachi High-Technologies Corp プラズマ処理方法及び処理装置
US20070056928A1 (en) * 2005-09-15 2007-03-15 Kenji Maeda Plasma processing method and plasma processing device
JP2010510669A (ja) * 2006-11-17 2010-04-02 ラム リサーチ コーポレーション 高速ガス切り替えプラズマ処理装置
JP2008130398A (ja) * 2006-11-22 2008-06-05 Pearl Kogyo Co Ltd 高周波電源装置および高周波電力供給方法
JP2010532549A (ja) * 2007-06-29 2010-10-07 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 強化された電荷中和及びプロセス制御を具えたプラズマ処理
US20090255800A1 (en) * 2008-03-31 2009-10-15 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and computer readable storage medium
JP2009246091A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2010258605A (ja) * 2009-04-22 2010-11-11 Japan Radio Co Ltd パルスディテクタ

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021511684A (ja) * 2018-01-25 2021-05-06 東京エレクトロン株式会社 プラズマ性能を制御するための方法及びシステム
JP7305095B2 (ja) 2018-01-25 2023-07-10 東京エレクトロン株式会社 プラズマ性能を制御するための方法及びシステム
JP2021530864A (ja) * 2018-06-27 2021-11-11 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッドBeijing NAURA Microelectronics Equipment Co., LTD 無線周波数パルス整合方法およびそのデバイス、ならびに、パルスプラズマ生成システム
JP7085655B2 (ja) 2018-06-27 2022-06-16 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッド 無線周波数パルス整合方法およびそのデバイス、ならびに、パルスプラズマ生成システム
JP2022552589A (ja) * 2019-08-13 2022-12-19 エムケーエス インストゥルメンツ,インコーポレイテッド Rf給電プラズマ用途におけるシース形成、進化、およびパルスからパルスへの安定性を強化するための方法および装置
JP7393523B2 (ja) 2019-08-13 2023-12-06 エムケーエス インストゥルメンツ,インコーポレイテッド Rf給電プラズマ用途におけるシース形成、進化、およびパルスからパルスへの安定性を強化するための方法および装置

Also Published As

Publication number Publication date
SG193113A1 (en) 2013-09-30
US20170178864A1 (en) 2017-06-22
JP6400272B2 (ja) 2018-10-03
CN103295866A (zh) 2013-09-11
CN103295866B (zh) 2016-06-08
US20130214683A1 (en) 2013-08-22
US9171699B2 (en) 2015-10-27
CN105742151B (zh) 2019-02-22
US20160005573A1 (en) 2016-01-07
KR20130096679A (ko) 2013-08-30
TWI612855B (zh) 2018-01-21
TW201725940A (zh) 2017-07-16
TWI593321B (zh) 2017-07-21
CN105742151A (zh) 2016-07-06
US9960015B2 (en) 2018-05-01
TW201352076A (zh) 2013-12-16
US9607810B2 (en) 2017-03-28

Similar Documents

Publication Publication Date Title
JP6400272B2 (ja) インピーダンスに基づいた電力および周波数の調整
KR102130921B1 (ko) 전력 및 주파수의 상태 기반 조절
US11361942B2 (en) Adjustment of power and frequency based on three or more states
KR102165741B1 (ko) 세 개 이상의 상태에 기초한 전력 및 주파수의 조절
TWI613697B (zh) 用於降低射頻訊號功率位準變動對電漿阻抗的影響之系統和方法
JP4739793B2 (ja) 高周波電源装置
JP2013179047A5 (ja)
JP2006286254A5 (ja)

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160212

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161206

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170217

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170405

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171010

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180305

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180814

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180905

R150 Certificate of patent or registration of utility model

Ref document number: 6400272

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250