TWI613697B - 用於降低射頻訊號功率位準變動對電漿阻抗的影響之系統和方法 - Google Patents

用於降低射頻訊號功率位準變動對電漿阻抗的影響之系統和方法 Download PDF

Info

Publication number
TWI613697B
TWI613697B TW102133224A TW102133224A TWI613697B TW I613697 B TWI613697 B TW I613697B TW 102133224 A TW102133224 A TW 102133224A TW 102133224 A TW102133224 A TW 102133224A TW I613697 B TWI613697 B TW I613697B
Authority
TW
Taiwan
Prior art keywords
signal
state
main
frequency
impedance
Prior art date
Application number
TW102133224A
Other languages
English (en)
Other versions
TW201428810A (zh
Inventor
小約翰C 微寇爾
布萊佛J 琳戴克
安德魯S 馮
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201428810A publication Critical patent/TW201428810A/zh
Application granted granted Critical
Publication of TWI613697B publication Critical patent/TWI613697B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)

Abstract

描述執行邊緣斜坡修整的系統和方法。一種系統,包含一基本RF產生器,用於產生一第一RF訊號。該第一RF訊號由一狀態過渡至另一狀態。該第一RF訊號自一狀態至另一狀態的過渡造成電漿阻抗的變動。該系統更包含一副RF產生器,用於產生一第二RF訊號。該第二RF訊號由一狀態過渡至另一狀態,以將電漿阻抗的該變動穩定。該系統包含一控制器,連接至該副RF產生器。該控制器係用於提供參數值至該副RF產生器,以在該第二RF訊號由一狀態過渡至另一狀態之時執行該第二RF訊號的邊緣斜坡修整。

Description

用於降低射頻訊號功率位準變動對電漿阻抗的影響之系統和方法
本發明係關於改善對電漿阻抗變化的響應,且更具體而言,關於執行邊緣斜坡修整的設備、方法、和電腦程式。
在一些電漿處理系統中,將多個射頻(RF)訊號提供給電漿腔室內的一個以上電極。RF訊號協助在該電漿腔室之內產生電漿。該電漿係用於各種操作,例如清潔位於一下電極之上的基板、蝕刻基板、晶邊蝕刻(bevel etch)基板等等。
當RF訊號其中一者的功率值有所變化時,電漿阻抗變化,且在電漿內產生擾動。當將電漿用於以上所提及的各種操作時,控制該擾動是重要的。
在此背景下,產生本揭露內容中所述的實施例。
本揭露內容的實施例提供用於邊緣斜坡修整的設備、方法、和電腦程式。應了解的是,本發明實施例可以多種方式實現,例如製程、設備、系統、裝置、或電腦可讀媒體上的方法。以下描述若干實施例。
在一個實施例中,一種系統包含一基本RF產生器,用於產生一第一RF訊號。該第一RF訊號由一狀態過渡至另一狀態。該第一RF訊號自一狀態至另一狀態的過渡造成電漿阻抗的變動。該系統更包含一副RF產生器,用於產生一第二RF訊號。該第二RF訊號由一狀態過渡至另一狀態,以將電漿阻抗的該變動穩定。該系統包含一控制器,連接至該副RF產生器。該控制器用於提供參數值至該副RF產生器,以在該第二RF訊號 由一狀態過渡至另一狀態之時執行該第二RF訊號的邊緣斜坡修整(edge ramping)。
在一個實施例中,描述一種系統,用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響。該系統包含一主產生器。該主產生器包含:一主驅動放大器,用於產生一主RF訊號;及一主數位訊號處理器(DSP),用於辨識一數位脈衝訊號的狀態。該等狀態包含一第一狀態和一第二狀態。該主DSP用於在該數位脈衝訊號在該第一狀態時確定一第一主頻率輸入,且用於在該數位脈衝訊號在該第二狀態時確定一第二主頻率輸入。該主產生器更包含一第一主自動頻率調諧器(AFT),連接至該主DSP和該主驅動放大器。該第一主AFT係用於自該主DSP接收該第一主頻率輸入,且調整該主RF訊號以達到對應該第一主頻率輸入的電漿阻抗。該主產生器包含一第二主AFT,連接至該主DSP和該主驅動放大器。該第二主AFT係用於自該主DSP接收該第二主頻率輸入,且調整該主RF訊號以達成對應該第二主頻率輸入的電漿阻抗。該主RF訊號具有自該第一主頻率輸入過渡至該第二主頻率輸入的主過渡速率。
在此實施例中,該系統更包含一副產生器。該副產生器包含:一副驅動放大器,用於產生一副RF訊號;及一副數位訊號處理器(DSP),用於辨識該數位脈衝訊號的狀態。該副DSP係用於在該數位脈衝訊號在該第一狀態時確定一第一副頻率輸入,且用於在該數位脈衝訊號在該第二狀態時確定一第二副頻率輸入。該副產生器更包含一第一副AFT,連接至該副DSP和該副驅動放大器。該第一副AFT係用於自該副DSP接收該第一副頻率輸入,且調整該副RF訊號以達到對應該第一副頻率輸入的電漿阻抗。該副產生器包含一第二副AFT,連接至該副DSP和該副驅動放大器。該第二副AFT係用於自該副DSP接收該第二副頻率輸入,且調整該副RF訊號以達成對應該第二副頻率輸入的電漿阻抗。該副DSP建構成判定自該第一副頻率輸入過渡至該第二副頻率輸入的一副過渡速率。該副過渡速率係不同於該主過渡速率。
在一個實施例中,描述一種系統,用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響。該系統包含一主產生器。該主產生器包含:一主驅動放大器,用於產生一主RF訊號;及一個以上主控制器,連 接至該主驅動放大器。該一個以上主控制器建構成辨識數位脈衝訊號的狀態。該等狀態包含一第一狀態和一第二狀態。該一個以上主控制器更建構成調整該主RF訊號,以在該數位脈衝訊號在該第一狀態之時達到對應一第一主功率輸入的電漿阻抗。並且,該一個以上主控制器建構成調整該主RF訊號,以在該數位脈衝訊號在該第二狀態之時達到對應一第二主功率輸入的電漿阻抗。該主RF訊號具有自該第一主功率輸入過渡至該第二主功率輸入的主過渡速率。
在此實施例中,該系統更包含一副產生器。該副產生器包含一副驅動放大器,用於產生一副RF訊號。該副產生器亦包含一個以上副控制器,連接至該副驅動放大器。該一個以上副控制器建構成調整該副RF訊號,以在該數位脈衝訊號在該第一狀態之時達到對應一第一副功率輸入的電漿阻抗。該一個以上副控制器更建構成調整該副RF訊號,以在該數位脈衝訊號在該第二狀態之時達到對應一第二副功率輸入的電漿阻抗。該一個以上副控制器建構成判定自該第一副功率輸入過渡至該第二副功率輸入的一副過渡速率。該副過渡速率係不同於該主過渡速率。
在一個實施例中,描述一種方法,用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響。該方法包含辨識一數位脈衝訊號的狀態。該等狀態包含一第一狀態和一第二狀態。該方法包含:在該數位脈衝訊號在該第一狀態時確定一第一主頻率輸入;及在該數位脈衝訊號在該第二狀態時確定一第二主頻率輸入。該方法亦包含:調整一主RF訊號,以在該數位脈衝訊號在該第一狀態之時達到對應該第一主頻率輸入的電漿阻抗;及調整該主RF訊號,以在該數位脈衝訊號在該第二狀態之時達到對應該第二主頻率輸入的電漿阻抗。該主RF訊號具有自該第一主頻率輸入過渡至該第二主頻率輸入的主過渡速率。該方法包含:在該數位脈衝訊號在該第一狀態時確定一第一副頻率輸入;及在該數位脈衝訊號在該第二狀態時確定一第二副頻率輸入。該方法更包含:調整一副RF訊號,以在該數位脈衝訊號在該第一狀態之時達到對應該第一副頻率輸入的電漿阻抗;及調整該副RF訊號,以在該數位脈衝訊號在該第二狀態之時達到對應該第二副頻率輸入的電漿阻抗。該方法包含判定自該第一副頻率輸入過渡至該第二副頻率輸入的一副過渡速率。該副過渡速率係不同於該主過渡速率。
上述實施例的一些優點,包含當一基本訊號(例如2百萬赫茲(MHz)訊號、27MHz訊號等等)由一高功率值變動至低功率值、或由低功率值變動至高功率值之時,降低電漿阻抗的振鈴或突出。在一個實施例中,將振鈴或突出加以避免。在一個實施例中,當基本訊號由第一功率值(例如高或低功率值)變動至低功率值(例如低或高功率值)之時,將另一RF訊號加以邊緣斜坡修整,以控制該另一RF訊號自該另一RF訊號的一功率值至該另一RF訊號的另一功率值的過渡。在另一實施例中,當該基本訊號自第一功率值變動至第二功率值之時,將該另一RF訊號加以邊緣斜坡修整,以控制該另一RF訊號自該另一RF訊號的一頻率值至該另一RF訊號的另一頻率值的過渡。在又另一實施例中,當該基本訊號自第一功率值變動至第二功率值之時,,將該另一RF訊號加以邊緣斜坡修整,以控制該另一RF訊號自該另一RF訊號的一頻率值至該另一RF訊號的另一頻率值的過渡,以及控制該另一RF訊號自該另一RF訊號的一功率值至該另一RF訊號的另一功率值的過渡。
由以下詳細說明以及隨附圖式,將更明白其他實施態樣。
100‧‧‧系統
102‧‧‧產生器
104‧‧‧DSP
106‧‧‧TTL訊號
108‧‧‧AFT
110‧‧‧AFT
112‧‧‧產生器
114‧‧‧DAS
116‧‧‧DAS
118‧‧‧DSP
120‧‧‧AFT
122‧‧‧AFT
124‧‧‧電漿腔室
126‧‧‧上電極
128‧‧‧基板
130‧‧‧下電極
132‧‧‧上表面
136‧‧‧功率控制器
138‧‧‧功率控制器
140‧‧‧功率控制器
142‧‧‧功率控制器
144‧‧‧感測器
146‧‧‧感測器
148‧‧‧阻抗匹配電路
150‧‧‧阻抗匹配電路
152‧‧‧傳輸線
154‧‧‧傳輸線
158‧‧‧主電腦
160‧‧‧數位訊號處理器(DSP)
161‧‧‧驅動放大系統(DAS)
168‧‧‧頻率/功率控制器
170‧‧‧頻率/功率控制器
176‧‧‧阻抗匹配電路
178‧‧‧傳輸線
180‧‧‧產生器參數集
190‧‧‧系統
191‧‧‧工具UI
198‧‧‧電腦
201、203‧‧‧圖表
202‧‧‧曲線
204‧‧‧曲線
206‧‧‧圖表部分
208‧‧‧曲線
209‧‧‧曲線
210‧‧‧曲線
212‧‧‧曲線
214‧‧‧圖表部分
220和222‧‧‧圖表
224‧‧‧圖表部分
226‧‧‧圖表部分
228‧‧‧曲線
230‧‧‧曲線
250‧‧‧圖表
252‧‧‧圖表
254、256‧‧‧圖表
258‧‧‧圖表部分
260‧‧‧圖表部分
272‧‧‧圖表
274‧‧‧圖表
290、292‧‧‧圖表
294‧‧‧圖表部分
296‧‧‧曲線
298‧‧‧曲線
302‧‧‧曲線
303‧‧‧圖表部分
304‧‧‧曲線
306‧‧‧曲線
308‧‧‧曲線
306‧‧‧峰
308‧‧‧峰
350‧‧‧方法
352‧‧‧操作
354‧‧‧操作
356‧‧‧操作
358‧‧‧操作
360‧‧‧操作
381、383‧‧‧圖表
參照以下說明以及隨附圖式,可最佳地理解實施例。
圖1係根據本揭露內容所述實施例的一系統實施例的圖示,該系統用於執行邊緣斜坡修整(edge ramping),以降低電漿阻抗的變化對相依射頻(RF)訊號的影響。
圖2係根據本揭露內容所述實施例的系統實施例的方塊圖,該系統係用於執行邊緣斜坡修整。
圖3顯示根據本揭露內容所述實施例的實施例圖表,描述一時間段,在該時間段期間可執行邊緣斜坡修整。
圖4顯示根據本揭露內容所述實施例的實施例圖表,以描述60MHz訊號的邊緣斜坡修整。
圖5顯示根據本揭露內容所述實施例的圖表,以顯示當未應用邊緣斜坡修整之時電漿用以穩定的時間量和當應用邊緣斜坡修整之時電漿用以穩定的時間量之間的差異。
圖6顯示根據本揭露內容所述實施例的圖表,其描述當未執行邊緣斜坡修整時的前向功率與當執行邊緣斜坡修整時的前向功率之間的差異。
圖7顯示根據本揭露內容所述實施例的圖表,其顯示邊緣斜坡修整對光發射光譜儀所感測的訊號的影響。
根據本揭露內容所述實施例,圖8顯示一圖表,其描述當未執行邊緣斜坡修整時的前向功率與當執行邊緣斜坡修整時的前向功率之間的差異,且圖8顯示另一圖表,其描述當執行邊緣斜坡修整時的電漿阻抗與當未執行邊緣斜坡修整時的電漿阻抗之間的差異。
根據本揭露內容所述實施例,圖9顯示一圖表,其描繪以焦耳為單位的電漿能量相對於時間的圖形,以描述當執行邊緣斜坡修整之時能量的標準差與當未執行邊緣斜坡修整之時能量的標準差之間的差異。
根據本揭露內容所述實施例,圖10顯示圖表,描述邊緣斜坡修整實施例。
根據本揭露內容所述實施例,圖11係用於將一RF訊號邊緣斜坡修整之方法的實施例流程圖。
以下實施例描述執行邊緣斜坡修整的系統和方法。明顯的是,在沒有一些或全部這些特定細節的情況下,仍可實施本實施例。另一方面,眾所周知的製程操作不再詳細描述,以避免不必要地模糊本實施例。
圖1係一系統100實施例的圖示,系統100用於執行邊緣斜坡修整,以降低電漿阻抗的變化對相依射頻(RF)訊號的影響。一電漿腔室124包含一下電極130、一上電極126、及其他構件(未顯示),例如圍繞該上電極126的上介電環、圍繞該上介電環的上電極延伸部、圍繞該下電極130的下介電環、圍繞該下介電環的下電極延伸部、上電漿排除區域(PEZ)環、下PEZ環等等。上電極126係位於下電極130的對面且面向下電極130。一基板128(例如半導體晶圓)係被支承於下電極130的上表面132。例如特定用途積體電路(ASIC)、可程式邏輯元件(PLD)等等的積體電路係形成於基板128之上,且該積體電路係用於各種裝置,例如行動電話、平板 電腦、智慧型手機、電腦、膝上型電腦、網路設備等等。下電極130係由金屬構成,例如經陽極處理的鋁、鋁合金等等。並且,上電極126係由金屬構成,例如鋁、鋁合金等等。
在一個實施例中,上電極126包含一開口,連接至一中央氣體饋入部(未顯示)。該中央氣體饋入部從一氣體供應部(未顯示)接收一種以上製程氣體。製程氣體的例子包括含氧氣體,例如O2。製程氣體的其他例子包含含氟氣體,例如四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)等等。上電極126係接地。下電極130係經由阻抗匹配電路176連接至百萬赫茲(MHz)RF驅動放大系統(DAS,driver and amplifier system)161。
當將製程氣體供應至上電極126及下電極130之間,且當DAS 161經由一阻抗匹配電路176供應功率至下電極130之時,製程氣體被點燃而在電漿腔室124之內產生電漿。舉例來說,DAS 161經由阻抗匹配電路176供應功率,以點燃製程氣體而產生電漿。
一阻抗匹配電路包含電路元件,例如電感器、電容器等等,以將耦合至阻抗匹配電路的電源阻抗與耦合至阻抗匹配電路的負載阻抗匹配。舉例來說,阻抗匹配電路176將DAS 161的阻抗與電漿腔室124之內所產生電漿的阻抗匹配。作為又另一範例,阻抗匹配電路176將DAS 161的阻抗與電漿腔室124之一個以上部分的阻抗匹配,例如電漿腔室124之內的電漿、上電極126、下電極130、其他構件等等。在一個實施例中,將阻抗匹配電路調整,以促進耦合至阻抗匹配電路的DAS的阻抗與一負載的阻抗之間的匹配。電源和負載之間的阻抗匹配,降低功率自負載朝向電源反射的機率。
一主電腦158連接至數位訊號處理器(DSP)160。主電腦158產生且提供一電晶體-電晶體邏輯(TTL)訊號106至數位訊號處理器(DSP)160。TTL訊號106係數位脈衝訊號的例子。在一個實施例中,一電腦包含產生該TTL訊號106的TTL電路。當使用於此處,代替電腦,使用處理器、控制器、ASIC、或PLD,且這些術語於此處可互換使用。TTL訊號106包含狀態S1和S0。TTL訊號106具有50%的工作週期(duty cycle)。在一個實施例中,TTL訊號106具有5%至95%之間的工作週期。狀態S1的例子包含開啟狀態、具有值1的狀態、或高狀態。狀態S0的範例包含一 關閉狀態、具有值0的狀態、或低狀態。高狀態具有大於低狀態的量值。
在另一實施例中,替代電腦,使用例如晶體振盪器的時脈振盪器以產生類比時脈訊號,其係藉由一類比至數位轉換器轉換為類似TTL訊號106的數位訊號。舉例來說,晶體振盪器係製作成藉由施加一電壓至接近晶體振盪器或在晶體振盪器上的電極而在一電場中振盪。
TTL訊號106係傳送至DSP 160。DSP 160接收TTL訊號106且辨識TTL訊號106的狀態S0及S1。舉例來說,DSP 160分辨狀態S0和S1。作為另一範例,DSP 160判定TTL訊號106在第一組時間段期間具有第一量值(例如值1、高狀態量值),且在一第二組時間段期間具有一第二量值(例如值0、低狀態量值)。DSP 160判定TTL訊號106在第一組時間段中具有狀態S1,且在第二組時間段中具有狀態S0。作為又另一範例,DSP 160將TTL訊號106的量值與一預儲存數值比較,以判定TTL訊106的量值在第一組時間段期間大於該預儲存數值以及在TTL訊號106的狀態S0期間的量值在第二組時間段期間不大於該預儲存數值。在使用時脈振盪器的實施例中,DSP 160自時脈振盪器接收一類比時脈訊號、將該類比訊號轉換為數位型式、且接著辨識二個狀態S0及S1。
當將TTL訊號106的狀態辨識為S1之時,DSP 160提供功率值P1及/或頻率值F1至頻率/功率控制器168。此外,當將TTL訊號106的狀態辨識為S0之時,DSP 160提供功率值P0及/或頻率值F0至頻率/功率控制器170。在自狀態S1至狀態S0或自狀態S0至狀態S1的過渡時間TT(其為ab微秒)期間,DSP 160將一個以上過渡頻率FT及/或一個以上功率值PT提供至控制器168,其中a和b係整數。在一個實施例中,功率值PT係在功率值P1和P0之間,及/或頻率值FT係在頻率值F1和F0之間。在一個實施例中,DSP 160亦將過渡時間TT視為期間未辨識出狀態S1及狀態S0的時間。
在過渡時間TT期間,控制器168接收過渡頻率FT且提供驅動頻率給例如2MHz DAS、27MHz DAS、及60MHz DAS等等之DAS的驅動器。在一個實施例中,驅動頻率係與頻率FT相同。驅動器產生具有驅動頻率的RF訊號,且經由放大器、傳輸線178、及阻抗匹配電路176提供該RF訊號至下電極130。在一個實施例中,驅動頻率和接收自DSP 160的 過渡頻率FT之間的映射,係儲存於接收驅動頻率的控制器的記憶體裝置之中。記憶體裝置的例子包含隨機存取記憶體(RAM)及唯讀記憶體(ROM)。記憶體裝置可為快閃記憶體、硬碟、儲存裝置、電腦可讀媒體等等。
在一個實施例中,驅動頻率和接收自DSP 160的過渡頻率FT之間的映射,係儲存於接收驅動頻率的控制器的記憶體裝置之中。在此實施例中,該控制器將接收自DSP 160的過渡頻率FT映射至驅動頻率,以提供驅動頻率至驅動器。
此外,在過渡時間TT期間,控制器168接收功率值PT,且提供驅動功率值至DAS的驅動器。在一個實施例中,驅動功率值係與功率值PT相同。該驅動器產生具有驅動功率值PT的RF訊號,且經由傳輸線178及阻抗匹配電路176提供驅動功率值以驅動下電極130。
在一個實施例中,驅動功率值和接收自DSP 160的過渡功率值PT之間的映射,係儲存於接收驅動功率值的控制器的記憶體裝置之中。在此實施例中,該控制器將接收自DSP 160的過渡功率值PT映射至驅動功率值,以提供驅動功率值至驅動器。
功率值P1、P0、PT、功率值P1和狀態S1之間的映射、功率值P0和狀態S0之間的映射、功率值PT和過渡時間TT之間的映射、頻率值F1、F0、FT、頻率值F1和狀態S1之間的映射、頻率值F0和狀態S0之間的映射、頻率值FT和過渡時間TT之間的映射、或其組合,係位於產生器參數集180之中,該產生器參數集180係儲存於主電腦158的記憶體裝置之中。主電腦158亦包含邏輯A、B到N,以實施產生器參數集180。舉例來說,主電腦158包含作業系統,例如Linux作業系統、WindowsTM作業系統、及一個以上的編程應用程式,例如電腦程式,以實施產生器參數集180。
在一個實施例中,功率值PT、頻率值FT、或其組合,係參數值的例子。
圖2係系統190實施例的方塊圖,系統190係用於執行邊緣斜坡修整。系統190包含x MHz產生器102及y MHz產生器112。x MHz產生器102的例子包含2MHz產生器,且y MHz產生器112的例子包含60MHz產生器。x MHz產生器102的另一例子包含60MHz產生器,且y MHz產生 器112的例子包含2MHz產生器。x MHz產生器102的又另一例子包含2MHz產生器,且y MHz產生器112的例子包含27MHz產生器。x MHz產生器102的另一例子包含27MHz產生器,且y MHz產生器112的例子包含2MHz產生器。x MHz產生器102係基本RF產生器或主產生器的例子,且y MHz產生器112係副RF產生器或副產生器的例子。
x MHz產生器102包含DAS 116,其產生一RF訊號。此外,x MHz產生器102包含DSP 104。DSP 104接收TTL訊號106,且辨識TTL訊號106的狀態。當TTL訊號106的狀態係S1,DSP 104產生頻率值F11且提供頻率值F11至自動頻率調諧器(AFT)108。一AFT的範例係提供於美國專利第6,020,794號,其全部內容藉由參照全體納入作為本案揭示內容的一部分。在一個實施例中,術語調諧器和控制器係於此處可互換地使用。類似地,當TTL訊號106的狀態係S0,DSP 104產生頻率值F10且提供頻率值F10至AFT 110。
在TTL訊號106的狀態S1期間,連接至DSP 104及DAS 116的AFT 108,由DSP104接收頻率值F11,且調節(例如收斂)產生自DAS 116的RF訊號的頻率,以達到電漿腔室124之內電漿阻抗的頻率與頻率值F11之間預定的對應,例如匹配、預定的差等等。舉例來說,AFT 108查找一驅動頻率,以提供給DAS 116的驅動器。該驅動頻率係儲存於AFT 108的記憶體裝置之中。在一個實施例中,該驅動頻率係與頻率值F11相同。該驅動頻率係由AFT 108提供給DAS 116的驅動器。DAS 116的驅動器產生具有驅動頻率的RF訊號,且提供該訊號至DAS 116的放大器。DAS 116產生具有驅動頻率的RF訊號,且經由傳輸線152、阻抗匹配電路148傳送該RF訊號至下電極130,以在該驅動頻率驅動該下電極130。
感測器144量測在傳輸線152之上的反射功率,且提供所測得的反射功率至DSP 104。DSP 104基於該反射功率判定電漿腔室124之內的電漿阻抗,且該阻抗包含一頻率。DSP 104判定該阻抗的頻率是否在頻率F11的範圍內。在判定該頻率不在頻率F11的範圍之內時,AFT 108查找對應頻率值F11的另一驅動頻率,以提供至DAS 116的驅動器。AFT 108繼續提供對應頻率值F11的各種驅動頻率,直到該阻抗的頻率係在頻率F11的範圍之內。應注意的是,在此範例中,AFT 108將對應頻率F11的各種驅動 頻率儲存於AFT 108的儲存裝置之內。類似地,在TTL訊號106的狀態S0期間,連接至DSP 104和DAS 116的AFT 110接收來自DSP 104的頻率值F10,且調節由DAS 116所產生之RF訊號的頻率,以達成電漿腔室124之內電漿阻抗的頻率與頻率值F10之間的預定對應。
當TTL訊號106自狀態S1過渡至狀態S0之時,由DAS 116所產生的RF訊號的頻率,以第一速率從頻率值F11過渡至頻率值F10。在一個實施例中,該第一速率係與TTL訊號106從狀態S1過渡至狀態S0的速率相同。在一個實施例中,第一速率係類似TTL訊號106的過渡速率,例如在def微秒之內,其中d、e、f每一者係整數。在一個實施例中,該第一速率係主過渡速率的例子。
系統190更包含y MHz產生器112,其包含DAS 114,用於產生RF訊號。y MHz產生器112更包含DSP 118,其辨識TTL訊號106的狀態。DSP 118接收TTL訊號106且辨識TTL訊號106的狀態。當TTL訊號106的狀態係S1之時,DSP 118產生頻率值F21且提供頻率值F21給AFT 120。類似地,當TTL訊號106的狀態係S0之時,DSP 118產生頻率值F20,且提供頻率值F20給AFT 122。
在TTL訊號106的狀態S1期間,連接至DSP 118及DAS 114的AFT 120,接收來自DSP 118的頻率值F21,且調節(例如收斂)由DAS 114所產生的RF訊號的頻率,以達成電漿腔室124之內電漿阻抗的頻率與頻率值F21之間的預定對應。舉例來說,AFT 120查找一驅動頻率,以提供給DAS 114的驅動器。該驅動頻率係儲存於AFT 120的記憶體裝置之中。在一個實施例中,該驅動頻率係與頻率值F21相同。該驅動頻率係由AFT 120提供給DAS 114的驅動器。該驅動器產生具有該驅動頻率的RF訊號,且提供該RF訊號給DAS 114的放大器。DAS 114產生具有該驅動頻率的RF訊號,且經由傳輸線154及阻抗匹配電路150傳送該RF訊號至下電極130,以在該驅動頻率驅動下電極130。
感測器146量測在傳輸線154之上的反射功率,且提供所測得的反射功率至DSP 118。DSP 118基於該反射功率判定電漿腔室124之內的電漿阻抗,且該阻抗包含一頻率。DSP 118判定該阻抗的頻率是否在頻率F21的範圍內。在判定該頻率不在頻率F21的範圍之內時,AFT 120查找對 應頻率值F21的另一驅動頻率,以提供至DAS 114的驅動器。AFT 120繼續提供對應頻率值F21的不同驅動頻率,直到該阻抗的頻率係在頻率F21的範圍之內。應注意的是,在此範例中,AFT 120將對應頻率F21的不同驅動頻率儲存於AFT 120的儲存裝置之內。類似地,在TTL訊號106的狀態S0期間,連接至DSP 118和DAS 114的AFT 122接收來自DSP 118的頻率值F20,且調諧由DAS 118所產生之RF訊號的頻率,以達成電漿腔室124之內電漿阻抗的頻率與頻率值F20之間的預定對應。
當TTL訊號106自狀態S1過渡至狀態S0之時,由DAS 114所產生的RF訊號,以第二速率從頻率值F21過渡至頻率值F20。在一個實施例中,該第二速率係副過渡速率的例子。在一個實施例中,由DAS 114所產生的RF訊號過渡,以將電漿腔室124之內的電漿的電漿阻抗穩定。在一個實施例中,第二速率係小於第一速率。舉例來說,由DAS 114所提供的RF訊號自頻率值F21過渡至頻率值F20所花費的時間量,多於由DAS 116所提供的RF訊號從頻率值F11過渡至頻率值F10所花費的時間量。
在從頻率值F21過渡至頻率值F20期間,DSP 118提供一個以上過渡頻率FT_S1-S0至AFT 120。過渡頻率FT_S1-S0係儲存於電腦198的記憶體裝置之內,且在來自電腦198的產生器參數集180之內由DSP 118加以接收,電腦198係主電腦158(圖1)的一個例子。在一個實施例中,過渡頻率FT_S1-S0與自狀態S1至狀態S0的狀態過渡之間的映射,係儲存於電腦198的記憶體裝置之內。AFT 120接收過渡頻率FT_S1-S0,且在TTL訊號106的狀態S1期間提供對應的(例如映射的)驅動過渡頻率至DAS 114。在一個實施例中,過渡期間的驅動頻率係與過渡頻率FT_S1-S0相同。DAS 114產生具有驅動頻率的RF訊號,且經由傳輸線154及阻抗匹配電路150提供RF訊號至電漿腔室124的下電極130。
在一個實施例中,期間施加過渡頻率FT_S1-S0的時間量,係小於阻抗匹配電路150將電漿腔室124一個以上部分的阻抗與DAS 114的阻抗匹配所花費的時間量。舉例來說,當傳輸線154之上的反射功率與傳輸線154之上的前向功率的比例係接近零(例如在始於0的範圍之內)之時,電漿腔室124的一個以上部分的阻抗係與DAS 114的阻抗匹配。該前向和反射功率係藉由感測器146加以量測。感測器146提供所測得的前向和 反射功率數值至DSP 118,其將所測得的數值由類比形式轉換成數位形式且計算該比例。
在一個實施例中,期間施加過渡頻率FT_S1-S0的時間量,係小於電漿腔室124之內的電漿阻抗用以穩定(例如收斂至一數值)所花費的時間量。舉例來說,當該比例接近零時,DSP 118判定電漿阻抗收斂至一數值。在一個實施例中,取代該比例,電壓駐波比(VSWR)係藉由DSP 118加以計算,以判定期間施加過渡頻率FT_S1-S0的時間量是否小於阻抗匹配電路150將電漿腔室124一個以上部分的阻抗與DAS 114的阻抗匹配所花費的時間量,或用以判定電漿腔室124之內的電漿阻抗是否穩定的時間量。VSWR係計算成等於RC-1與RC+1的比例,其中RC係反射係數。
在一個實施例中,第二過渡速率發生於一時間期間,該時間小於RF傳輸路徑的頻寬的發生時間,該RF傳輸路徑包含DAS 114的放大器、阻抗匹配電路150、及下電極130。在一個實施例中,第二過渡速率發生於一時間期間,該時間小於DAS 116所產生的RF訊號的RF脈衝的寬度的發生時間。該RF脈衝係當TTL訊號106係在狀態S1或狀態S0之時一RF訊號的一部份。
此外,當TTL訊號106的狀態係S1,DSP 104產生功率值P11且提供功率值P11至功率控制器136。類似地,當TTL訊號106的狀態係S0之時,DSP 104產生功率值P10,且提供功率值P10至功率控制器138。
在TTL訊號106的狀態S1期間,連接至DSP 104和DAS 116的功率控制器136,自DSP 104接收功率值P11,且調諧DAS 116所產生的RF訊號的功率,以達成電漿腔室124之內對應的電漿阻抗。舉例來說,功率控制器136查找一驅動功率值,以提供給DAS 116的驅動器。驅動功率值對應電漿阻抗Z1。驅動功率值和電漿阻抗Z1係儲存於功率控制器136的記憶體裝置之中。在一個實施例中,驅動功率值係與功率值P11相同。驅動功率值係由功率控制器136提供至DAS 116的驅動器。該驅動器產生具有該驅動功率值的RF訊號,且提供該RF訊號至DAS 116的放大器。該放大器可放大該驅動功率值,以產生一放大的功率值,其可與該放大器接收自DAS 116的驅動器的RF訊號的功率值相同。具有經放大功率值的RF訊號,係藉由DAS 116經由傳輸線152及阻抗匹配電路148供應至下電極130, 以在經放大的功率值下驅動下電極130。
感測器144量測在傳輸線152之上的反射功率,且提供所測得的反射功率至DSP 104。DSP 104基於該反射功率判定電漿腔室124之內的電漿阻抗,且該阻抗包含一頻率。DSP 104基於電壓與電流的比例判定阻抗,且該電壓和電流係功率的變數。DSP 104判定該阻抗是否在阻抗Z1的範圍之內。在判定該阻抗不在阻抗Z1的範圍之內時,功率控制器136查找對應阻抗Z1的另一驅動功率值,以提供DAS 116的驅動器。功率控制器136繼續提供對應阻抗Z1的不同驅動功率值,直到基於傳輸線152之上的反射功率所判定的阻抗係在阻抗Z1的範圍之內。應注意的是,在此範例中,功率控制器136將對應阻抗Z1的不同驅動功率值儲存於功率控制器136的儲存裝置之內。類似地,在TTL訊號106的狀態S0期間,連接至DSP 104和DAS 116的功率控制器138,接收來自DSP 104的功率值P10,且調諧DAS 116所產生的RF訊號的功率,以達成電漿腔室124之內對應的電漿阻抗。
當TTL訊號106自狀態S1過渡至狀態S0之時,由DAS 116所提供的RF訊號的功率值,以第三速率自功率值P11過渡至功率值P10。在一個實施例中,第三速率係與TTL訊號106自狀態S1過渡至狀態S0的速率相同。在一個實施例中,第三速率係與TTL訊號106的過渡速率類似,例如在ghi微秒之內,其中g、h、及i每一者係整數。在一個實施例中,第三速率係主過渡速率的一個例子。
當頻率值由F11變化至F10,且/或功率值由P11變化至P10之時,在電漿腔室124之內的電漿的電漿阻抗改變。並且,當頻率值由F10變化至F11,且/或功率值由P10變化至P11之時,在電漿腔室124之內的電漿的電漿阻抗改變。
此外,當TTL訊號106的狀態係S1之時,DSP 118產生功率值P21且提供功率值P21至功率控制器140。類似地,當TTL訊號106的狀態係S0之時,DSP 118產生功率值P20且提供功率值P20至功率控制器142。
在TTL訊號106的狀態S1期間,連接至DSP 118和DAS 114的功率控制器140,自DSP 118接收功率值P21,且調諧DAS 114所產生的RF訊號的功率,以達到電漿腔室124之內的對應的電漿阻抗。舉例來說, 功率控制器140查找一驅動功率值,以在該驅動功率值驅動DAS 114的驅動器。該驅動功率值對應電漿阻抗Z2。驅動功率值和電漿阻抗Z2係儲存於功率控制器140的記憶體裝置之中。在一個實施例之中,該驅動功率值係與功率值P21相同。該驅動功率值係由功率控制器140提供至驅動器,該驅動器係連接至DAS 114的放大器,以產生具有該驅動功率值的RF訊號。該放大器可放大該RF訊號。在一個實施例中,經放大的RF訊號,係與來自DAS 114的驅動器由DAS 114的放大器所接收的RF訊號具有相同的功率值。由DAS 114所產生的RF訊號,係經由傳輸線154和阻抗匹配電路150供應至下電極130,以在該驅動功率值驅動下電極130。
感測器146量測在傳輸線154之上的反射功率,且提供所測得的反射功率至DSP 118。DSP 118基於該反射功率判定電漿腔室124之內的電漿阻抗,且該阻抗包含一頻率。DSP 118判定該阻抗是否在阻抗Z2的範圍之內。在判定該阻抗不在阻抗Z2的範圍之內時,功率控制器140查找對應阻抗Z2的另一驅動功率值,以提供DAS 114的驅動器。功率控制器140繼續提供對應阻抗Z2的不同的驅動功率值,直到基於傳輸線154之上的反射功率由DSP 118所判定的阻抗係在阻抗Z2的範圍之內。應注意的是,在此範例中,功率控制器140將對應阻抗Z2的不同的驅動功率值儲存於功率控制器140的儲存裝置之內。類似地,在TTL訊號106的狀態S0期間,連接至DSP 118和DAS 114的功率控制器142,接收來自DSP 118的功率值P20,且調諧DAS 114所產生的RF訊號的功率,以達成電漿腔室124之內對應的電漿阻抗。
當TTL訊號106自狀態S1過渡至狀態S0之時,由DAS 114所產生的RF訊號,以第四速率自功率值P21過渡至功率值P20。在一個實施例中,第四速率係小於第三速率。舉例來說,由DAS 114所提供的RF訊號自功率值P 21過渡至功率值P 20,與由DAS 116所提供的RF訊號從功率值P 11過渡至功率值P 10相較,耗費較多的時間。在一個實施例中,第四速率係副過渡速率的一個例子。
在從功率值P21過渡至功率值P20期間,DSP 118提供一個以上過渡功率值PT_S1-S0至功率控制器140。過渡功率值PT_S1-S0係儲存於電腦198的記憶體裝置之內,且在產生器參數集180之內由DSP 118加以 接收。在一個實施例中,過渡功率值PT_S1-S0與自狀態S1至狀態S0的狀態過渡之間的映射,係儲存於電腦198的記憶體裝置之內。功率控制器140接收過渡功率值PT_S1-S0,且在功率值P21過渡至功率值P20期間提供驅動過渡功率值至DAS 114。DAS 114產生具有過渡功率值PT_S1-S0的RF訊號,且經由傳輸線154及阻抗匹配電路150提供RF訊號至電漿腔室124。
在一個實施例中,期間施加過渡功率值PT_S1-S0的時間量,係小於阻抗匹配電路150將電漿腔室124一個以上部分的阻抗與DAS 114的阻抗匹配所花費的時間量。舉例來說,當傳輸線154之上的反射功率與傳輸線154之上的前向功率的比例係接近零(例如在始於0的範圍之內)之時,電漿腔室124的一個以上部分的阻抗係與DAS 114的阻抗匹配。
在一個實施例中,期間施加過渡功率值PT_S1-S0的時間量,係小於電漿腔室124之內的電漿阻抗用以穩定(例如收斂至一數值)所花費的時間量。在一個實施例中,取代該比例,一VSWR係藉由DSP 118加以計算,以判定期間施加過渡功率值PT_S1-S0的時間量是否小於阻抗匹配電路150將電漿腔室124一個以上部分的阻抗與DAS 114的阻抗匹配所花費的時間量,或用以判定電漿腔室124之內的電漿阻抗是否穩定的時間量。
在一個實施例中,第四過渡速率係發生於一時間期間,該時間係小於與RF傳輸路徑的頻寬的發生相關連的時間,該RF傳輸路徑包含DAS 114的放大器、阻抗匹配電路150、及下電極130。在一個實施例中,第四過渡速率發生於一時間期間,該時間小於DAS 116所產生的RF訊號的RF脈衝的寬度的發生時間。
在一個實施例中,過渡頻率值FT_S1-S0和過渡功率值PT_S1-S0二者係同時或實質上同時由DSP 118加以提供至功率控制器140和AFT 120。在此實施例中,DSP 118提供過渡功率值PT_S1-S0至功率控制器140,且提供頻率值FT_S1-S0至AFT 120。實質上同時的例子,係在提供過渡功率值PT_S1-S0或過渡頻率值FT_S1-S0的一預定時間段之內,例如數微秒之內。
雖然上述實施例係就自狀態S1過渡至狀態S0而加以描述,在一個實施例中,該等實施例係加以修正以適用於自狀態S0過渡至狀態S1。舉例來說,在TTL訊號106自狀態S0過渡至狀態S1期間,可使用相 較於該過渡頻率值FT_S1-S0相同或不同組的過渡頻率值,例如FT_S0-S1。在此範例中,過渡頻率值FT_S0-S1係由DSP 118提供至AFT 122而非至AFT 120。作為另一範例,在由狀態S0過渡至狀態S1期間,可使用與過渡功率值PT_S1-S0相較相同或不同組的過渡功率值,例如PT_S0-S1。在此範例中,過渡功率值PT_S0-S1係由DSP 118提供至功率控制器142而非功率控制器140。並且,作為另一範例,在由狀態S0過渡至狀態S1期間,該相同或不同組的過渡頻率值以及該相同或不同組的過渡功率值係同時或實質上同時加以提供。舉例來說,與由DSP 118提供過渡功率值PT_S1-S0至功率控制器142同時,過渡頻率值FT_S0-S1由DSP 118提供至AFT 122。
在一個實施例中,由DSP 118所執行的功能,可藉由AFT或功率控制器加以執行。舉例來說,替代DSP 118基於狀態過渡係由狀態S1至狀態S0或由狀態S0至狀態S1而判定施加哪個過渡頻率值,AFT 120或AFT 122基於狀態過渡係由狀態S1至狀態S0或由狀態S0至狀態S1而判定施加哪個過渡頻率值。作為另一範例,替代DSP 118提供過渡功率值至功率控制器,功率控制器自DSP 118接收一狀態係在正在過渡之判定。在接收到該判定時,該功率控制器即從該功率控制器的記憶體裝置檢索過渡功率值,且提供該過渡功率值至DAS 114。作為又另一範例,替代DSP 118提供過渡頻率值至AFT,AFT從DSP 118接收一狀態係在正在過渡之判定。在接收到該判定時,該AFT即從該AFT的記憶體裝置檢索過渡頻率值,且提供該過渡頻率值到DAS 114。
在一個實施例中,一產生器的AFT及/或功率控制器係包含於該產生器的DSP之內。舉例來說,AFT 120及122和功率控制器140及142係包含於DSP 118之內。
在一個實施例中,使用單一控制器而非功率控制器140及AFT 120,使用單一控制器而非功率控制器142及AFT 122,使用單一控制器而非功率控制器136及AFT 108,且/或使用單一控制器而非功率控制器138及AFT 110。
在其中除了DAS 114和116之外使用一額外的DAS的實施例之中,一z MHz產生器係類似於y產生器112,除了該z MHz產生器包含z MHz DAS而非DAS 114。z MHz產生器係經由一阻抗匹配電路(未顯 示)及一傳輸線(未顯示)連接至電漿腔室124的下電極130。此外,z MHz DAS係與工具UI 191連接以接收TTL訊號106。z MHz產生器包含二個功率控制器、二個AFT、一個DSP、一個ADC、一個感測器、及該z MHz DAS。z MHz的例子係27MHz。z MHz的另一例子係30MHz。
在一個實施例中,一個以上過渡頻率FT_S1-S0、一個以上過渡頻率FT_S0-S1、一個以上過渡功率值PT_S1-S0、一個以上過渡功率值PT_S0-S1、或其組合,係參數值的例子。
在一個實施例中,DAS 116係主驅動放大器的例子,DSP 104係主DSP的例子,AFT 108係第一主AFT的例子,且AFT 110係第二主AFT的例子。此外,在一個實施例中,DSP 118係副DSP的例子,AFT 120係第一副AFT的例子,且AFT 122係第二副AFT的例子。
並且,在一個實施例中,頻率值F11係第一主頻率輸入的例子,頻率值F10係第二主頻率輸入的例子,功率值P11係第一主功率輸入的例子,且功率值P10係第二主功率輸入的例子。此外,在一個實施例中,頻率值F10係第一主頻率輸入的例子,頻率值F11係第二主頻率輸入的例子,功率值P10係第一主功率輸入的例子,且功率值P11係第二主功率輸入的例子。
此外,在一個實施例中,頻率值F21係第一副頻率輸入的例子,頻率值F20係第二副頻率輸入的例子,功率值P21係第一副功率輸入的例子,且功率值P20係第二副功率輸入的例子。此外,在一個實施例中,頻率值F20係第一副頻率輸入的例子,頻率值F21係第二副頻率輸入的例子,功率值P20係第一副功率輸入的例子,且功率值P21係第二副功率輸入的例子。
在一個實施例中,一個以上主控制器係在x MHz產生器102之內的控制器,且一個以上副控制器係在y MHz產生器112之內的控制器。在一個實施例中,主RF訊號係x MHz產生器102的DAS 116所產生的RF訊號,以經由傳輸線152傳送至電漿腔室124,且副RF訊號係由y MHz產生器112的DAS 114所產生的訊號,以經由傳輸線154傳送至電漿腔室124。
在一個實施例中,取代控制器或調諧器,使用由處理器所執行的控制邏輯塊,例如電腦程式。舉例來說,產生器的AFT每一者係由該 產生器的處理器所執行的一邏輯塊。作為另一範例,產生器的功率控制器每一者係由該產生器的處理器所執行的一邏輯塊。一電腦程式係實體化於非暫時性電腦可讀媒體之中,其範例係在以下提供。
圖3顯示實施例圖表201及203,描述一時間段,在該時間段期間可執行邊緣斜坡修整。圖表201描繪訊號的電壓相對於時間的圖形。曲線202顯示相對於時間在腔室124之內電漿電壓的變化。曲線204顯示相對於時間60MHz RF訊號電壓的變化,且曲線208顯示相對於時間2MHz RF訊號電壓的變化。在圖表部分206發生期間,此時2MHz RF訊號由高功率值過渡至低功率值,可執行邊緣斜坡修整。該高功率值係高於該低功率值。
圖表203係圖表部分206的放大。在圖表203中,曲線209顯示相對於時間腔室124之內電漿電壓的變化。曲線212顯示相對於時間60MHz RF訊號電壓的變化,且曲線210顯示相對於時間2MHz RF訊號電壓的變化。60MHz訊號的邊緣斜坡修整可執行於圖表203的圖表部分214之內所描繪的時間段期間。當執行邊緣斜坡修整時,最終,2MHz達到低功率值,而60MHz訊號仍在其高功率值和其低功率值之間過渡。
圖4顯示實施例圖表220和222,以描述60MHz訊號的邊緣斜坡修整。圖表220描繪訊號電壓相對於時間的圖形,而圖表222描繪RF訊號的快速傅立葉轉換(FFT)量值相對於FFT頻率的圖形。在圖表220的圖表部分224之中,2MHz和60MHz訊號皆為開啟狀態。在圖表220的圖表部分226之中,2MHz訊號係被關閉,且60MHz訊號係過渡中。使用邊緣斜坡修整,以使60MHz訊號花費與2MHz訊號相較較多的時間自狀態S1過渡至狀態S0。
曲線228顯示2MHz訊號的FFT量值變化,且曲線230顯示60MHz訊號的FFT量值變化。在過渡期間,如2MHz訊號的峰308和60MHz訊號的峰306之間的差所描述,將60MHz訊號的FFT量值增加以執行邊緣斜坡修整。
圖5顯示圖表290和292,以顯示當未應用邊緣斜坡修整之時電漿用以穩定的時間量和當應用邊緣斜坡修整之時電漿用以穩定的時間量之間的差異。圖表290和292每一者描繪由示波器所測得的電壓相對於時間的圖形。曲線296顯示電漿電壓,曲線298顯示2MHz訊號的電壓,且 曲線302顯示60MHz訊號的電壓。此外,曲線304顯示電漿電壓,曲線306顯示2MHz訊號的電壓,且曲線308顯示60MHz訊號的電壓。如圖表部分294和303所顯示,與當應用邊緣斜坡修整時電漿用以穩定的時間相較,當未應用邊緣斜坡修整時電漿穩定耗用較多的時間。邊緣斜坡修整係應用於圖表292之中而未應用於圖表290之中。
圖6顯示圖表254和256,其描述當未執行邊緣斜坡修整時的前向功率與當執行邊緣斜坡修整時的前向功率之間的差異。圖表254和256每一者描繪60MHz的前向功率相對於時間的圖形。如圖表254的圖表部分258所顯示,其具有與圖表256的圖表部分260所顯示相較較高的振鈴量。圖表254係在沒有執行邊緣斜坡修整的情況下產生,而圖表256係在執行邊緣斜坡修整的情況下產生。
圖7顯示圖表250,其顯示邊緣斜坡修整對光發射光譜儀(OES,optical emission spectroscope)所感測的訊號的影響。圖表250描繪任意單位(例如電漿功率、電漿電壓等等)相對於時間的圖形。如所顯示,在沒有邊緣斜坡修整的情況下,該任意單位由數值V1突出至數值V2,而在有邊緣斜坡修整的情況下,該任意單位由數值V1突出至數值V3,其中數值V3小於數值V2。因此,與未執行邊緣斜坡修整時相較,邊緣斜坡修整在電漿中造成較小的擾動。
圖8顯示圖表272,其描述當未執行邊緣斜坡修整時的前向功率與當執行邊緣斜坡修整時的前向功率之間的差異,且圖8顯示另一圖表274,其描述當執行邊緣斜坡修整時的電漿阻抗與當未執行邊緣斜坡修整時的電漿阻抗之間的差異。圖表272描繪60MHz訊號的前向功率相對於時間的圖形。圖表274描繪任意單位(例如電漿功率、電漿電壓等等)相對於時間的圖形。當未執行邊緣斜坡修整時,60MHz訊號突出位準L1之下而至位準L2,且當執行邊緣斜坡修整之時,60MHz訊號突出至位準L1。此外,當未執行邊緣斜坡修整之時,任意單位由位準L3突出至位準L5,且當執行邊緣斜坡修整之時,任意單位由位準L3突出至位準L4,其中位準L4低於位準L5且在電漿中造成較少的擾動。
圖9顯示圖表252,其描繪以焦耳為單位的電漿能量相對於時間的圖形,以描述當執行邊緣斜坡修整之時能量的標準差與當未執行邊 緣斜坡修整之時能量的標準差之間的差異。如所顯示,當執行邊緣斜坡修整之時能量的標準差SD1,係小於當電漿未穩定時且當未執行邊緣斜坡修整之時之能量的標準差SD2,且小於當電漿穩定時且當未執行邊緣斜坡修整之時能量的標準差SD3。
圖10顯示圖表381及383,描述邊緣斜坡修整實施例。圖表381描繪以瓦特為單位的前向功率相對於以秒為單位的時間之圖形。圖表383描繪TTL訊號106的狀態相對於以秒為單位的時間之圖形。如圖10所顯示,當TTL訊號106自狀態S1過渡至狀態S0之時,A MHz訊號(例如2MHz訊號、27MHz訊號等等)由高功率輸入P1過渡至低功率輸入P2。如所顯示,B MHz訊號(例如27MHz訊號、60MHz訊號等等),沒有以與A MHz訊號自高功率輸入P1過渡至低功率輸入P2的速率相同的速率過渡。B MHz訊號,以與A MHz訊號自高功率輸入P1過渡至低功率輸入P2的速率相較較慢的速率過渡。舉例來說,B MHz訊號在A MHz訊號自高功率輸入P1過渡至低功率輸入P2的期間具有非無限大的斜率。作為另一範例,B MHz訊號在A MHz訊號自高功率輸入P1過渡至低功率輸入P2期間具有負斜率。在一個實施例中,A MHz訊號在自功率輸入P1過渡至功率輸入P2期間具有無限大的斜率。在A MHz訊號自高功率輸入P1過渡至低功率輸入P2期間,B MHz訊號斜率的例子,包含斜率1、曲線斜率、變化中的斜率等等。B MHz訊號自高功率輸入P3過渡至低功率輸入P2。在B MHz訊號過渡期間,B MHz訊號具有邊緣斜坡ER1。邊緣斜坡ER1係藉由在B MHz訊號自高功率輸入P3過渡至低功率輸入P2期間控制B MHz訊號的功率及/或頻率而加以產生。
類似地,當TTL訊號106自狀態S0過渡至狀態S1之時,A MHz訊號自低功率輸入P2過渡至高功率輸入P1。如所顯示,B MHz訊號沒有以與A MHz訊號自低功率輸入P2過渡至高功率輸入P1的速率相同的速率過渡。B MHz訊號,以與A MHz訊號自低功率輸入P2過渡至高功率輸入P1的速率相較較慢的速率過渡。舉例來說,B MHz訊號在A MHz訊號自低功率輸入P2過渡至高功率輸入P1的期間具有非無限大的斜率。作為另一範例,B MHz訊號在A MHz訊號自低功率輸入P2過渡至高功率輸入P1期間具有正斜率。在一個實施例中,A MHz訊號在自功率輸入P2過 渡至功率輸入P1期間具有無限大的斜率。在A MHz訊號自低功率輸入P2過渡至高功率輸入P1期間,B MHz訊號斜率的例子,包含斜率1、曲線斜率、變化中的斜率等等。B MHz訊號自低功率輸入P2過渡至高功率輸入P3。在B MHz訊號過渡期間,B MHz訊號具有邊緣斜坡ER2。邊緣斜坡ER2係藉由在B MHz訊號自低功率輸入P2過渡至高功率輸入P3期間控制B MHz訊號的功率及/或頻率而加以產生。
在一個實施例中,B MHz訊號由功率輸入P3過渡至低功率輸入,該低功率輸入係不同於低功率輸入P2。在一個實施例中,當A MHz訊號由高功率輸入P1過渡至低功率輸入P2之時,B MHz訊號由功率輸入P3過渡至高於功率輸入P3的一高功率輸入,且當A MHz訊號由低功率輸入P2過渡至高功率輸入P1之時,B MHz訊號由高功率輸入過渡至功率輸入P3。
應注意的是,當A MHz訊號在功率輸入P1之時,A MHz訊號係在一個狀態,且當A MHz訊號係在功率輸入P2之時,A MHz訊號係在另一狀態。類似地,當B MHz訊號在功率輸入P3之時,B MHz訊號係在一個狀態,且當B MHz訊號係在功率輸入P2之時,B MHz訊號係在另一狀態。
圖11係用於將一RF訊號邊緣斜坡修整之方法350的實施例流程圖。方法350係藉由DSP 160(圖1)或DSP 118(圖2)加以執行。在一個實施例中,方法350係藉由AFT或功率控制器或其組合加以執行。舉例來說,方法350係藉由控制器168、170、AFT 120、AFT 122、功率控制器140、功率控制器142、或其組合(圖1及2)加以執行。
在操作352之中,判定一狀態過渡是否開始發生。舉例來說,判定TTL訊號106是否由狀態S1過渡至狀態S0,或由狀態S0過渡至狀態S1。回應判定狀態過渡尚未開始發生的情況,方法350終止。
另一方面,回應判定狀態過渡開始發生的情況,在操作354之中,將用以達到狀態過渡而施加至RF DAS的頻率輸入決定。舉例來說,在判定由TTL訊號106的狀態S1至狀態S0的狀態過渡開始發生之時,由記憶體裝置取得一個以上頻率值FT_S1-S0。作為另一範例,在判定由狀態S0至狀態S1的狀態過渡開始發生之時,由記憶體裝置取得一個以上頻率值 FT_S0-S1。
在操作356之中,判定施加該頻率輸入的時間段。舉例來說,該時間段係大於x MHz產生器的DAS 116所產生的RF訊號由高功率值過渡至低功率值的時間段,且小於TTL訊號106的一工作週期,例如50%等等。在此範例中,若TTL訊號106的工作週期係70%,期間施加頻率輸入之該時間段,係大於DAS 116所產生的RF訊號由高功率值過渡至低功率值的時間段,且小於其餘工作週期30%。作為另一範例,期間施加頻率輸入之該時間段,係大於DAS 116所產生的RF訊號由高功率值過渡至低功率值的時間段,且小於在狀態S0期間電漿腔室124之內電漿的電漿阻抗用以穩定的時間量。作為又另一範例,期間施加頻率輸入之該時間段,係大於DAS 116所產生的RF訊號由高功率值過渡至低功率值的時間段,且小於阻抗匹配電路150用以將x MHz產生器102的一個或所有部分(例如DAS 114、AFT 108、AFT 110、功率控制器136、功率控制器138等等)的阻抗與電漿腔室124的一個以上部分的阻抗匹配的時間量。
此外,在操作358之中,將用以達到狀態過渡而施加至RF DAS的功率輸入決定。舉例來說,在判定由TTL訊號106的狀態S1至狀態S0的狀態過渡開始發生之時,由記憶體裝置取得一個以上功率值PT_S1-S0。作為另一範例,在判定由狀態S0至狀態S1的狀態過渡開始發生之時,由記憶體裝置取得一個以上功率值PT_S0-S1。
在操作360之中,判定施加該功率輸入的時間段。舉例來說,該時間段係大於DAS 116所產生的RF訊號由高功率值過渡至低功率值的時間段,且小於TTL訊號106的一工作週期,例如50%等等。在此範例中,若TTL訊號106的工作週期係60%,期間施加功率輸入之時間段,係大於DAS 116所產生的RF訊號由高功率值過渡至低功率值的時間段,且小於其餘工作週期40%。作為另一範例,期間施加功率輸入之該時間段,係大於DAS 116所產生的RF訊號由高功率值過渡至低功率值的時間段,且小於在狀態S0期間電漿腔室124之內電漿的電漿阻抗用以穩定的時間量。作為又另一範例,期間施加功率輸入之該時間段,係大於DAS 116所產生的RF訊號由高功率值過渡至低功率值的時間段,且小於阻抗匹配電路150用以將y MHz產生器112的一個以上部分(例如DAS 114、AFT 120、AFT 122、 功率控制器140、功率控制器142等等)的阻抗與電漿腔室124的一個以上部分的阻抗匹配的時間量。
在一個實施例中,在一時間段期間將頻率輸入和功率輸入二者同時地或實質上同時地施加。
應注意的是,雖然上述實施例係相關於將2MHz RF訊號及/或60MHz訊號及/或27MHz訊號提供至下電極130且將上電極126接地,在若干實施例中,將2MHz、60MHz、及27MHz訊號提供至上電極126且將下電極130接地。
吾人亦注意到,在一個實施例中,例如頻率輸入、功率輸入等等的一輸入或例如功率位準、頻率位準的一位準,包含一個以上數值,其係在另一數值的閾值之內。舉例來說,一功率位準包含功率值P21及在功率值P21的閾值之內的其他功率值。在此範例中,該功率位準不包含另一狀態的任何功率值,例如狀態0的功率值P20。作為另一範例,一頻率輸入包含頻率值F11及在頻率值F11的閾值之內的其他頻率值。在此範例中,該頻率輸入不包含另一狀態的任何頻率值,例如狀態S0的頻率值F10。
吾人注意到,雖然上述實施例係參照平行板電漿腔室加以描述,在一個實施例中,上述實施例適用於其他型態的電漿腔室,例如包含電感耦合電漿(ICP)反應器的電漿腔室、包含電子迴旋共振(ECR)反應器的電漿腔室等等。舉例來說,2MHz及60MHz電源供應器係耦合至ICP電漿腔室之內的電感器。
此外,在一個實施例中,術語DAS和驅動放大器係於此處可互換使用。
此處所述實施例可利用各種電腦系統配置加以實施,上述電腦系統配置包含手持裝置、微處理器系統、基於微處理器或可程式化的消費性電子裝置、迷你電腦、主機電腦等等。該等實施例亦可實施於分散式計算環境,其中藉由透過網路連結的遠端處理裝置執行工作。
基於上述實施例,應理解的是該等實施例可利用各種電腦實施操作,其涉及儲存於電腦系統中的的資料。這些操作係需要物理量的物理性處理者。形成部分之實施例的此處所述的任何操作係有用的機械操作。該等實施例亦關於執行這些操作的裝置或設備。該設備可特別建構用 於特殊用途電腦。當定義為特殊用途電腦,該電腦亦可執行非該特殊用途的其他處理、程式執行或常式,而仍能夠用於該特殊用途操作。或者是,可藉由利用儲存於電腦記憶體、快取記憶體、或由網路取得的一個以上電腦程式選擇性啟動或設定的通用電腦,執行該等操作。當資料係在網路上取得,該資料可藉由網路上的其他電腦(例如雲端計算資源)加以處理。
一個以上實施例亦可製作為電腦可讀媒體上的電腦可讀碼。該電腦可讀媒體係可儲存資料的任何資料儲存裝置,該資料之後可由電腦系統讀出。電腦可讀媒體的範例包含硬碟、網路附接儲存器(NAS)、ROM、RAM、光碟唯讀記憶體(CD-ROM)、可錄CD(CD-R)、可重寫CD(CD-RW)、磁帶、及其他光學和非光學資料儲存裝置。電腦可讀媒體可包含分布於網路連接電腦系統上的電腦可讀有形媒體,使得電腦可讀碼被分散式地儲存和執行。
雖然該方法操作以特定的順序描述,應理解的是其他內務處理操作可在該等操作之間加以執行,或者可調整操作,使得該等操作在些許不同的時間發生,或者可分布於一系統之中,該系統允許在各種與處理相關聯的時間間隔處理操作的發生,只要重疊操作的處理係以所欲的方式執行。
來自任何實施例的一個以上特徵,可與任何其他實施例的一個以上特徵結合,而不偏離本揭露內容之各種實施例所述之範疇。
雖然前述實施例以理解清楚為目的而相當程度詳細地加以描述,顯而易見的是,在隨附申請專利範圍的範疇之內可實施某些變化和修改。因此,本實施例係視為例示性而非限制性,且該等實施例係不限定於此處所提供的細節,而是可在隨附申請專利範圍的範疇和均等物之內加以修改。
102‧‧‧產生器
104‧‧‧DSP
106‧‧‧TTL訊號
108‧‧‧AFT
110‧‧‧AFT
112‧‧‧產生器
114‧‧‧DAS
116‧‧‧DAS
118‧‧‧DSP
120‧‧‧AFT
122‧‧‧AFT
124‧‧‧電漿腔室
126‧‧‧上電極
128‧‧‧基板
130‧‧‧下電極
132‧‧‧上表面
136‧‧‧功率控制器
138‧‧‧功率控制器
140‧‧‧功率控制器
142‧‧‧功率控制器
144‧‧‧感測器
146‧‧‧感測器
148‧‧‧阻抗匹配電路
150‧‧‧阻抗匹配電路
152‧‧‧傳輸線
154‧‧‧傳輸線
190‧‧‧系統
191‧‧‧工具UI
198‧‧‧電腦

Claims (23)

  1. 一種用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,包含:一基本RF產生器,用於產生一第一RF訊號,其中該第一RF訊號由一狀態過渡至另一狀態,該第一RF訊號自一狀態至另一狀態的過渡造成電漿阻抗的變動;一副RF產生器,用於產生一第二RF訊號,其中該第二RF訊號由一狀態過渡至另一狀態,以將該電漿阻抗的變動穩定;一控制器,連接至該副RF產生器,該控制器用於提供參數值至該副RF產生器,以在該第二RF訊號由一狀態過渡至另一狀態之時執行該第二RF訊號的邊緣斜坡修整(edge ramping),其中該第二RF訊號的邊緣斜坡修整係加以執行俾以將該第二RF訊號的過渡速率修改為不同於該第一RF訊號的過渡速率。
  2. 如申請專利範圍第1項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中該邊緣斜坡修整具有正斜率或負斜率。
  3. 如申請專利範圍第1項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中該等參數值包含頻率值、功率值、或其組合。
  4. 一種用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,該系統包含:一主產生器,包含:一主驅動放大器,用於產生一主RF訊號;一主數位訊號處理器(DSP),用於辨識一數位脈衝訊號的狀態,該等狀態包含一第一狀態和一第二狀態,該主DSP用於在該數位脈衝訊號在該第一狀態時確定一第一主頻率輸入,且用於在該數 位脈衝訊號在該第二狀態時確定一第二主頻率輸入;一第一主自動頻率調諧器(AFT),連接至該主DSP和該主驅動放大器,該第一主AFT用於自該主DSP接收該第一主頻率輸入,且調整該主RF訊號以達到對應該第一主頻率輸入的電漿阻抗;一第二主AFT,連接至該主DSP和該主驅動放大器,該第二主AFT用於自該主DSP接收該第二主頻率輸入,且調整該主RF訊號以達成對應該第二主頻率輸入的電漿阻抗,該主RF訊號具有自該第一主頻率輸入過渡至該第二主頻率輸入的主過渡速率;一副產生器,包含:一副驅動放大器,用於產生一副RF訊號;一副數位訊號處理器(DSP),用於辨識該數位脈衝訊號的狀態,該副DSP用於在該數位脈衝訊號在該第一狀態時確定一第一副頻率輸入,且用於在該數位脈衝訊號在該第二狀態時確定一第二副頻率輸入;一第一副AFT,連接至該副DSP和該副驅動放大器,該第一副ARF用於自該副DSP接收該第一副頻率輸入,且調整該副RF訊號以達到對應該第一副頻率輸入的電漿阻抗;一第二副AFT,連接至該副DSP和該副驅動放大器,該第二副AFT用於自該副DSP接收該第二副頻率輸入,且調整該副RF訊號以達成對應該第二副頻率輸入的電漿阻抗,該副DSP建構成判定自該第一副頻率輸入過渡至該第二副頻率輸入的一副過渡速率,其中該副過渡速率係不同於該主過渡速率。
  5. 如申請專利範圍第4項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中該副過渡速率係小於該主過渡速率。
  6. 如申請專利範圍第4項的用於降低射頻(RF)訊號功率位準變動 對電漿阻抗的影響之系統,其中自該第一副頻率輸入過渡至該第二副頻率輸入所花費的一第一時間量,係大於自該第一主頻率輸入過渡至該第二主頻率輸入所花費的一第二時間量。
  7. 如申請專利範圍第6項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中該第一時間量係小於電漿阻抗用以穩定的一第三時間量。
  8. 如申請專利範圍第6項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中該第一時間量係小於阻抗匹配電路用以將該副產生器的一個以上部分的阻抗與一電漿腔室的一個以上部分的阻抗加以匹配的一第三時間量。
  9. 如申請專利範圍第4項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中該第一狀態係一高狀態且該第二狀態係一低狀態。
  10. 如申請專利範圍第4項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中該第一狀態係一開啟狀態且該第二狀態係一關閉狀態。
  11. 一種用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,該系統包含:一主產生器,包含:一主驅動放大器,用於產生一主RF訊號;一個以上主控制器,連接至該主驅動放大器,該一個以上主控制器建構成:辨識一數位脈衝訊號的狀態,該等狀態包含一第一狀態和一第二狀態; 調整該主RF訊號,以在該數位脈衝訊號在該第一狀態之時達到對應一第一主功率輸入的電漿阻抗;及調整該主RF訊號,以在該數位脈衝訊號在該第二狀態之時達到對應一第二主功率輸入的電漿阻抗,該主RF訊號具有自該第一主功率輸入過渡至該第二主功率輸入的主過渡速率;一副產生器,包含:一副驅動放大器,用於產生一副RF訊號;一個以上副控制器,連接至該副驅動放大器,該一個以上副控制器建構成:調整該副RF訊號,以在該數位脈衝訊號在該第一狀態之時達到對應一第一副功率輸入的電漿阻抗;調整該副RF訊號,以在該數位脈衝訊號在該第二狀態之時達到對應一第二副功率輸入的電漿阻抗;及判定自該第一副功率輸入過渡至該第二副功率輸入的一副過渡速率,其中該副過渡速率係不同於該主過渡速率。
  12. 如申請專利範圍第11項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中該副過渡速率係小於該主過渡速率。
  13. 如申請專利範圍第11項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中自該第一副功率輸入過渡至該第二副功率輸入所花費的一第一時間量,係大於自該第一主功率輸入過渡至該第二主功率輸入所花費的一第二時間量。
  14. 如申請專利範圍第13項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中該第一時間量係小於在該第二狀態電漿阻抗用以穩定的一第三時間量。
  15. 如申請專利範圍第13項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中該第一時間量係小於阻抗匹配電路用以將該副產生器的一個以上部分的阻抗與一電漿腔室的一個以上部分的阻抗加以匹配的一第三時間量。
  16. 如申請專利範圍第11項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中該第一狀態係一高狀態且該第二狀態係一低狀態。
  17. 如申請專利範圍第11項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之系統,其中該第一狀態係一開啟狀態且該第二狀態係一關閉狀態。
  18. 一種用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之方法,該方法包含下列步驟:辨識一數位脈衝訊號的狀態,該等狀態包含一第一狀態和一第二狀態;在該數位脈衝訊號在該第一狀態時確定一第一主頻率輸入;在該數位脈衝訊號在該第二狀態時確定一第二主頻率輸入;調整一主RF訊號,以在該數位脈衝訊號在該第一狀態之時達到對應該第一主頻率輸入的電漿阻抗;調整該主RF訊號,以在該數位脈衝訊號在該第二狀態之時達到對應該第二主頻率輸入的電漿阻抗,該主RF訊號具有自該第一主頻率輸入過渡至該第二主頻率輸入的主過渡速率;在該數位脈衝訊號在該第一狀態時確定一第一副頻率輸入;在該數位脈衝訊號在該第二狀態時確定一第二副頻率輸入;調整一副RF訊號,以在該數位脈衝訊號在該第一狀態之時達到 對應該第一副頻率輸入的電漿阻抗;調整該副RF訊號,以在該數位脈衝訊號在該第二狀態之時達到對應該第二副頻率輸入的電漿阻抗,判定自該第一副頻率輸入過渡至該第二副頻率輸入的一副過渡速率,其中該副過渡速率係不同於該主過渡速率。
  19. 如申請專利範圍第18項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之方法,其中該副過渡速率係小於該主過渡速率。
  20. 如申請專利範圍第18項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之方法,其中自該第一副頻率輸入過渡至該第二副頻率輸入所花費的一第一時間量,係大於自該第一主頻率輸入過渡至該第二主頻率輸入所花費的一第二時間量。
  21. 如申請專利範圍第20項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之方法,其中該第一時間量係小於在該第二狀態電漿阻抗用以穩定的一第三時間量。
  22. 如申請專利範圍第20項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之方法,其中該第一時間量係小於一第三時間量,該第三時間量係用於將產生該副RF訊號的一產生器的一個以上部分的阻抗與一電漿腔室的一個以上部分的阻抗加以匹配的一第三時間量。
  23. 如申請專利範圍第20項的用於降低射頻(RF)訊號功率位準變動對電漿阻抗的影響之方法,其中該第一狀態係一高狀態且該第二狀態係一低狀態。
TW102133224A 2012-09-14 2013-09-13 用於降低射頻訊號功率位準變動對電漿阻抗的影響之系統和方法 TWI613697B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261701547P 2012-09-14 2012-09-14
US61/701,547 2012-09-14
US13/659,102 2012-10-24
US13/659,102 US9408288B2 (en) 2012-09-14 2012-10-24 Edge ramping

Publications (2)

Publication Number Publication Date
TW201428810A TW201428810A (zh) 2014-07-16
TWI613697B true TWI613697B (zh) 2018-02-01

Family

ID=50273327

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106144316A TWI687965B (zh) 2012-09-14 2013-09-13 用於執行邊緣斜坡修整及降低射頻訊號功率位準變動對電漿阻抗的影響之方法
TW102133224A TWI613697B (zh) 2012-09-14 2013-09-13 用於降低射頻訊號功率位準變動對電漿阻抗的影響之系統和方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106144316A TWI687965B (zh) 2012-09-14 2013-09-13 用於執行邊緣斜坡修整及降低射頻訊號功率位準變動對電漿阻抗的影響之方法

Country Status (6)

Country Link
US (3) US9408288B2 (zh)
JP (1) JP6526377B2 (zh)
KR (1) KR102165744B1 (zh)
CN (2) CN106937476B (zh)
SG (1) SG2013069448A (zh)
TW (2) TWI687965B (zh)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9613784B2 (en) 2008-07-17 2017-04-04 Mks Instruments, Inc. Sputtering system and method including an arc detection
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10325759B2 (en) * 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
CN103730316B (zh) * 2012-10-16 2016-04-06 中微半导体设备(上海)有限公司 一种等离子处理方法及等离子处理装置
US9401264B2 (en) 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US10821542B2 (en) 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9748864B2 (en) 2014-06-30 2017-08-29 Mks Instruments, Inc. Power supply circuits incorporating transformers for combining of power amplifier outputs and isolation of load voltage clamping circuits
CN105424789A (zh) * 2014-09-05 2016-03-23 北京理工大学 分析离子结构的方法
EP3029711B1 (en) * 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
US10049857B2 (en) * 2014-12-04 2018-08-14 Mks Instruments, Inc. Adaptive periodic waveform controller
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9721758B2 (en) 2015-07-13 2017-08-01 Mks Instruments, Inc. Unified RF power delivery single input, multiple output control for continuous and pulse mode operation
US9876476B2 (en) 2015-08-18 2018-01-23 Mks Instruments, Inc. Supervisory control of radio frequency (RF) impedance tuning operation
US10395895B2 (en) 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9966231B2 (en) * 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US10026592B2 (en) * 2016-07-01 2018-07-17 Lam Research Corporation Systems and methods for tailoring ion energy distribution function by odd harmonic mixing
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
KR102452835B1 (ko) * 2016-12-05 2022-10-07 램 리써치 코포레이션 다중 제어 모드
US10546724B2 (en) 2017-05-10 2020-01-28 Mks Instruments, Inc. Pulsed, bidirectional radio frequency source/load
US10396601B2 (en) 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
CN111602471A (zh) * 2018-01-23 2020-08-28 株式会社富士 等离子体发生装置和信息处理方法
US11209478B2 (en) 2018-04-03 2021-12-28 Applied Materials, Inc. Pulse system verification
KR102421082B1 (ko) * 2018-04-04 2022-07-14 어플라이드 머티어리얼스, 인코포레이티드 바이어스 동작에 대한 rf 맞춤조정된 전압
TWI697261B (zh) * 2018-05-22 2020-06-21 呈睿國際股份有限公司 感應耦合電漿蝕刻系統及其切換式匹配裝置
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040226657A1 (en) * 2003-05-16 2004-11-18 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
TWI276372B (en) * 2001-03-30 2007-03-11 Lam Res Corp Inductive plasma processor having coil with plural windings and method of controlling plasma density
US20070247074A1 (en) * 2006-04-24 2007-10-25 Alexander Paterson Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20090284156A1 (en) * 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US20090295296A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power rf generator
TW201112887A (en) * 2009-03-05 2011-04-01 Applied Materials Inc Inductively coupled plasma reactor having RF phase control and methods of use thereof

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
WO1999063585A1 (fr) 1998-06-02 1999-12-09 Nikon Corporation Organe d'alignement de balayage, son procede de fabrication et procede de fabrication de dispositif
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2000269198A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP4270872B2 (ja) 2001-03-16 2009-06-03 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
CN1305353C (zh) 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
TWI259546B (en) 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US7750575B2 (en) * 2004-04-07 2010-07-06 Zond, Inc. High density plasma source
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
WO2011016266A1 (ja) * 2009-08-07 2011-02-10 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
CN102420579A (zh) * 2011-11-16 2012-04-18 中微半导体设备(上海)有限公司 一种自动实现射频功率匹配的方法和系统
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9030101B2 (en) * 2012-02-22 2015-05-12 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency RF pulsing
US9197196B2 (en) * 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US8952765B2 (en) * 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI276372B (en) * 2001-03-30 2007-03-11 Lam Res Corp Inductive plasma processor having coil with plural windings and method of controlling plasma density
US20040226657A1 (en) * 2003-05-16 2004-11-18 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US20070247074A1 (en) * 2006-04-24 2007-10-25 Alexander Paterson Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20090284156A1 (en) * 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US20090295296A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power rf generator
TW201112887A (en) * 2009-03-05 2011-04-01 Applied Materials Inc Inductively coupled plasma reactor having RF phase control and methods of use thereof

Also Published As

Publication number Publication date
JP2014089945A (ja) 2014-05-15
US20170263419A1 (en) 2017-09-14
US20140076713A1 (en) 2014-03-20
US9408288B2 (en) 2016-08-02
CN103681194B (zh) 2017-01-04
JP6526377B2 (ja) 2019-06-05
US9673026B2 (en) 2017-06-06
KR20140035858A (ko) 2014-03-24
KR102165744B1 (ko) 2020-10-15
CN106937476B (zh) 2019-06-11
US20160322202A1 (en) 2016-11-03
CN106937476A (zh) 2017-07-07
US9947513B2 (en) 2018-04-17
TW201810356A (zh) 2018-03-16
SG2013069448A (en) 2014-04-28
CN103681194A (zh) 2014-03-26
TW201428810A (zh) 2014-07-16
TWI687965B (zh) 2020-03-11

Similar Documents

Publication Publication Date Title
TWI613697B (zh) 用於降低射頻訊號功率位準變動對電漿阻抗的影響之系統和方法
KR102130921B1 (ko) 전력 및 주파수의 상태 기반 조절
JP2014089945A5 (zh)
US11361942B2 (en) Adjustment of power and frequency based on three or more states
US9607810B2 (en) Impedance-based adjustment of power and frequency
TWI599272B (zh) 根據三個或更多狀態之功率及頻率調整
US9320127B2 (en) Tuning a parameter associated with plasma impedance