KR20140035858A - 에지 램핑 - Google Patents

에지 램핑 Download PDF

Info

Publication number
KR20140035858A
KR20140035858A KR1020130110995A KR20130110995A KR20140035858A KR 20140035858 A KR20140035858 A KR 20140035858A KR 1020130110995 A KR1020130110995 A KR 1020130110995A KR 20130110995 A KR20130110995 A KR 20130110995A KR 20140035858 A KR20140035858 A KR 20140035858A
Authority
KR
South Korea
Prior art keywords
signal
auxiliary
state
main
transition
Prior art date
Application number
KR1020130110995A
Other languages
English (en)
Other versions
KR102165744B1 (ko
Inventor
주니어 존 씨. 발코어
브래드포드 제이. 린다커
앤드류 에스. 퐁
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140035858A publication Critical patent/KR20140035858A/ko
Application granted granted Critical
Publication of KR102165744B1 publication Critical patent/KR102165744B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)

Abstract

에지 램핑을 수행하기 위한 시스템들 및 방법들이 설명된다. 시스템은 제 1 RF 신호를 발생시키기 위한 기본 RF 발생기를 포함한다. 제 1 RF 신호는 일 상태로부터 다른 상태로 천이한다. 상기 제 1 RF 신호의 일 상태로부터 다른 상태로의 천이는 플라즈마 임피던스에서의 변화를 초래한다. 시스템은 제 2 RF 신호를 발생시키기 위한 보조 RF 발생기를 더 포함한다. 제 2 RF 신호는 플라즈마 임피던스에서의 변화를 안정화시키기 위해 일 상태로부터 다른 상태로 천이한다. 시스템은 보조 RF 발생기에 커플링된 제어기를 포함한다. 제어기는, 제 2 RF 신호가 일 상태로부터 다른 상태로 천이할 경우, 제 2 RF 신호의 에지 램핑을 수행하도록 보조 RF 발생기에 파라미터 값들을 제공하기 위해 사용된다.

Description

에지 램핑{EDGE RAMPING}
본 실시형태들은 플라즈마 임피던스에서의 변화에 대한 응답을 개선시키는 것에 관한 것으로서, 더 상세하게는, 에지 램핑 (ramping) 을 수행하기 위한 장치, 방법들, 및 컴퓨터 프로그램들에 관한 것이다.
몇몇 플라즈마 프로세싱 시스템들에서, 다수의 무선 주파수 (RF) 신호들이 플라즈마 챔버 내의 하나 이상의 전극들에 제공된다. RF 신호들은 플라즈마 챔버 내에서 플라즈마를 생성하는 것을 돕는다. 플라즈마는 다양한 동작들, 예를 들어, 하부 전극 상에 배치된 기판을 세정하는 것, 기판을 에칭하는 것, 기판을 베벨 에칭 (bevel etch) 하는 것 등을 위해 사용된다.
RF 신호들 중 하나의 전력 값들에서의 변화가 존재할 경우, 플라즈마 임피던스 변화들 및 장애가 플라즈마 내에서 발생된다. 플라즈마가 상술된 다양한 동작들을 위해 사용될 경우, 장애를 제어하는 것이 중요하다.
본 명세서에서 설명된 실시형태들이 이러한 맥락에서 발생한다.
본 발명의 실시형태들은 에지 램핑을 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 발명의 실시형태들이 다수의 방식들, 예를 들어, 프로세스, 장치, 시스템, 디바이스, 또는 컴퓨터 판독가능 매체 상의 방법으로 구현될 수 있음을 인식해야 한다. 수 개의 실시형태들이 후술된다.
일 실시형태에서, 시스템은 제 1 RF 신호를 발생시키기 위한 기본 (base) RF 발생기를 포함한다. 제 1 RF 신호는 일 상태로부터 다른 상태로 천이한다. 제 1 RF 신호의 일 상태로부터 다른 상태로의 천이는 플라즈마 임피던스에서의 변화를 초래한다. 시스템은 제 2 RF 신호를 발생시키기 위한 보조 (secondary) RF 발생기를 더 포함한다. 제 2 RF 신호는 플라즈마 임피던스에서의 변화를 안정화시키기 위해 일 상태로부터 다른 상태로 천이한다. 시스템은 보조 RF 발생기에 커플링된 제어기를 포함한다. 제어기는, 제 2 RF 신호가 일 상태로부터 다른 상태로 천이하는 경우, 제 2 RF 신호의 에지 램핑을 수행하기 위해 파라미터 값들을 보조 RF 발생기에 제공하는데 사용된다.
일 실시형태에서, 플라즈마 임피던스에 대한 무선 주파수 (RF) 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템이 설명된다. 시스템은 주 (primary) 발생기를 포함한다. 주 발생기는 주 RF 신호를 발생시키기 위한 주 구동기 및 증폭기, 및 디지털 펄싱된 신호의 상태들을 식별하기 위한 주 디지털 신호 프로세서 (DSP) 를 포함한다. 상태들은 제 1 상태 및 제 2 상태를 포함한다. 주 DSP는, 디지털 펄싱된 신호가 제 1 상태에 있는 경우 제 1 주 주파수 입력을 식별하고, 디지털 펄싱된 신호가 제 2 상태에 있는 경우 제 2 주 주파수 입력을 식별하기 위해 사용된다. 주 발생기는 주 DSP 및 주 구동기 및 증폭기에 커플링된 제 1 주 자동 주파수 튜너 (AFT) 를 더 포함한다. 제 1 주 AFT는, 제 1 주 주파수 입력에 대응하는 플라즈마 임피던스를 달성하기 위해, 주 DSP로부터 제 1 주 주파수 입력을 수신하고, 주 RF 신호를 튜닝하기 위해 사용된다. 주 발생기는 주 DSP 및 주 구동기 및 증폭기에 커플링된 제 2 주 AFT를 포함한다. 제 2 주 AFT는, 제 2 주 주파수 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 주 DSP로부터 제 2 주 주파수 입력을 수신하고 주 RF 신호를 튜닝하기 위해 사용된다. 주 RF 신호는 제 1 주 주파수 입력으로부터 제 2 주 주파수 입력으로의 천이의 주 레이트를 갖는다.
이러한 실시형태에서, 시스템은 보조 발생기를 더 포함한다. 보조 발생기는 보조 RF 신호를 발생시키기 위한 보조 구동기 및 증폭기, 및 디지털 펄싱된 신호의 상태들을 식별하기 위한 보조 디지털 신호 프로세서 (DSP) 를 포함한다. 보조 DSP는, 디지털 펄싱된 신호가 제 1 상태에 있는 경우 제 1 보조 주파수 입력을 식별하기 위해 사용되고, 디지털 펄싱된 신호가 제 2 상태에 있는 경우 제 2 보조 주파수 입력을 식별하기 위해 사용된다. 보조 발생기는 보조 DSP 및 보조 구동기 및 증폭기에 커플링된 제 1 보조 AFT를 더 포함한다. 제 1 보조 AFT는 보조 DSP로부터 제 1 보조 주파수 입력을 수신하고, 제 1 보조 주파수 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 보조 RF 신호를 튜닝하기 위해 사용된다. 보조 발생기는 보조 DSP 및 보조 구동기 및 증폭기에 커플링된 제 2 보조 AFT를 포함한다. 제 2 보조 AFT는, 제 2 보조 주파수 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 보조 DSP로부터 제 2 보조 주파수 입력을 수신하고, 보조 RF 신호를 튜닝하기 위해 사용된다. 보조 DSP는 제 1 보조 주파수 입력으로부터 제 2 보조 주파수 입력으로의 천이의 보조 레이트를 결정하도록 구성된다. 천이의 보조 레이트는 천이의 주 레이트와는 상이하다.
일 실시형태에서, 플라즈마 임피던스에 대한 무선 주파수 (RF) 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템이 설명된다. 시스템은 주 발생기를 포함한다. 주 발생기는 주 RF 신호를 발생시키기 위한 주 구동기 및 증폭기, 주 구동기 및 증폭기에 커플링된 하나 이상의 주 제어기들을 포함한다. 하나 이상의 주 제어기들은 디지털 펄싱된 신호의 상태들을 식별하도록 구성된다. 상태들은 제 1 상태 및 제 2 상태를 포함한다. 하나 이상의 주 제어기들은, 디지털 펄싱된 신호가 제 1 상태에 있는 경우 제 1 주 전력 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 주 RF 신호에 튜닝하도록 추가적으로 구성된다. 또한, 하나 이상의 주 제어기들은, 디지털 펄싱된 신호가 제 2 상태에 있는 경우 제 2 주 전력 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 주 RF 신호를 튜닝하도록 구성된다. 주 RF 신호는 제 1 주 전력 입력으로부터 제 2 주 전력 입력으로의 천이의 주 레이트를 갖는다.
이러한 실시형태에서, 시스템은 보조 발생기를 더 포함한다. 보조 발생기는 보조 RF 신호를 발생시키기 위한 보조 구동기 및 증폭기를 포함한다. 또한, 보조 발생기는 보조 구동기 및 증폭기에 커플링된 하나 이상의 보조 제어기들을 포함한다. 하나 이상의 보조 제어기들은, 디지털 펄싱된 신호가 제 1 상태에 있는 경우 제 1 보조 전력 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 보조 RF 신호를 튜닝하도록 구성된다. 하나 이상의 보조 제어기들은, 디지털 펄싱된 신호가 제 2 상태에 있는 경우 제 2 보조 전력 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 보조 RF 신호를 튜닝하도록 추가적으로 구성된다. 하나 이상의 보조 제어기들은, 제 1 보조 전력으로부터 제 2 보조 전력 입력으로의 천이의 보조 레이트를 결정하도록 구성된다. 천이의 보조 레이트는 천이의 주 레이트와는 상이하다.
일 실시형태에서, 플라즈마 임피던스에 대한 무선 주파수 (RF) 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 방법이 설명된다. 방법은 디지털 펄싱된 신호의 상태들을 식별하는 단계를 포함한다. 상태들은 제 1 상태 및 제 2 상태를 포함한다. 방법은, 디지털 펄싱된 신호가 제 1 상태에 있는 경우 제 1 주 주파수 입력을 식별하는 단계, 및 디지털 펄싱된 신호가 제 2 상태에 있는 경우 제 2 주 주파수 입력을 식별하는 단계를 포함한다. 또한, 방법은, 디지털 펄싱된 신호가 제 1 상태에 있는 경우 제 1 주 주파수 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 주 RF 신호를 튜닝하는 단계, 및 디지털 펄싱된 신호가 제 2 상태에 있는 경우 제 2 주 주파수 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 주 RF 신호를 튜닝하는 단계를 포함한다. 주 RF 신호는 제 1 주 주파수 입력으로부터 제 2 주 주파수 입력으로의 천이의 주 레이트를 갖는다. 방법은, 디지털 펄싱된 신호가 제 1 상태에 있는 경우 제 1 보조 주파수 입력을 식별하는 단계, 및 디지털 펄싱된 신호가 제 2 상태에 있는 경우 제 2 보조 주파수 입력을 식별하는 단계를 포함한다. 방법은, 디지털 펄싱된 신호가 제 1 상태에 있는 경우 제 1 보조 주파수 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 보조 RF 신호를 튜닝하는 단계, 및 디지털 펄싱된 신호가 제 2 상태에 있는 경우 제 2 보조 주파수 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 보조 RF 신호를 튜닝하는 단계를 더 포함한다. 방법은 제 1 보조 주파수 입력으로부터 제 2 보조 주파수 입력으로의 천이의 보조 레이트를 결정하는 단계를 포함한다. 천이의 보조 레이트는 천이의 주 레이트와는 상이하다.
상술된 실시형태들의 몇몇 이점들은, 기본 신호, 예를 들어, 2메가헤르츠 (MHz) 신호, 27MHz 신호 등이 높은 전력값으로부터 낮은 전력값으로 또는 낮은 전력값으로부터 높은 전력값으로 변할 경우 플라즈마 임피던스에서 링잉 (ringing) 또는 샷 (shoot) 을 감소시키는 것을 포함한다. 일 실시형태에서, 링잉 또는 샷이 회피된다. 일 실시형태에서, 기본 신호가 제 1 전력값, 예를 들어, 높은 또는 낮은 전력값으로부터 제 2 전력값, 예를 들어, 낮은 또는 높은 전력값으로 변할 경우, 또 다른 RF 신호는 다른 RF 신호의 전력값으로부터 다른 RF 신호의 또 다른 전력값으로의 다른 RF 신호의 천이를 제어하도록 에지 램핑된다. 또 다른 실시형태에서, 기본 신호가 제 1 전력값으로부터 제 2 전력값으로 변할 경우, 다른 RF 신호는 다른 RF 신호의 주파수 값으로부터 다른 RF 신호의 또 다른 주파수 값으로의 다른 RF 신호의 천이를 제어하도록 에지 램핑된다. 또 다른 실시형태에서, 기본 신호가 제 1 전력값으로부터 제 2 전력값으로 변할 경우, 다른 RF 신호는 다른 RF 신호의 주파수값으로부터 다른 RF 신호의 또 다른 주파수 값으로의 다른 RF 신호의 천이를 제어하고, 다른 RF 신호의 전력값으로부터 다른 RF 신호의 또 다른 전력값으로의 다른 RF 신호의 천이를 제어하도록 에지 램핑된다.
다른 양태들은 첨부한 도면들과 함께 취해진 다음의 상세한 설명으로부터 명백해질 것이다.
실시형태들은 첨부한 도면들과 함께 취해진 다음의 설명을 참조함으로써 최상으로 이해될 수도 있다.
도 1은 본 발명에 설명된 일 실시형태에 따른, 종속적인 무선 주파수 (RF) 신호에 대한 플라즈마 임피던스에서의 변화의 효과를 감소시키기 위해 에지 램핑을 수행하기 위한 시스템의 일 실시형태의 다이어그램이다.
도 2는 본 발명에 설명된 일 실시형태에 따른, 에지 램핑을 수행하기 위한 시스템의 일 실시형태의 블록도이다.
도 3은 본 발명에 설명된 일 실시형태에 따른, 에지 램핑이 수행될 수도 있는 시간 기간을 도시하는 그래프들의 실시형태들을 도시한다.
도 4는 본 발명에 설명된 일 실시형태에 따른, 60MHz 신호의 에지 램핑을 도시하는 그래프들의 실시형태들을 도시한다.
도 5는 본 발명에 설명된 일 실시형태에 따른, 에지 램핑이 적용되지 않는 경우의 플라즈마가 안정화되는 시간의 양과, 에지 램핑이 적용될 경우의 플라즈마가 안정화되는 시간의 양 사이의 차이를 도시하는 그래프들을 도시한다.
도 6은 본 발명에 설명된 일 실시형태에 따른, 에지 램핑이 수행되지 않을 경우의 순방향 전력과, 에지 램핑이 수행될 경우의 순방향 전력 사이의 차이를 도시하는 그래프들을 도시한다.
도 7은 본 발명에 설명된 일 실시형태에 따른, 광 방출 분석기에 의해 감지된 신호에 대한 에지 램핑의 효과를 도시하는 그래프를 도시한다.
도 8은 본 발명에 설명된 일 실시형태에 따른, 에지 램핑이 수행되지 않는 경우의 순방향 전력과 에지 램핑이 수행되는 경우의 순방향 전력 사이의 차이를 도시하는 그래프를 도시하고, 에지 램핑이 수행되는 경우의 플라즈마 임피던스와 에지 램핑이 수행되지 않는 경우의 플라즈마 임피던스 사이의 차이를 도시하는 그래프를 도시한다.
도 9는 본 발명에 설명된 일 실시형태에 따른, 에지 램핑이 수행되는 경우의 에너지의 표준 편차와 에지 램핑이 수행되지 않는 경우의 에너지의 표준 편차 사이의 차이를 도시하기 위해 시간 대 플라즈마 줄 (Joules) 로 에너지를 도시하는 그래프를 도시한다.
도 10은 본 발명에 설명된 일 실시형태에 따른, 에지 램핑의 일 실시형태를 도시하는 그래프들을 도시한다.
도 11은 본 발명에 설명된 일 실시형태에 따른, RF 신호를 에지 램핑하기 위한 방법의 일 실시형태의 흐름도이다.
다음의 실시형태들은 에지 램핑을 수행하기 위한 시스템들 및 방법들을 설명한다. 본 발명의 실시형태들이 이들 특정한 세부사항들 중 일부 또는 전부 없이도 실시될 수도 있음이 명백할 것이다. 다른 예시들에서, 잘 알려진 프로세스 동작들은 본 발명의 실시형태들을 불필요하게 하지 않기 위해 상세히 설명되지 않는다.
도 1은 종속적인 무선 주파수 (RF) 신호에 대한 플라즈마 임피던스에서의 변화의 영향을 감소시키기 위해 에지 램핑을 수행하기 위한 시스템 (100) 의 일 실시형태의 다이어그램이다. 플라즈마 챔버 (124) 는 하부 전극 (130), 상부 전극 (126), 및 다른 컴포넌트들 (미도시), 예를 들어, 상부 전극 (126) 을 둘러싸는 상부 유전체 링, 상부 유전체 링을 둘러싸는 하부 전극 확장부, 하부 전극 (130) 을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 확장부, 상부 플라즈마 배제 구역 (PEZ) 링, 하부 PEZ 등을 포함한다. 상부 전극 (126) 은 하부 전극 (130) 반대에 그리고 대향하여 위치된다. 기판 (128), 예를 들어, 반도체 웨이퍼는 하부 전극 (130) 의 상부 표면 (132) 상에 지지된다. 집적 회로들, 예를 들어, 주문형 집적 회로 (ASIC), 프로그래밍가능 로직 디바이스 (PLD) 등은 기판 (132) 상에 전개되며, 집적 회로들은 다양한 디바이스들, 예를 들어, 셀 전화기들, 태블릿들, 스마트폰들, 컴퓨터들, 랩탑들, 네트워킹 장비 등에서 사용된다. 하부 전극 (130) 은 금속, 예를 들어, 양극처리된 (anodized) 알루미늄, 알루미늄의 합금 등으로 구성된다. 또한, 상부 전극 (126) 은 금속, 예를 들어, 알루미늄, 알루미늄의 합금 등으로 구성된다.
일 실시형태에서, 상부 전극 (126) 은 중앙 가스 피드 (feed) (미도시) 에 커플링된 홀 (hole) 을 포함한다. 중앙 가스 피드는 가스 공급부 (미도시) 로부터 하나 이상의 프로세스 가스들을 수신한다. 프로세스 가스들의 예들은 O2와 같은 산소-함유 가스를 포함한다. 프로세스 가스의 다른 예들은 플루오르-함유 가스, 예를 들어, 테트라플루오르메탄 (CF4), 설퍼 (sulfur) 헥사플루오라이드 (SF6), 헥사플루오로에탄 (C2F6) 등을 포함한다. 상부 전극 (126) 은 접지된다. 하부 전극 (130) 은 임피던스 매칭 회로 (176) 를 통해 메가헤르츠 (MHz) RF 구동기 및 증폭기 시스템 (DAS) (161) 에 커플링된다.
프로세스 가스가 상부 전극 (126) 과 하부 전극 (130) 사이에서 공급될 경우 및 DAS (161) 이 임피던스 매칭 회로 (176) 를 통해 전력을 하부 전극 (130) 에 공급할 경우, 프로세스 가스는 플라즈마 챔버 (124) 내에서 플라즈마를 발생시키도록 점화된다. 예를 들어, DAS (161) 는 플라즈마를 발생시키도록 프로세스 가스를 점화시키기 위하여 임피던스 매칭 회로 (176) 를 통해 전력을 공급한다.
임피던스 매칭 회로는, 임피던스 매칭 회로에 커플링된 전력 소스의 임피던스를 임피던스 매칭 회로에 커플링된 로드의 임피던스와 매칭시키기 위한 전기 회로 컴포넌트들, 예를 들어, 인덕터들, 커패시터들 등을 포함한다. 예를 들어, 임피던스 매칭 회로 (176) 는 플라즈마 챔버 (124) 내에서 발생된 플라즈마의 임피던스와 DAS (161) 의 임피던스를 매칭시킨다. 또 다른 예로서, 임피던스 매칭 회로 (176) 는 하나 이상의 부분들, 예를 들어, 플라즈마 챔버 (124) 내의 플라즈마, 플라즈마 챔버 (124) 의 상부 전극 (126), 하부 전극 (130), 다른 컴포넌트들 등의 임피던스와 DAS (161) 의 임피던스를 매칭시킨다. 일 실시형태에서, 임피던스 매칭 회로는, 임피던스 매칭 회로에 커플링된 DAS의 임피던스와 로드의 임피던스 사이의 매치를 용이하게 하도록 튜닝된다. 전력 소스와 로드 사이의 임피던스 매치는, 전력 소스를 향해 로드로부터 반사되는 전력의 기회들을 감소시킨다.
호스트 컴퓨터 (158) 는 디지털 신호 프로세서 (DSP) (160) 에 커플링된다. 호스트 컴퓨터 (158) 는 트랜지스터-트랜지스터 로직 (TTL) 신호 (106) 를 발생시키고, 그 신호를 디지털 신호 프로세서 (DSP) (160) 에 제공한다. TTL 신호 (106) 는 디지털 펄싱 신호의 일 예이다. 일 실시형태에서, 컴퓨터는 TTL 신호 (106) 를 발생시키는 TTL 회로를 포함한다. 여기에 사용된 바와 같이, 컴퓨터 대신에, 프로세서, 제어기, ASIC, 또는 PLD가 사용되며, 이들 용어들은 여기서 상호교환가능하게 사용된다. TTL 신호 (106) 는 상태들 S1 및 S0 를 포함한다. TTL 신호 (106) 는 50% 듀티 사이클을 갖는다. 일 실시형태에서, TTL 신호 (106) 는 5% 로부터 95% 까지의 범위에 있는 듀티 사이클을 갖는다. 상태 S1의 일 예는 온 (on) 상태, 1의 값을 갖는 상태, 또는 높은 상태를 포함한다. 상태 S0의 일 예는 오프 (off) 상태, 0의 값을 갖는 상태, 또는 낮은 상태를 포함한다. 높은 상태는 낮은 상태보다 더 큰 크기를 갖는다.
또 다른 실시형태에서, 컴퓨터 대신에, 클록 오실레이터, 예를 들어, 크리스탈 오실레이터가 아날로그 클록 신호를 발생시키는데 사용되며, 그 신호는 아날로그-투-디지털 변환기에 의해 TTL 신호 (106) 와 유사한 디지털 신호로 변환된다. 예를 들어, 크리스탈 오실레이터는 크리스탈 오실레이터 근방의 또는 그 상의 전극에 전압을 인가함으로써 전기장에서 오실레이팅하도록 행해진다.
TTL 신호 (106) 는 DSP (160) 에 전송된다. DSP (160) 는 TTL 신호 (106) 를 수신하고, TTL 신호 (106) 의 상태들 S0 및 S1을 식별한다. 예를 들어, DSP (160) 는 상태들 S0 및 S1 사이를 구별한다. 또 다른 예로서, DSP (160) 는, 제 1 세트의 시간 기간들 동안 TTL 신호 (106) 가 제 1 크기, 예를 들어, 1의 값, 높은 상태 크기 등을 갖고, 제 2 세트의 시간 기간들 동안 제 2 크기, 예를 들어, 0의 값, 낮은 상태 크기 등을 갖는다고 결정한다. DSP (160) 는, TT 신호 (106) 가 제 1 세트의 시간 기간들 동안 상태 S1을 갖고, 제 2 세트의 시간 기간들 동안 상태 S0를 갖는다고 결정한다. 또 다른 예로서, DSP (160) 는, 제 1 세트의 시간 기간들 동안 TTL 신호 (106) 의 크기가 미리 저장된 값보다 크다고 결정하고, TTL 신호 (106) 의 상태 S0 동안의 크기가 제 2 세트의 시간 기간들 동안의 미리-저장된 값보다 크지 않다고 결정하기 위해 미리-저장된 값과 TTL 신호 (106) 의 크기를 비교한다. 클록 오실레이터가 사용되는 실시형태에서, DSP (160) 는 클록 오실레이터로부터 아날로그 클록 신호를 수신하고, 아날로그 신호를 디지털 형태로 변환하며, 그 후, 2개의 상태들 S0 및 S1을 식별한다.
TTL 신호 (106) 의 상태가 S1 으로서 식별되는 경우, DSP (160) 는 전력값 P1 및/또는 주파수 값 F1을 주파수/전력 제어기 (168) 에 제공한다. 또한, TTL 신호 (106) 의 상태가 S0로서 식별되는 경우, DSP (160) 는 전력값 P0 및/또는 주파수 값 F0를 주파수/전력 제어기 (170) 에 제공한다. 상태 S1 으로부터 상태 S0 또는 상태 S0로부터 상태 S1 까지의 ab 마이크로초인 천이 시간 TT 동안, DSP (160) 는 하나 이상의 천이 주파수들 FT 및/또는 하나 이상의 전력값들 PT를 제어기 (168) 에 제공하며, 여기서, a 및 b는 정수들이다. 일 실시형태에서, 전력값들 PT는 전력값들 P1 및 P0 사이의 범위에 있고, 및/또는 주파수 값들 FT는 주파수 값들 F1 및 F0 사이의 범위에 있다. 일 실시형태에서, DSP (160) 는, 상태 S1 또는 상태 S0 중 어느 것도 식별되지 않는 시간으로서 천이 시간 TT를 또한 식별한다.
천이 시간 TT 동안, 제어기 (168) 는 천이 주파수들 FT을 수신하고, 구동 주파수들을 DAS의 구동기, 예를 들어, 2MHz DAS, 27MHz DAS, 60 DAS 등으로 제공한다. 일 실시형태에서, 구동 주파수들은 주파수들 FT와 동일하다. 구동기는 구동 주파수들을 갖는 RF 신호를 발생시키고, 증폭기를 통해, 송신 라인 (178) 을 통해, 및 임피던스 매칭 회로 (176) 를 통해 RF 신호를 하부 전극 (130) 에 제공한다. 일 실시형태에서, DSP (160) 로부터 수신된 구동 주파수들과 천이 주파수들 FT 사이의 매핑은, 구동 주파수들을 수신하는 제어기의 메모리 디바이스에 저장된다. 메모리 디바이스의 예들은 랜덤 액세스 메모리 (RAM) 및 판독-전용 메모리 (ROM) 를 포함한다. 메모리 디바이스는 플래시 메모리, 하드 디스크, 저장 디바이스, 컴퓨터-판독가능 매체 등일 수도 있다.
일 실시형태에서, DSP (160) 로부터 수신된 구동 주파수들과 천이 주파수들 FT 사이의 매핑은, 구동 주파수들을 수신하는 제어기의 메모리 디바이스에 저장된다. 이러한 실시형태에서, 제어기는, 구동 주파수들을 구동기에 제공하기 위해 DSP (160) 로부터 수신된 천이 주파수들 FT을 구동 주파수들에 매핑한다.
또한, 천이 시간 TT 동안, 제어기 (168) 는 전력값들 PT를 수신하고 구동 전력값들을 DAS의 구동기에 제공한다. 일 실시형태에서, 구동 전력값들은 전력값들 PT와 동일하다. 구동기는 구동 전력값들 PT을 갖는 RF 신호를 발생시키고, 송신 라인 (178) 및 임피던스 매칭 회로 (176) 를 통해 구동 전력값들을 구동 하부 전극 (130) 에 제공한다.
일 실시형태에서, DSP (160) 로부터 수신된 구동 전력값들과 천이 전력값들 PT 사이의 매핑은, 구동 전력값들을 수신하는 제어기의 메모리 디바이스에 저장된다. 이러한 실시형태에서, 제어기는 DSP (160) 로부터 수신된 천이 전력값들 PT을 구동 전력값들에 매핑하여, 구동 전력값들을 구동기에 제공한다.
전력값들 P1, P0, PT, 전력값 P1과 상태 S1 사이의 매핑, 전력값 P0와 상태 P0 사이의 매핑, 전력값들 PT와 천이 시간 TT 사이의 매핑, 주파수 값들 F1, F0, FT, 주파수 값 F1과 상태 S1 사이의 매핑, 주파수 값 F0와 상태 S0 사이의 매핑, 주파수 값들 FT와 천이 시간 TT 사이의 매핑, 또는 이들의 조합은, 호스트 컴퓨터 (158) 의 메모리 디바이스에 저장되는 발생기 파라미터 세트 (180) 에 위치된다. 호스트 컴퓨터 (158) 는 발생기 파라미터 세트 (158) 를 실행하기 위한 로직 A, B 내지 N을 또한 포함한다. 예를 들어, 호스트 컴퓨터 (158) 는 운영 시스템, 예를 들어, 리눅스 운영 시스템, 윈도우TM 운영 시스템 등, 및 발생기 파라미터 세트 (180) 를 실행하기 위한 하나 이상의 프로그래밍 애플리케이션들, 예를 들어, 컴퓨터 프로그램들을 포함한다.
일 실시형태에서, 전력값들 PT, 주파수 값들 FT, 또는 이들의 조합은 파라미터 값들의 예들이다.
도 2는 에지 램핑을 수행하기 위한 시스템 (190) 의 일 실시형태의 블록도이다. 시스템 (190) 은 x MHz 발생기 (102) 및 y MHz 발생기 (112) 를 포함한다. x MHz 발생기 (102) 의 일 예는 2MHz 발생기를 포함하고, y MHz 발생기 (112) 의 일 예는 60MHz 발생기를 포함한다. x MHz 발생기 (102) 의 또 다른 예는 60MHz 발생기를 포함하고, y MHz 발생기 (112) 의 일 예는 2MHz 발생기를 포함한다. x MHz 발생기 (102) 의 또 다른 예는 2MHz 발생기를 포함하고, y MHz 발생기 (112) 의 일 예는 27MHz 발생기를 포함한다. x MHz 발생기 (102) 의 또 다른 예는 27MHz 발생기를 포함하고, y MHz 발생기 (112) 의 일 예는 2MHz 발생기를 포함한다. x MHz 발생기 (102) 는 기본 RF 발생기 또는 주 발생기의 일 예이고, y MHz 발생기 (112) 는 보조 RF 발생기 또는 보조 발생기의 일 예이다.
x MHz 발생기 (102) 는 RF 신호를 발생시키는 DAS (116) 를 포함한다. 또한, x MHz 발생기 (102) 는 DSP (104) 를 포함한다. DSP (104) 는 TTL 신호 (106) 를 수신하고, TTL 신호 (106) 의 상태들을 식별한다. TTL 신호 (106) 의 상태가 S1 인 경우, DSP (104) 는 주파수 값 F11을 발생시키고, 주파수 값 F11을 AFT (108) 에 제공한다. AFT의 일 예는, 그 전체가 여기에 참조에 의해 포함되는 미국 특허 제 6,020,794호에서 제공된다. 일 실시형태에서, 튜너 및 제어기라는 용어들은 여기서 상호교환가능하게 사용된다. 유사하게, TTL 신호 (106) 의 상태가 S0인 경우, DSP (104) 는 주파수 값 F10을 발생시키고, 주파수 값 F10을 AFT (110) 에 제공한다.
TTL 신호 (106) 의 상태 S1 동안, DSP (104) 및 DAS (116) 에 커플링된 AFT (108) 는 DSP (104) 로부터 주파수 값 F11을 수신하며, 플라즈마 챔버 (124) 내의 플라즈마의 임피던스의 주파수와 주파수 값 F11 사이의 미리 결정된 대응관계, 예를 들어, 매치, 미리-결정된 차이 등을 달성하기 위하여 DAS (116) 에 의해 발생된 RF 신호의 주파수를 튜닝, 예를 들어, 수렴시킨다 (converge). 예를 들어, AFT (108) 는 DAS (116) 의 구동기에 제공할 구동 주파수를 룩-업 (look-up) 한다. 구동 주파수는 AFT (108) 의 메모리 디바이스에 저장된다. 일 실시형태에서, 구동 주파수는 주파수 값 F11과 동일하다. 구동 주파수는 DAS (116) 의 구동기에 AFT (108) 에 의해 제공된다. DAS (116) 의 구동기는 구동 주파수를 갖는 RF 신호를 발생시키고, 그 신호를 DAS (116) 의 증폭기에 제공한다. DAS (116) 는 구동 주파수를 갖는 RF 신호를 발생시키고, RF 신호를 송신 라인 (152) 을 통해 그리고 임피던스 매칭 회로 (140) 를 통해 하부 전극 (130) 으로 전송하여, 구동 주파수에서 하부 전극 (130) 을 구동시킨다.
센서 (144) 는 송신 라인 (152) 상의 반사된 전력을 측정하고, 측정된 반사된 전력을 DSP (104) 에 제공한다. DSP (104) 는 반사된 전력에 기초하여 플라즈마 챔버 (124) 내의 플라즈마의 임피던스를 결정하고, 임피던스는 주파수를 포함한다. DSP (104) 는, 임피던스의 주파수가 주파수 F11 의 범위 내에 있는지를 결정한다. 주파수가 주파수 F11 의 범위 내에 있지 않다고 결정할 시에, AFT (108) 는 DAS (116) 의 구동기에 제공할 주파수 값 F11에 대응하는 또 다른 구동 주파수를 룩업한다. AFT (108) 는, 임피던스의 주파수가 주파수 F11의 범위 내에 있을 때까지 주파수 값 F11에 대응하는 다양한 구동 주파수들을 계속 제공한다. 이러한 예에서, AFT (108) 는 AFT (108) 의 저장 디바이스 내에 주파수 F11에 대응하는 다양한 구동 주파수들을 저장한다. 유사하게, TTL 신호 (106) 의 상태 S0 동안, DSP (104) 및 DAS (116) 에 커플링된 AFT (110) 는 DSP (104) 로부터 주파수 값 F10을 수신하고, DAS (116) 에 의해 발생된 RF 신호의 주파수를 튜닝하여, 플라즈마 챔버 (124) 내의 플라즈마의 임피던스의 주파수와 주파수 값 F10 사이의 미리-결정된 대응관계를 달성한다.
TTL 신호 (106) 가 상태 S1 으로부터 상태 S0로 천이할 경우, DAS (116) 에 의해 발생된 RF 신호의 주파수는 제 1 레이트로, F11의 주파수 값으로부터 F10의 주파수 값으로 천이한다. 일 실시형태에서, 그 제 1 레이트는 상태 S1로부터 상태 S0로의 TTL 신호 (106) 의 천이의 레이트의 제 1 레이트와 동일하다. 일 실시형태에서, 제 1 레이트는 def 마이크로초 내에서와 같이, TTL 신호 (106) 의 천이의 레이트와 유사하며, 여기서, d, e, 및 f 각각은 정수이다. 일 실시형태에서, 제 1 레이트는 천이의 주 레이트의 일 예이다.
시스템 (190) 은 RF 신호를 발생시키기 위한 DAS (114) 를 포함하는 y MHz 발생기 (112) 를 더 포함한다. y MHz 발생기 (112) 는 TTL 신호 (106) 의 상태들을 식별하는 DSP (118) 를 더 포함한다. DSP (118) 는 TTL 신호 (106) 를 수신하고, TTL 신호 (106) 의 상태들을 식별한다. TTL 신호 (106) 의 상태가 S1인 경우, DSP (118) 는 주파수 값 F21을 발생시키고, 주파수 값 F21을 AFT (12) 에 제공한다. 유사하게, TTL 신호 (106) 의 상태가 S0인 경우, DSP (118) 는 주파수 값 F20을 발생시키고, 주파수 값 F20을 AFT (122) 에 제공한다.
TTL 신호 (106) 의 상태 S1 동안, DSP (118) 및 DAS (114) 에 커플링된 AFT (120) 는, DSP (118) 로부터 주파수 값 F21 을 수신하고, DAS (114) 에 의해 발생된 RF 신호의 주파수를 튜닝, 예를 들어, 수렴하여, 플라즈마 챔버 (124) 내의 플라즈마의 임피던스의 주파수와 주파수 값 F21 사이의 미리-결정된 대응관계를 달성한다. 예를 들어, AFT (120) 는 DAS (114) 의 구동기에 제공할 구동 주파수를 룩-업한다. 구동 주파수는 AFT (120) 의 메모리 디바이스에 저장된다. 일 실시형태에서, 구동 주파수는 주파수 값 F21 과 동일하다. 구동 주파수는 DAS (114) 의 구동기에 AFT (120) 에 의해 제공된다. 구동기는 구동 주파수를 갖는 RF 신호를 발생시키고, RF 신호를 DAS (114) 의 증폭기에 제공한다. DAS (114) 는 구동 주파수를 갖는 RF 신호를 발생시키며, RF 신호를 송신 라인 (154) 을 통해 및 임피던스 매칭 회로 (150) 를 통해 하부 전극 (130) 으로 전송하여, 구동 주파수로 하부 전극 (130) 을 구동시킨다.
센서 (146) 는 송신 라인 (154) 상의 반사된 전력을 측정하고, 측정된 반사된 전력을 DSP (118) 에 제공한다. DSP (118) 는 반사된 전력에 기초하여 플라즈마 챔버 (124) 내의 플라즈마의 임피던스를 결정하며, 임피던스는 주파수를 포함한다. DSP (118) 는, 임피던스의 주파수가 주파수 F21의 범위 내에 있는지를 결정한다. 주파수가 주파수 F21의 범위 내에 있지 않다고 결정할 시에, AFT (120) 는 DAS (114) 의 구동기에 제공할 주파수 값 F21에 대응하는 또 다른 구동 주파수를 룩업한다. AFT (120) 는, 임피던스의 주파수가 주파수 F21의 범위 내에 있을 때까지 주파수 값 F21에 대응하는 다양한 구동 주파수들을 계속 제공한다. 이러한 예에서, AFT (120) 는 AFT (120) 의 저장 디바이스 내에 주파수 F21에 대응하는 다양한 구동 주파수들을 저장한다. 유사하게, TTL 신호 (106) 의 상태 S0 동안, DSP (118) 및 DAS (114) 에 커플링된 AFT (112) 는 DSP (118) 로부터 주파수 값 F20을 수신하고, DAS (118) 에 의해 발생된 RF 신호의 주파수를 튜닝하여, 플라즈마 챔버 (124) 내의 플라즈마의 임피던스의 주파수와 주파수 값 F20 사이의 미리-결정된 대응관계를 달성한다.
TTL 신호 (106) 가 상태 S1으로부터 상태 S0로 천이한 경우, DAS (114) 에 의해 발생된 RF 신호는 제 2 레이트로 주파수 값 F21로부터 주파수 값 F20으로 천이한다. 일 실시형태에서, 제 2 레이트는 천이의 제 2 레이트의 일 예이다. 일 실시형태에서, DAS (114) 에 의해 발생된 RF 신호는 플라즈마 챔버 (124) 내의 플라즈마의 플라즈마 임피던스를 안정화시키도록 천이한다. 일 실시형태에서, 제 2 레이트는 제 1 레이트보다 작다. 예를 들어, 주파수 값 F11로부터 주파수 값 F10으로 DAS (116) 에 의해 공급된 RF 신호에 의해 취해진 것보다, DAS (114) 에 의해 공급된 RF 신호가 주파수 값 F21로부터 주파수 값 F20으로 천이하는 더 높은 양의 시간을 취한다.
주파수 값 F21로부터 주파수 값 F20으로의 천이 동안, DSP (118) 는 하나 이상의 천이 주파수들 FT_S1-S0를 AFT (120) 에 제공한다. 천이 주파수들 FT_S1-S0는 컴퓨터 (198) 의 메모리 디바이스 내에 저장되며, 호스트 컴퓨터 (158) (도 1) 의 일 예인 컴퓨터 (198) 로부터 발생기 파라미터 세트 (180) 내에서 DSP (118) 에 의해 수신된다. 일 실시형태에서, 천이 주파수들 FT_S1-S0와 상태 S1으로부터 상태 S0로의 상태 천이 사이의 매핑이 컴퓨터 (198) 의 메모리 디바이스 내에 저장된다. AFT (120) 는 천이 주파수들 FT_S1-S0을 수신하고, TTL 신호 (106) 의 상태 S1 동안 대응하는, 예를 들어, 매핑된 등의 구동 천이 주파수들을 DAS (114) 에 제공한다. 일 실시형태에서, 천이 동안의 구동 주파수들은 천이 주파수들 FT_S1-S0과 동일하다. DAS (114) 는 구동 주파수들을 갖는 RF 신호를 발생시키고, RF 신호를 송신 라인 (154) 및 임피던스 매칭 회로 (150) 를 통해 플라즈마 챔버 (124) 의 하부 전극 (130) 에 제공한다.
일 실시형태에서, 천이 주파수들 FT_S1-S0가 적용되는 시간의 양은, 플라즈마 챔버 (124) 의 하나 이상의 부분들의 임피던스를 DAS (114) 의 임피던스와 매칭시키도록 임피던스 매칭 회로 (150) 에 의해 취해진 시간의 양보다 적다. 일 예로서, 송신 라인 (154) 상의 반사된 전력과 송신 라인 (154) 상의 순방향 전력과의 비율이 제로에 근접할 경우, 예를 들어, 0으로부터의 범위 내에 있을 경우, 플라즈마 챔버 (124) 의 하나 이상의 부분들의 임피던스는 DAS (114) 의 임피던스와 매칭한다. 순방향 및 반사된 전력들은 센서 (146) 에 의해 측정된다. 센서 (146) 는 순방향 및 반사된 전력들의 측정된 값들을 DSP (118) 에 제공하며, DSP (114) 는 아날로그 포맷으로부터 디지털 포맷으로 측정된 값들을 변환하고 비율을 계산한다.
일 실시형태에서, 천이 주파수들 FT_S1-S0이 적용된 시간의 양은, 안정화, 예를 들어, 값으로 수렴하기 위해 플라즈마 챔버 (124) 내의 플라즈마의 임피던스에 의해 취해진 시간의 양보다 작다. 일 예로서, DSP (118) 는, 비율이 제로에 가까워질 경우, 플라즈마 임피던스가 값에 수렴한다고 결정한다. 일 실시형태에서, 플라즈마 챔버 (124) 의 하나 이상의 부분들의 임피던스를 DAS (114) 의 임피던스와 매칭하기 위해 천이 주파수들 FT_S1-S0가 적용된 시간의 양이 임피던스 매칭 회로 (150) 에 의해 취해진 시간의 양보다 작은지를 결정하도록, 또는 플라즈마 챔버 (124) 내의 플라즈마의 임피던스가 안정화되는지를 결정하기 위해, 비율 대신, 전압 정상파 비율 (VSWR) 이 DSP (118) 에 의해 계산된다. VSWR은 RC-1 및 RC+1의 비율과 동일한 것으로 계산되며, 여기서, RC는 반사 계수이다.
일 실시형태에서, 천이의 제 2 레이트는 RF 송신 경로의 대역폭의 발생의 시간보다 작은 시간 동안 발생하며, 그 경로는 DAS (114) 의 증폭기, 임피던스 매칭 회로 (150), 및 하부 전극 (130) 을 포함한다. 일 실시형태에서, 천이의 제 2 레이트는 DAS (116) 에 의해 발생된 RF 신호의 RF 펄스의 폭의 발생의 시간보다 작은 시간 동안 발생한다. RF 펄스는, TTL 신호 (112) 가 상태 S1 또는 상태 S0에 있는 경우 RF 신호의 일부이다.
또한, TTL 신호 (106) 의 상태가 S1일 경우, DSP (104) 가 전력값 P11을 발생시키고, 전력값 P11을 전력 제어기 (136) 에 제공한다. 유사하게, TTL 신호 (106) 의 상태가 S0인 경우, DSP (104) 가 전력값 P10을 발생시키고, 전력값 P10을 전력 제어기 (138) 에 제공한다.
TTL 신호 (106) 의 상태 S1 동안, DSP (104) 및 DAS (116) 에 커플링된 전력 제어기 (136) 는, DSP (104) 로부터 전력값 P11을 수신하고, DAS (116) 에 의해 발생된 RF 신호의 전력을 튜닝하여, 플라즈마 챔버 (124) 내의 플라즈마의 대응하는 임피던스를 달성한다. 예를 들어, 전력 제어기 (136) 는 DAS (116) 의 구동기에 제공할 구동 전력값을 룩업한다. 구동 전력값은 Z1의 플라즈마 임피던스에 대응한다. 구동 전력값 및 플라즈마 임피던스 Z1은 전력 제어기 (136) 의 메모리 디바이스에 저장된다. 일 실시형태에서, 구동 전력값은 전력값 P11과 동일하다. 구동 전력값은 DAS (116) 의 구동기로 전력 제어기 (136) 에 의해 제공된다. 구동기는 구동 전력값을 갖는 RF 신호를 발생시키고, RF 신호를 DAS (116) 의 증폭기에 제공한다. 증폭기는 구동 전력값을 증폭시켜 증폭된 전력값을 발생시킬 수도 있으며, 증폭된 전력값은 DAS (116) 의 구동기로부터 증폭기에 의해 수신된 RF 신호의 전력값과 동일할 수도 있다. 증폭된 전력값을 갖는 RF 신호는, 송신 라인 (152) 을 통해 및 임피던스 매칭 회로 (148) 를 통해 DAS (116) 에 의하여 하부 전극 (130) 에 공급되어, 증폭된 전력값으로 하부 전극 (130) 을 구동시킨다.
센서 (144) 는 송신 라인 (152) 상에서 반사된 전력을 측정하고, 측정된 반사된 전력을 DSP (104) 에 제공한다. DSP (104) 는, 반사된 전력에 기초하여 플라즈마 챔버 (124) 내의 플라즈마의 임피던스를 결정하고, 임피던스는 주파수를 포함한다. DSP (104) 는 전압과 전류의 비율에 기초하여 임피던스를 결정하고, 전압 및 전류는 전력의 변수들이다. DSP (104) 는, 임피던스가 임피던스 Z1의 범위 내에 있는지를 결정한다. 임피던스가 임피던스 Z1의 범위 내에 있지 않다고 결정할 시에, 전력 제어기 (136) 는, DAS (116) 의 구동기에 제공할 임피던스 Z1에 대응하는 또 다른 구동 전력값을 룩업한다. 전력 제어기 (136) 는, 송신 라인 (152) 상의 반사된 전력에 기초하여 DSP (104) 에 의해 결정된 임피던스가 임피던스 Z1의 범위 내에 있을 때까지, 임피던스 Z1에 대응하는 다양한 구동 전력값들을 계속 제공한다. 이러한 예에서, 전력 제어기 (136) 가 전력 제어기 (136) 의 저장 디바이스 내의 임피던스 Z1에 대응하는 다양한 구동 전력값들을 저장함을 유의해야 한다. 유사하게, TTL 신호 (106) 의 상태 S0 동안, DSP (104) 및 DAS (116) 에 커플링된 전력 제어기 (138) 는 DSP (104) 로부터 전력값 P10을 수신하고, DAS (116) 에 의해 발생된 RF 신호의 전력을 튜닝하여, 플라즈마 챔버 (124) 내의 플라즈마의 대응하는 임피던스를 달성한다.
TTL 신호 (106) 가 상태 S1으로부터 상태 S0로 천이한 경우, DAS (116) 에 의해 공급된 RF 신호의 전력값은 제 3 레이트에서 P11의 전력값으로부터 P10의 전력값으로 천이한다. 일 실시형태에서, 제 3 레이트는, 상태 S1로부터 상태 S0로의 TTL 신호 (106) 의 천이의 레이트의 제 3 레이트와 동일하다. 일 실시형태에서, 제 3 레이트는 ghi 마이크로초 내에서와 같이, TTL 신호 (106) 의 천이의 레이트와 유사하며, 여기서, g, h, 및 i 각각은 정수이다. 일 실시형태에서, 제 3 레이트는 천이의 주 레이트의 일 예이다.
주파수 값이 F11로부터 F10으로 변하고 및/또는 전력값이 P11로부터 P10으로 변할 경우, 플라즈마 챔버 (124) 내의 플라즈마의 플라즈마 임피던스가 변한다. 또한, 주파수 값이 F10로부터 F11로 변하고 및/또는 전력값이 P10로부터 P11로 변할 경우, 플라즈마 챔버 (124) 내의 플라즈마의 플라즈마 임피던스가 변한다.
또한, TTL 신호 (106) 의 상태가 S1인 경우, DSP (118) 는 전력값 P21을 발생시키고, 전력값 P21을 전력 제어기 (140) 에 제공한다. 유사하게, TTL 신호 (106) 의 상태가 S0인 경우, DSP (118) 는 전력값 P20을 발생시키고, 전력값 P20을 전력 제어기 (142) 에 제공한다.
TTL 신호 (106) 의 상태 S1 동안, DSP (118) 및 DAS (114) 에 커플링된 전력 제어기 (140) 는 DSP (118) 로부터 전력값 P21 을 수신하고, DAS (114) 에 의해 발생된 RF 신호의 전력을 튜닝하여, 플라즈마 챔버 (124) 내의 플라즈마의 대응하는 임피던스를 달성한다. 예를 들어, 전력 제어기 (140) 는 구동 전력값으로 DAS (114) 의 구동기를 구동시키기 위해 구동 전력값을 룩업한다. 구동 전력값은 Z2의 플라즈마 임피던스에 대응한다. 구동 전력값 및 Z2의 플라즈마 임피던스는 전력 제어기 (136) 의 메모리 디바이스에 저장된다. 일 실시형태에서, 구동 전력값은 전력값 P21과 동일하다. 구동 전력값은 DAS (116) 의 구동기로 전력 제어기 (140) 에 의해 제공되며, 구동기는 DAS (114) 의 증폭기에 커플링되어, 구동 전력값을 갖는 RF 신호를 발생시킨다. 증폭기는 RF 신호를 증폭시킬 수도 있다. 일 실시형태에서, 증폭된 전력값은 DAS (114) 의 구동기로부터 DAS (114) 의 증폭기에 의해 수신된 RF 신호의 전력값과 동일한 전력값을 갖는다. DAS (114) 에 의해 발생된 RF 신호는 송신 라인 (154) 을 통해 및 임피던스 매칭 회로 (150) 를 통해 하부 전극 (130) 에 공급되어, 구동 전력값으로 하부 전극 (130) 을 구동시킨다.
센서 (146) 는 송신 라인 (154) 상에서 반사된 전력을 측정하고, 측정된 반사된 전력을 DSP (118) 에 제공한다. DSP (118) 는, 반사된 전력에 기초하여 플라즈마 챔버 (124) 내의 플라즈마의 임피던스를 결정하고, 임피던스는 주파수를 포함한다. DSP (118) 는, 임피던스가 임피던스 Z2의 범위 내에 있는지를 결정한다. 임피던스가 임피던스 Z2의 범위 내에 있지 않다고 결정할 시에, 전력 제어기 (140) 는, DAS (114) 의 구동기에 제공할 임피던스 Z2에 대응하는 또 다른 구동 전력값을 룩업한다. 전력 제어기 (140) 는, 송신 라인 (154) 상의 반사된 전력에 기초하여 DSP (118) 에 의해 결정된 임피던스가 임피던스 Z2의 범위 내에 있을 때까지, 임피던스 Z2에 대응하는 다양한 구동 전력값들을 계속 제공한다. 이러한 예에서, 전력 제어기 (140) 가 전력 제어기 (140) 의 저장 디바이스 내의 임피던스 Z2에 대응하는 다양한 구동 전력값들을 저장함을 유의해야 한다. 유사하게, TTL 신호 (106) 의 상태 S0 동안, DSP (118) 및 DAS (114) 에 커플링된 전력 제어기 (142) 는 DSP (118) 로부터 전력값 P20을 수신하고, DAS (114) 에 의해 발생된 RF 신호의 전력을 튜닝하여, 플라즈마 챔버 (124) 내의 플라즈마의 대응하는 임피던스를 달성한다.
TTL 신호 (106) 가 상태 S1으로부터 상태 S0로 천이한 경우, DAS (114) 에 의해 발생된 RF 신호는 제 4 레이트로 전력값 P21로부터 전력값 P20으로 천이한다. 일 실시형태에서, 제 4 레이트는 제 3 레이트보다 작다. 예를 들어, 전력값 P11로부터 전력값 P10으로 천이하도록 DAS (116) 에 의해 공급된 RF 신호에 의해 취해진 것보다, DAS (114) 에 의해 공급된 RF 신호가 전력값 P21로부터 전력값 P20으로 천이하기 위한 더 높은 양의 시간을 취한다. 일 실시형태에서, 제 4 레이트는 천이의 보조 레이트의 일 예이다.
전력값 P21로부터 전력값 P20으로의 천이 동안, DSP (118) 는 하나 이상의 천이 전력값들 PT_S1-S0를 전력 제어기 (140) 에 제공한다. 천이 전력값들 PT_S1-S0는 컴퓨터 (198) 의 메모리 디바이스 내에 저장되며, DSP (118) 에 의해 발생기 파라미터 세트 (180) 내에서 수신된다. 일 실시형태에서, 천이 전력값들 PT_S1-S0와 상태 S1으로부터 상태 S0로의 상태 천이 사이의 매핑이 컴퓨터 (198) 의 메모리 디바이스 내에 저장된다. 전력 제어기 (140) 는 천이 전력값들 PT_S1-S0을 수신하고, 전력값 P21로부터 전력값 P20으로의 천이 동안 구동 천이 전력값들을 DAS (114) 에 제공한다. DAS (114) 는 천이 전력값들 PT_S1-S0를 갖는 RF 신호를 발생시키고, RF 신호를 송신 라인 (154) 및 임피던스 매칭 회로 (150) 를 통해 플라즈마 챔버 (124) 에 제공한다.
일 실시형태에서, 천이 전력값들 PT_S1-S0가 적용되는 시간의 양은, 플라즈마 챔버 (124) 의 하나 이상의 부분들의 임피던스를 DAS (114) 의 임피던스와 매칭시키도록 임피던스 매칭 회로 (150) 에 의해 취해진 시간의 양보다 적다. 일 예로서, 송신 라인 (154) 상의 반사된 전력과 송신 라인 (154) 상의 순방향 전력과의 비율이 제로에 근접할 경우, 예를 들어, 0으로부터의 범위 내에 있을 경우, 플라즈마 챔버 (124) 의 하나 이상의 부분들의 임피던스는 DAS (114) 의 임피던스와 매칭한다.
일 실시형태에서, 천이 전력값들 PT_S1-S0이 적용된 시간의 양은, 안정화, 예를 들어, 값으로 수렴하기 위해 플라즈마 챔버 (124) 내의 플라즈마의 임피던스에 의해 취해진 시간의 양보다 작다. 일 실시형태에서, 플라즈마 챔버 (124) 의 하나 이상의 부분들의 임피던스를 DAS (114) 의 임피던스와 매칭하기 위해 천이 전력값들 PT_S1-S0가 적용된 시간의 양이 임피던스 매칭 회로 (150) 에 의해 취해진 시간의 양보다 작은지를 결정하도록, 또는 플라즈마 챔버 (124) 내의 플라즈마의 임피던스가 안정화되는지를 결정하기 위해, 비율 대신, VSWR이 DSP (118) 에 의해 계산된다.
일 실시형태에서, 천이의 제 4 레이트는 RF 송신 경로의 대역폭의 발생과 연관된 시간보다 작은 시간 동안 발생하며, 그 경로는 DAS (114) 의 증폭기, 임피던스 매칭 회로 (150), 및 하부 전극 (130) 을 포함한다. 일 실시형태에서, 천이의 제 4 레이트는 DAS (116) 에 의해 발생된 RF 신호의 RF 펄스의 폭의 발생의 시간보다 작은 시간 동안 발생한다.
일 실시형태에서, 천이 주파수 값들 FT_S1-S0 및 천이 전력값들 PT_S1-S0 양자는, DSP (118) 에 의해 동시에 또는 실질적으로 동시에 전력 제어기 (140) 및 AFT (120) 에 제공된다. 이러한 실시형태에서, DSP (118) 는 천이 전력값들 PT_S1-S0을 전력 제어기 (140) 에 제공하고, 주파수 값들 FT_S1-S0를 AFT (120) 에 제공한다. 실질적으로 동일한 시간의 일 예는, 예를 들어, 천이 전력값들 PT_S1-S0 또는 천이 주파수 값들 FT_S1-S0를 제공하는 미리-결정된 시간 기간, 예를 들어, 큰 마이크로초 내에 있다.
상기 실시형태들이 상태 S1로부터 상태 S0로의 천이에 관해 설명되지만, 일 실시형태에서, 실시형태들은 상태 S0로부터 상태 S1으로의 천이에 적용되도록 변형된다. 예를 들어, TTL 신호 (106) 의 상태 S0로부터 S1으로의 천이 동안, 천이 주파수 값들, 예를 들어, FT_S0-S1의 동일한 또는 상이한 세트가 천이 주파수 값들 FT_S1-S0와 비교하여 사용될 수도 있다. 이러한 예에서, 천이 주파수 값들 FT_S0-S1은 AFT (120) 대신 DSP (118) 에 의해 AFT (122) 에 제공된다. 또 다른 예로서, 상태 S0로부터 S1으로의 천이 동안, 천이 전력값들, 예를 들어, PT_S0-S1의 동일한 또는 상이한 세트가 천이 전력값들 PT_S1-S0과 비교하여 사용될 수도 있다. 이러한 예에서, 천이 전력값들 PT_S0-S1은 전력 제어기 (140) 대신 DSP (118) 에 의해 전력 제어기 (142) 에 제공된다. 또한, 또 다른 예로서, 상태 S0로부터 상태 S1로의 천이 동안, 천이 주파수들의 동일한 또는 상이한 세트 및 천이 전력값들의 동일한 또는 상이한 세트가 동시에 또는 실질적으로 동시에 제공된다. 예를 들어, 천이 주파수 값들 FT_S0-S1은, DSP (118) 로부터 전력 제어기 (142) 로의 천이 전력값들 PT_S1-S0의 제공과 동시에, DSP (118) 에 의해 AFT (122) 에 제공된다.
일 실시형태에서, DSP (118) 에 의해 수행된 기능들은 AFT 또는 전력 제어기에 의해 수행될 수 있다. 예를 들어, 상태 천이가 상태 S1로부터 상태 S0으로인지 또는 상태 S0로부터 상태 S1으로인지에 기초하여 어느 천이 주파수 값들을 적용할 지를 DSP (118) 가 결정하는 것 대신에, 상태 천이가 상태 S1로부터 상태 S0으로인지 또는 상태 S0로부터 상태 S1으로인지에 기초하여 어느 천이 주파수 값들을 적용할 지를 AFT (120) 또는 AFT (122) 가 결정한다. 또 다른 예로서, DSP (118) 가 천이 전력값들을 전력 제어기에 제공하는 것 대신에, 전력 제어기는 상태가 천이중이라는 DSP (118) 로부터의 결정을 수신한다. 결정을 수신할 시에, 전력 제어기는 전력 제어기의 메모리 디바이스로부터 천이 전력값들을 리트리브하고, 천이 전력값들을 DAS (114) 에 제공한다. 또 다른 예로서, DSP (118) 가 천이 주파수 값들을 AFT에 제공하는 것 대신, AFT는 상태가 천이중이라는 DSP (118) 로부터의 결정을 수신한다. 결정을 수신할 시에, AFT는 AFT의 메모리 디바이스로부터 천이 주파수 값들을 리트리브하고, 천이 주파수 값들을 DAS (114) 에 제공한다.
일 실시형태에서, 발생기의 AFT 및/또는 전력 제어기는 발생기의 DSP 내에 포함된다. 예를 들어, AFT들 (120 및 122) 및 전력 제어기들 (140 및 142) 은 DSP (118) 내에 포함된다.
일 실시형태에서, 단일 제어기는 전력 제어기 (140) 및 AFT (120) 대신에 사용되고, 단일 제어기는 전력 제어기 (142) 및 AFT (122) 대신에 사용되고, 단일 제어기는 전력 제어기 (136) 및 AFT (108) 대신에 사용되며, 및/또는 단일 제어기는 전력 제어기 (138) 및 AFT (110) 대신에 사용된다.
부가적인 DAS가 DAS들 (114 및 116) 을 사용하는 것에 부가하여 사용되는 실시형태에서, z MHz 발생기는, z MHz 발생기가 DAS (114) 대신 z MHz DAS를 포함한다는 것을 제외하고 y MHz 발생기 (112) 와 유사하다. z MHz 발생기는, 임피던스 매칭 회로 (미도시) 및 송신 라인 (미도시) 을 통해 플라즈마 챔버 (124) 의 하부 전극 (130) 에 커플링된다. 또한, z MHz DAS는 TTL 신호 (106) 를 수신하기 위해 툴 UI (191) 와 커플링된다. z MHz 발생기는 2개의 전력 제어기들, 2개의 AFT들, DSP, ADC, 센서, 및 z MHz DAS를 포함한다. z MHz의 일 예는 27MHz이다. z MHz의 또 다른 예는 30MHz이다.
일 실시형태에서, 하나 이상의 천이 주파수들 FT_S1-S0, 하나 이상의 천이 주파수들 FT_S0-S1, 하나 이상의 천이 전력값들 PT_S1-S0, 하나 이상의 천이 전력값들 PT_S0-S1, 또는 이들의 조합이 파라미터 값들의 예들이다.
일 실시형태에서, DAS (116) 는 주 구동기 및 증폭기의 일 예이고, DSP (104) 는 주 DSP의 일 예이고, AFT (108) 는 제 1 주 AFT의 일 예이며, AFT (110) 는 제 2 주 AFT의 일 예이다. 또한, 일 실시형태에서, DSP (118) 는 보조 DSP의 일 예이고, AFT (120) 는 제 1 보조 AFT의 일 예이며, AFT (122) 는 제 2 보조 AFT의 일 예이다.
또한, 일 실시형태에서, 주파수 값 F11은 제 1 주 주파수 입력의 일 예이고, 주파수 값 F10은 제 2 주 주파수 입력의 일 예이고, 전력값 P11은 제 1 주 전력 입력의 일 예이며, 전력값 P10은 제 2 주 전력 입력의 일 예이다. 또한, 일 실시형태에서, 주파수 값 F10은 제 1 주 주파수 입력의 일 예이고, 주파수 값 F11은 제 2 주 주파수 입력의 일 예이고, 전력값 P10은 제 1 주 전력 입력의 일 예이며, 전력값 P11은 제 2 주 전력 입력의 일 예이다.
또한, 일 실시형태에서, 주파수 값 F21은 제 1 보조 주파수 입력의 일 예이고, 주파수 값 F20은 제 2 보조 주파수 입력의 일 예이고, 전력값 P21은 제 1 보조 전력 입력의 일 예이며, 전력값 P20은 제 2 보조 전력 입력의 일 예이다. 또한, 일 실시형태에서, 주파수 값 F20은 제 1 보조 주파수 입력의 일 예이고, 주파수 값 F21은 제 2 보조 주파수 입력의 일 예이고, 전력값 P20은 제 1 보조 전력 입력의 일 예이며, 전력값 P21은 제 2 보조 전력 입력의 일 예이다.
일 실시형태에서, 하나 이상의 주 제어기들은 x MHz 발생기 (102) 내의 제어기들이고, 하나 이상의 보조 제어기들은 y MHz 발생기 (112) 내의 제어기들이다. 일 실시형태에서, 주 RF 신호는 플라즈마 챔버 (124) 에 송신 라인 (152) 을 통해 전송될 x MHz 발생기 (102) 의 DAS (116) 에 의해 발생된 RF 신호이고, 보조 RF 신호는 플라즈마 챔버 (124) 로 송신 라인 (154) 을 통해 전송될 y MHz 발생기 (112) 의 DAS (114) 에 의해 발생된 신호이다.
일 실시형태에서, 제어기 또는 튜너 대신에, 프로세서에 의해 실행되는 제어 로직 블록, 예를 들어, 컴퓨터 프로그램이 사용된다. 예를 들어, 발생기의 각각의 AFT는 발생기의 프로세서에 의해 실행되는 로직 블록이다. 또 다른 예로서, 발생기의 각각의 전력 제어기는 발생기의 프로세서에 의해 실행되는 로직 블록이다. 컴퓨터 프로그램은 비-일시적인 컴퓨터-판독가능 매치로 구현되며, 그 예들이 아래에 제공된다.
도 3은 에지 램핑이 수행될 수도 있는 시간 기간을 도시하는 그래프들 (201 및 203) 의 실시형태들을 도시한다. 그래프 (201) 는 신호들의 전압 대 시간을 도시한다. 도표 (202) 는 시간에 관한 챔버 (124) 내의 플라즈마의 전압에서의 변화를 도시한다. 도표 (204) 는 시간에 관한 60MHz RF 신호의 전압에서의 변화를 도시하고, 도표 (206) 는 시간에 관한 2MHz RF 신호의 전압에서의 변화를 도시한다. 2MHz RF 신호가 높은 전력값으로부터 낮은 전력값으로 천이하는 경우 부분 (206) 의 발생 동안, 에지 램핑이 수행될 수도 있다. 높은 전력값은 낮은 전력값보다 높다.
그래프 (203) 는 부분 (206) 의 줌인 (zoom in) 이다. 그래프 (203) 에서, 도표 (208) 는 시간에 관한 챔버 (124) 내의 플라즈마의 전압에서의 변화를 도시한다. 도표 (212) 는 시간에 관한 60MHz RF 신호의 전압에서의 변화를 도시하고, 도표 (210) 는 시간에 관한 2MHz RF 신호의 전압에서의 변화를 도시한다. 60MHz 신호의 에지 램핑은 그래프 (203) 의 부분 (214) 내에 도시된 시간 기간 동안 수행될 수도 있다. 결국, 에지 램핑이 수행되는 경우, 2MHz 신호는 낮은 전력값을 달성하고, 60MHz 신호는 그의 높은 전력값과 그의 낮은 전력값 사이의 천이 상태에 여전히 있다.
도 4는 60MHz 신호의 에지 램핑을 도시하는 그래프들 (220 및 222) 의 실시형태들을 도시한다. 그래프 (220) 는 시간 대 신호들의 전압을 도시하고, 그래프 (222) 는 고속 푸리에 변환 (FFT) 주파수 대 RF 신호의 FFT 크기를 도시한다. 그래프 (220) 의 부분 (224) 에서, 2MHz 및 60MHz 신호들 양자가 온이다. 그래프 (226) 의 부분 (226) 에서, 2MHz 신호는 턴 오프되고, 60MHz 신호는 천이중이다. 에지 램핑은, 2MHz 신호에 의해 취해진 것보다 상태 S1로부터 상태 S0로 천이하기 위해 더 많은 시간을 취하도록 60MHz 신호를 제공하는데 사용된다.
도표 (228) 는 2MHz 신호의 FFT 크기에서의 변화를 도시하고, 도표 (230) 는 60MHz 신호의 FFT 크기에서의 변화를 도시한다. 2MHz 신호의 피크 (308) 와 60MHz 신호의 피크 (306) 사이의 차이에 의해 도시된 바와 같은 천이 기간 동안, 60MHz 신호의 FFT 크기가 에지 램핑을 수행하기 위해 증가된다.
도 5는, 에지 램핑이 적용되지 않는 경우 플라즈마가 안정화되는 시간의 양과, 에지 램핑이 적용되는 경우 플라즈마가 안정화되는 시간의 양 사이의 차이를 도시하는 그래프들 (290 및 292) 을 도시한다. 각각의 그래프 (290 및 292) 는 시간 대 오실로스코프에 의해 측정된 바와 같은 전압을 도시한다. 플롯 (296) 은 플라즈마의 전압을 도시하고, 플롯 (298) 은 2MHz 신호의 전압을 도시하며, 플롯 (302) 은 60MHz 신호의 전압을 도시한다. 또한, 플롯 (304) 은 플라즈마의 전압을 도시하고, 플롯 (306) 은 2MHz 신호의 전압을 도시하며, 플롯 (308) 은 60MHz 신호의 전압을 도시한다. 부분들 (294 및 303) 에 의해 도시된 바와 같이, 에지 램핑이 적용될 경우 플라즈마가 안정화되기 위해 취해진 것보다 에지 램핑이 적용되지 않는 경우 플라즈마가 안정화되기 위해 더 많은 시간이 취해진다. 에지 램핑은 그래프 (292) 에서 적용되고, 그래프 (290) 에서 적용되지 않는다.
도 6은, 에지 램핑이 수행되지 않는 경우의 순방향 전력과 에지 램핑이 수행되는 경우의 순방향 전력 사이의 차이를 도시하는 그래프들 (254 및 256) 을 도시한다. 각각의 그래프 (254 및 256) 는 시간 대 60MHz 신호의 순방향 전력을 도시한다. 그래프 (254) 의 부분 (258) 에 도시된 바와 같이, 그래프 (256) 의 부분 (260) 에 도시된 것보다 더 많은 양의 링잉이 존재한다. 그래프 (254) 는 에지 램핑의 수행없이 발생되고, 그래프 (256) 는 에지 램핑의 수행으로 발생된다.
도 7은, 광 방출 분석기 (OES) 에 의해 감지된 신호에 대한 에지 램핑의 효과를 도시하는 그래프 (250) 를 도시한다. 그래프 (250) 는 시간 대 임의의 유닛들, 예를 들어, 플라즈마의 전력, 플라즈마의 전압 등을 도시한다. 도시된 바와 같이, 에지 램핑없이, 임의의 유닛들은 값 V1으로부터 값 V2로 샷하고, 에지 램핑으로, 임의의 유닛들은 값 V1으로부터, V2보다 작은 V3로 샷한다. 따라서, 에지 램핑 결과들은, 에지 램핑이 수행되지 않는 경우보다 플라즈마에서 더 적은 장애이다.
도 8은, 에지 램핑이 수행되지 않는 경우의 순방향 전력과 에지 램핑이 수행되는 경우의 순방향 전력 사이의 차이를 도시하는 그래프 (272) 를 도시하고, 도 8은, 에지 램핑이 수행되는 경우의 플라즈마 임피던스와 에지 램핑이 수행되지 않는 경우의 플라즈마 임피던스 사이의 차이를 도시하는 또 다른 그래프 (274) 를 도시한다. 그래프 (272) 는 시간 대 60MHz 신호의 순방향 전력을 도시한다. 그래프 (274) 는 시간 대 임의의 유닛들, 예를 들어, 플라즈마의 전력, 플라즈마의 전압 등을 도시한다. 에지 램핑이 수행되지 않는 경우, 60MHz 신호는 레벨 L1 내지 레벨 L2 미만에서 샷하고, 에지 램핑이 수행되는 경우, 60MHz 신호는 레벨 L1으로 샷한다. 또한, 에지 램핑이 수행되지 않는 경우, 임의의 유닛들은 레벨 L3로부터 레벨 L5로 샷하고, 에지 램핑이 수행되는 경우, 임의의 유닛들은 레벨 L3로부터 레벨 L5보다 작은 레벨 L4로 샷하며, 플라즈마에서 더 적은 장애를 초래한다.
도 9는, 에지 램핑이 수행되는 경우의 에너지의 표준 편차와 에지 램핑이 수행되지 않는 경우의 에너지의 표준 편차 사이의 차이를 도시하기 위해 시간 대 플라즈마 줄 (Joules) 로 에너지를 도시하는 그래프 (252) 를 도시한다. 도시된 바와 같이, 에지 램핑이 수행되는 경우의 에너지에서의 표준 편차 SD1은, 플라즈마가 안정화되지 않고 에지 램핑이 수행되지 않는 경우의 에너지에서의 표준 편차 SD2보다 작고, 플라즈마가 안정화되고 에지 램핑이 수행되지 않는 경우의 에너지에서의 표준 편차 SD3보다 작다.
도 10은, 에지 램핑의 일 실시형태를 도시하는 그래프들 (381 및 383) 을 도시한다. 그래프 (381) 는 초 단위의 시간 대 와트 단위의 순방향 전력을 도시한다. 그래프 (383) 는 초 단위의 시간 대 TTL 신호 (106) 의 상태를 도시한다. 도 10에 도시된 바와 같이, TTL 신호 (106) 가 상태 S1으로부터 상태 S0로 천이하는 경우, A MHz 신호, 예를 들어, 2MHz 신호, 27MHz 신호 등은 높은 전력 입력 P1으로부터 낮은 전력 입력 P2로 천이한다. 도시된 바와 같이, B MHz 신호, 예를 들어, 27MHz 신호, 60MHz 신호 등은, P1의 높은 전력 입력으로부터 P2의 낮은 전력 입력으로의 A MHz 신호의 천이의 레이트와 동일한 레이트로 천이하지 않는다. B MHz 신호는 P1의 높은 전력 입력으로부터 P2의 낮은 전력 입력으로의 A MHz 신호의 천이의 레이트보다 느린 레이트로 천이한다. 예를 들어, B MHz 신호는, P1의 높은 전력 입력으로부터 P2의 낮은 전력 입력으로의 A MHz 신호의 천이 동안 무한하지 않는 기울기 (slope) 를 갖는다. 또 다른 예로서, B MHz 신호는, P1의 높은 전력 입력으로부터 P2의 낮은 전력 입력으로의 A MHz 신호의 천이 동안 음의 기울기를 갖는다. 일 실시형태에서, A MHz 신호는, 전력 입력 P1으로부터 전력 입력 P2로의 천이 동안 무한한 기울기를 갖는다. B MHz 신호의 기울기의 예들은, P1의 높은 전력 입력으로부터 P2의 낮은 전력 입력으로의 A MHz 신호의 천이 동안 1의 기울기, 곡선형 기울기, 변화하는 기울기 등을 포함한다. B MHz 신호는 높은 전력 입력 P3로부터 낮은 전력 입력 P2로 천이한다. B MHz 신호의 천이 동안, B MHz 신호는 에지 램프 ER1을 갖는다. 에지 램프 ER1은, 높은 전력 입력 P3로부터 낮은 전력 입력 P2로의 천이 동안 B MHz 신호의 전력 및/또는 주파수를 제어함으로써 발생된다.
유사하게, TTL 신호 (106) 가 상태 S0로부터 상태 S1으로 천이할 경우, A MHz 신호는 낮은 전력 입력 P2로부터 높은 전력 입력 P1으로 천이한다. 도시된 바와 같이, B MHz 신호는, P2의 낮은 전력 입력으로부터 P1의 높은 전력 입력으로의 A MHz 신호의 천이의 레이트와 동일한 레이트로 천이하지 않는다. B MHz 신호는, P2의 낮은 전력 입력으로부터 P1의 높은 전력 입력으로의 A MHz 신호의 천이의 레이트보다 느린 레이트로 천이한다. 예를 들어, B MHz 신호는, P2의 낮은 전력 입력으로부터 P1의 높은 전력 입력으로의 A MHz 신호의 천이 동안, 무한하지 않는 기울기를 갖는다. 또 다른 예로서, B MHz 신호는, P2의 낮은 전력 입력으로부터 P1의 높은 전력 입력으로의 A MHz 신호의 천이 동안 양의 기울기를 갖는다. 일 실시형태에서, A MHz 신호는 전력 입력 P2로부터 전력 입력 P1으로의 천이 동안 무한한 기울기를 갖는다. B MHz 신호의 기울기의 예들은, P2의 낮은 전력 입력으로부터 P1의 높은 전력 입력으로의 A MHz 신호의 천이 동안, 1의 기울기, 곡선형 기울기, 변화중인 기울기 등을 포함한다. B MHz 신호는 낮은 전력 입력 P2로부터 P3의 높은 전력 입력으로 천이한다. B MHz 신호의 천이 동안, B MHz 신호는 에지 램프 ER2를 갖는다. 에지 램프 ER2는, 낮은 전력 입력 P2로부터 높은 전력 입력 P3로의 천이 동안 B MHz 신호의 전력 및/또는 주파수를 제어함으로써 발생된다.
일 실시형태에서, B MHz 신호는 전력 입력 P3로부터 낮은 전력 입력 P2 이외의 낮은 전력 입력으로 천이한다. 일 실시형태에서, B MHz 신호는, A MHz 신호가 P1의 높은 전력 입력으로부터 P2의 낮은 전력 입력으로 천이하는 경우, 전력 입력 P3로부터 전력 입력 P3보다 더 높은 높은 전력 입력으로 천이하고, B MHz 신호는, A MHz 신호가 P2의 낮은 전력 입력으로부터 P1의 높은 전력 입력으로 천이하는 경우, 높은 전력 입력으로부터 전력 입력 P3로 천이한다.
A MHz 신호가 전력 입력 P1에 있는 경우, A MHz 신호가 일 상태에 있고, A MHz 신호가 전력 입력 P2에 있는 경우, A MHz 신호가 또 다른 상태에 있음을 유의해야 한다. 유사하게, B MHz 신호가 전력 입력 P3에 있는 경우, B MHz 신호는 일 상태에 있고, B MHz 신호가 전력 입력 P2에 있는 경우, B MHz 신호는 또 다른 상태에 있다.
도 11은, RF 신호를 에지 램핑하기 위한 방법 (350) 의 일 실시형태의 흐름도이다. 방법 (300) 은 DSP (160) (도 1) 또는 DSP (118) (도 2) 에 의해 실행된다. 일 실시형태에서, 방법 (350) 은 AFT 또는 전력 제어기, 또는 이들의 조합에 의해 실행된다. 예를 들어, 방법 (350) 은 제어기 (168, 170), AFT (120), AFT (122), 전력 제어기 (140), 전력 제어기 (142), 또는 이들의 조합들 (도 1 및 도 2) 에 의해 실행된다.
동작 (352) 에서, 상태 천이가 발생하기 시작하는지가 결정된다. 예를 들어, TTL 신호 (106) 가 상태 S1으로부터 상태 S0로 또는 상태 S0로부터 상태 S1으로 천이중인지가 결정된다. 상태 천이가 발생하기 시작되지 않는다는 결정에 응답하여, 방법 (350) 이 종료한다.
한편, 상태 천이가 발생하기 시작한다는 결정에 응답하여, 동작 (354) 에서, 상태 천이를 달성하기 위해 RF DAS에 적용될 주파수 입력이 결정된다. 예를 들어, 상태 천이가 TTL 신호 (106) 의 상태 S1으로부터 상태 S0로 발생하기 시작한다고 결정할 시에, 하나 이상의 주파수 값들 FT_S1-S0가 메모리 디바이스로부터 획득된다. 또 다른 예로서, 상태 천이가 상태 S0로부터 상태 S1으로 발생하기 시작한다고 결정할 시에, 하나 이상의 주파수 값들 FT_S0-S1이 메모리 디바이스로부터 획득된다.
동작 (356) 에서, 주파수 입력에 적용할 시간 기간이 결정된다. 예를 들어, 시간 기간은, 높은 전력값으로부터 낮은 전력값으로 천이하도록 x MHz 발생기의 DAS (116) 에 의해 발생된 RF 신호에 대한 시간 기간보다 크고, TTL 신호 (106) 의 듀티 사이클, 예를 들어, 50% 등보다 작다. 이러한 예에서, TTL 신호 (106) 의 듀티 사이클이 70% 이면, 주파수 입력이 적용되는 시간 기간은, 높은 전력값으로부터 낮은 전력값으로 천이하도록 DAS (116) 에 의해 발생된 RF 신호에 대한 시간 기간보다 크며, 30%의 나머지 듀티 사이클보다 작다. 또 다른 예로서, 주파수 입력이 적용된 시간 기간은, 높은 전력값으로부터 낮은 전력값으로 천이하도록 DAS (116) 에 의해 발생된 RF 신호에 대한 시간 기간보다 크고, 상태 S0 동안 플라즈마 챔버 (124) 내의 플라즈마의 플라즈마 임피던스가 안정화되기 위한 시간의 양보다 작다. 또 다른 예로서, 주파수 입력이 적용되는 시간 기간은, 높은 전력값으로부터 낮은 전력값으로 천이하도록 DAS (116) 에 의해 발생된 RF 신호에 대한 시간 기간보다 크며, 임피던스 매칭 회로 (150) 가 하나 또는 모든 부분들, 예를 들어, x MHz 발생기 (102) 의 DAS (114), AFT (108), AFT (110), 전력 제어기 (136), 전력 제어기 (138) 등의 임피던스를 플라즈마 챔버 (124) 의 하나 이상의 부분들의 임피던스와 매칭하기 위한 시간의 양보다 작다.
또한, 동작 (358) 에서, 상태 천이를 달성하기 위해 RF DAS에 적용할 전력 입력이 결정된다. 예를 들어, 상태 천이가 TTL 신호 (106) 의 상태 S1으로부터 상태 S0로 발생하기를 시작한다고 결정할 시에, 하나 이상의 전력값들 PT_S1-S0가 메모리 디바이스로부터 획득된다. 또 다른 예로서, 상태 천이가 상태 S0로부터 상태 S1으로 발생하기 시작한다고 결정할 시에, 하나 이상의 전력값들 PT_S0-S1이 메모리 디바이스로부터 획득된다.
동작 (360) 에서, 전력 입력에 적용할 시간 기간이 결정된다. 예를 들어, 시간 기간은, 높은 전력값으로부터 낮은 전력값으로 천이하도록 DAS (116) 에 의해 발생된 RF 신호에 대한 시간 기간보다 크고, TTL 신호 (106) 의 듀티 사이클, 예를 들어, 50% 등보다 작다. 이러한 예에서, TTL 신호 (106) 의 듀티 사이클이 60% 이면, 전력 입력이 적용되는 시간 기간은, 높은 전력값으로부터 낮은 전력값으로 천이하도록 DAS (116) 에 의해 발생된 RF 신호에 대한 시간 기간보다 크며, 40%의 나머지 듀티 사이클보다 작다. 또 다른 예로서, 전력 입력이 적용된 시간 기간은, 높은 전력값으로부터 낮은 전력값으로 천이하도록 DAS (116) 에 의해 발생된 RF 신호에 대한 시간 기간보다 크고, 상태 S0 동안 플라즈마 챔버 (124) 내의 플라즈마의 플라즈마 임피던스가 안정화되기 위한 시간의 양보다 작다. 또 다른 예로서, 전력 입력이 적용되는 시간 기간은, 높은 전력값으로부터 낮은 전력값으로 천이하도록 DAS (116) 에 의해 발생된 RF 신호에 대한 시간 기간보다 크며, 임피던스 매칭 회로 (150) 가 하나 이상의 부분들, 예를 들어, y MHz 발생기 (112) 의 DAS (114), AFT (120), AFT (122), 전력 제어기 (140), 전력 제어기 (142) 등의 임피던스를 플라즈마 챔버 (124) 의 하나 이상의 부분들의 임피던스와 매칭하기 위한 시간의 양보다 작다.
일 실시형태에서, 주파수 입력 및 전력 입력 양자는 시간 기간 동안 동시에 또는 실질적으로 동시에 적용된다.
상술된 실시형태들이 2MHz RF 신호 및/또는 60MHz 신호 및/또는 27MHz 신호를 하부 전극 (130) 에 제공하고 상부 전극 (126) 을 접지시키는 것에 관한 것이지만, 수 개의 실시형태들에서, 2MHz, 60MHz, 및 27MHz 신호들이 상부 전극 (126) 에 제공되면서 하부 전극 (130) 이 접지됨을 유의해야 한다.
또한, 일 실시형태에서, 입력, 예를 들어, 주파수 입력, 전력 입력 등 또는 레벨, 예를 들어, 전력 레벨, 주파수 레벨이 또 다른 값의 임계치 내에 있는 하나 이상의 값들을 포함함을 유의한다. 예를 들어, 전력 레벨은 전력 레벨 P21, 및 전력값 P21의 임계치 내에 있는 다른 전력값들을 포함한다. 이러한 예에서, 전력 레벨은 또 다른 상태에 대한 임의의 전력값들, 예를 들어, 상태 S0에 대한 전력값 P20을 배제한다. 또 다른 예로서, 주파수 입력은 주파수 값 F11, 및 주파수 값 F11의 임계치 내에 있는 다른 주파수 값들을 포함한다. 이러한 예에서, 주파수 입력은 또 다른 상태에 대한 임의의 주파수 값들, 예를 들어, 상태 S0에 대한 주파수 값 F10을 배제한다.
상술된 실시형태들이 병렬의 플레이트 (plate) 플라즈마 챔버를 참조하여 설명되었지만, 일 실시형태에서, 상술된 실시형태들은 다른 타입들의 플라즈마 챔버들, 예를 들어, 용량성 커플링된 플라즈마 (ICP) 반응기를 포함하는 플라즈마 챔버, 전자-사이클로트론 공진 (ECR) 반응기를 포함하는 플라즈마 챔버 등에 적용됨을 유의한다. 예를 들어, 2MHz 및 60MHz 전력 공급부들은 ICP 플라즈마 챔버 내의 인덕터에 커플링된다.
또한, 일 실시형태에서, DAS 및 구동기 및 증폭기라는 용어들은 여기서 상호교환가능하게 사용된다.
여기에 설명된 실시형태들은, 핸드-헬드 디바이스들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그래밍가능 소비자 전자기기, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 또한, 실시형태들은, 태스크들이 네트워크를 통해 링크된 원격 프로세싱 디바이스들에 의해 수행되는 분산된 컴퓨팅 환경들에서 실시될 수 있다.
상기 실시형태들을 유념하여, 실시형태들이 컴퓨터 시스템들에 저장된 데이터를 수반하여 다양한 컴퓨터-구현된 동작들을 이용할 수 있음을 이해해야 한다. 이들 동작들은 물리 양들의 물리적 조작을 요구하는 동작들이다. 실시형태들의 일부를 형성하는 여기에 설명된 동작들 중 임의의 동작은 유용한 머신 동작들이다. 실시형태들은 또한, 이들 동작들을 수행하기 위한 디바이스 또는 장치에 관한 것이다. 장치는 특수 목적 컴퓨터에 대해 특수하게 구성될 수도 있다. 특수 목적 컴퓨터로서 정의될 경우, 특수 목적을 위해 여전히 동작할 수 있으면서, 컴퓨터는, 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 또한 수행할 수 있다. 대안적으로, 동작들은, 컴퓨터 메모리, 캐시에 저장되거나 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화 또는 구성되는 범용 컴퓨터에 의해 프로세싱될 수도 있다. 데이터가 네트워크를 통해 획득될 경우, 데이터는 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.
하나 이상의 실시형태들은, 컴퓨터 판독가능 매체 상의 컴퓨터 판독가능 코드로서 또한 제조될 수 있다. 컴퓨터 판독가능 매체는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이며, 그 후, 그 데이터는 컴퓨터 시스템에 의해 판독될 수 있다. 컴퓨터 판독가능 매체의 예들은 하드 드라이브들, 네트워크 부착된 저장부 (NAS), ROM, RAM, 컴팩트 디스크-ROM들 (CD-ROM들), CD-레코딩가능물들 (CD-R들), CD-재기입가능물들 (CD-RW들), 자성 테이프들 및 다른 광학 및 비-광학 데이터 저장 디바이스들을 포함한다. 컴퓨터 판독가능 매체는 네트워크-커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터 판독가능 유형의 (tangible) 매체를 포함할 수 있어서, 컴퓨터 판독가능 코드가 분산된 방식으로 저장 및 실행되게 한다.
방법 동작들이 특정한 순서로 설명되었지만, 오버레이 (overlay) 동작들의 프로세싱이 원하는 순서로 수행되는 한, 다른 하우스키핑 (housekeeping) 동작들이 동작들 사이에서 수행될 수도 있거나, 동작들이 조정될 수도 있어서, 그 동작들이 약간 상이한 시간들에서 발생하거나, 프로세싱과 연관된 다양한 간격들로 프로세싱 동작들의 발생을 허용하는 시스템에서 분산될 수도 있게 한다.
임의의 실시형태로부터의 하나 이상의 특성들은, 본 발명에 설명된 다양한 실시형태들에서 설명된 범위를 벗어나지 않으면서 임의의 다른 실시형태의 하나 이상의 특성들과 결합될 수도 있다.
전술한 실시형태들이 이해의 명확화의 목적들을 위해 몇몇 세부사항으로 설명되었지만, 특정한 변화들 및 변형들이 첨부된 청구항들의 범위 내에서 실시될 수도 있음이 명백할 것이다. 따라서, 본 발명의 실시형태들은 제한이 아닌 예시적인 것으로 고려될 것이며, 실시형태들은 여기에 주어진 세부사항들로 제한되지 않고, 첨부된 청구항들의 범위 및 등가물들 내에서 변형될 수도 있다.

Claims (23)

  1. 시스템으로서,
    제 1 RF 신호를 발생시키기 위한 기본 (base) RF 발생기로서, 상기 제 1 RF 신호는 일 상태로부터 다른 상태로 천이하고, 상기 제 1 RF 신호의 일 상태로부터 다른 상태로의 상기 천이는 플라즈마 임피던스에서의 변화를 초래하는, 상기 기본 RF 발생기;
    제 2 RF 신호를 발생시키기 위한 보조 (secondary) RF 발생기로서, 상기 제 2 RF 신호는 상기 플라즈마 임피던스에서의 상기 변화를 안정화시키기 위해 일 상태로부터 다른 상태로 천이하는, 상기 보조 RF 발생기;
    상기 보조 RF 발생기에 커플링된 제어기로서, 상기 제어기는, 상기 제 2 RF 신호가 일 상태로부터 다른 상태로 천이할 경우, 상기 제 2 RF 신호의 에지 램핑 (edge ramping) 을 수행하도록 파라미터 값들을 상기 보조 RF 발생기에 제공하는, 상기 제어기를 포함하는, 시스템.
  2. 제 1 항에 있어서,
    상기 에지 램핑은 양 또는 음의 기울기 (slope) 를 갖는, 시스템.
  3. 제 1 항에 있어서,
    상기 파라미터 값들은 주파수 값들, 전력값들, 또는 이들의 조합을 포함하는, 시스템.
  4. 플라즈마 임피던스에 대한 무선 주파수 (RF) 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템으로서,
    주 (primary) 발생기; 및
    보조 발생기를 포함하며,
    상기 주 발생기는,
    주 RF 신호를 발생시키기 위한 주 구동기 및 증폭기;
    디지털 펄싱된 신호의 상태들을 식별하기 위한 주 디지털 신호 프로세서 (DSP; digital signal processor) 로서, 상기 상태들은 제 1 상태 및 제 2 상태를 포함하고, 상기 주 DSP는, 상기 디지털 펄싱된 신호가 상기 제 1 상태에 있는 경우 제 1 주 주파수 입력을 식별하고, 상기 디지털 펄싱된 신호가 상기 제 2 상태에 있는 경우 제 2 주 주파수 입력을 식별하는, 상기 주 DSP;
    상기 주 DSP 및 상기 주 구동기 및 증폭기에 커플링된 제 1 주 자동 주파수 튜너 (AFT; auto frequency tuner) 로서, 상기 제 1 주 AFT는 상기 주 DSP로부터 상기 제 1 주 주파수 입력을 수신하고, 상기 주 RF 신호를 튜닝하여, 상기 제 1 주 주파수 입력에 대응하는 플라즈마 임피던스를 달성하는, 상기 제 1 주 AFT;
    상기 주 DSP 및 상기 주 구동기 및 증폭기에 커플링된 제 2 주 AFT로서, 상기 제 2 주 AFT는 상기 주 DSP로부터 상기 제 2 주 주파수 입력을 수신하고, 상기 주 RF 신호를 튜닝하여, 상기 제 2 주 주파수 입력에 대응하는 플라즈마 임피던스를 달성하며, 상기 주 RF 신호는 상기 제 1 주 주파수 입력으로부터 상기 제 2 주 주파수 입력으로의 천이의 주 레이트를 갖는, 상기 제 2 주 AFT를 포함하고,
    상기 보조 발생기는,
    보조 RF 신호를 발생시키기 위한 보조 구동기 및 증폭기;
    상기 디지털 펄싱된 신호의 상태들을 식별하기 위한 보조 디지털 신호 프로세서 (DSP) 로서, 상기 보조 DSP는, 상기 디지털 펄싱된 신호가 상기 제 1 상태에 있는 경우 제 1 보조 주파수 입력을 식별하고, 상기 디지털 펄싱된 신호가 상기 제 2 상태에 있는 경우 제 2 보조 주파수 입력을 식별하는, 상기 보조 DSP;
    상기 보조 DSP 및 상기 보조 구동기 및 증폭기에 커플링된 제 1 보조 AFT로서, 상기 제 1 보조 AFT는 상기 보조 DSP로부터 상기 제 1 보조 주파수 입력을 수신하고, 상기 보조 RF 신호를 튜닝하여, 상기 제 1 보조 주파수 입력에 대응하는 플라즈마 임피던스를 달성하는, 상기 제 1 보조 AFT;
    상기 보조 DSP 및 상기 보조 구동기 및 증폭기에 커플링된 제 2 보조 AFT로서, 상기 제 2 보조 AFT는 상기 보조 DSP로부터 상기 제 2 보조 주파수 입력을 수신하고, 상기 보조 RF 신호를 튜닝하여, 상기 제 2 보조 주파수 입력에 대응하는 플라즈마 임피던스를 달성하고, 상기 보조 DSP는 상기 제 1 보조 주파수 입력으로부터 상기 제 2 보조 주파수 입력으로의 천이의 보조 레이트를 결정하도록 구성되며, 상기 천이의 보조 레이트는 상기 천이의 주 레이트와는 상이한, 상기 제 2 보조 AFT를 포함하는, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  5. 제 4 항에 있어서,
    상기 천이의 보조 레이트는 상기 천이의 주 레이트보다 작은, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  6. 제 4 항에 있어서,
    상기 제 1 보조 주파수 입력으로부터 상기 제 2 보조 주파수 입력으로 천이하도록 취해진 시간의 제 1 양은, 상기 제 1 주 주파수 입력으로부터 상기 제 2 주 주파수 입력으로 천이하도록 취해진 시간의 제 2 양보다 큰, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  7. 제 6 항에 있어서,
    상기 시간의 제 1 양은 플라즈마 임피던스가 안정화되기 위한 시간의 제 3 양보다 작은, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  8. 제 6 항에 있어서,
    상기 시간의 제 1 양은, 임피던스 매칭 회로가 상기 보조 RF 발생기의 하나 이상의 부분들의 임피던스를 플라즈마 챔버의 하나 이상의 부분들의 임피던스와 매칭하기 위한 시간의 제 3 양보다 작은, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  9. 제 4 항에 있어서,
    상기 제 1 상태는 높은 상태이고, 상기 제 2 상태는 낮은 상태인, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  10. 제 4 항에 있어서,
    상기 제 1 상태는 온 (on) 상태이고, 상기 제 2 상태는 오프 (off) 상태인, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  11. 플라즈마 임피던스에 대한 무선 주파수 (RF) 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템으로서,
    주 발생기; 및
    보조 발생기를 포함하며,
    상기 주 발생기는,
    주 RF 신호를 발생시키기 위한 주 구동기 및 증폭기;
    상기 주 구동기 및 증폭기에 커플링된 하나 이상의 주 제어기들을 포함하고,
    상기 하나 이상의 주 제어기들은,
    제 1 상태 및 제 2 상태를 포함하는, 디지털 펄싱된 신호의 상태들을 식별하고,
    상기 디지털 펄싱된 신호가 상기 제 1 상태에 있는 경우 제 1 주 전력 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 상기 주 RF 신호를 튜닝하고; 그리고,
    상기 디지털 펄싱된 신호가 상기 제 2 상태에 있는 경우 제 2 주 전력 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 상기 주 RF 신호를 튜닝하도록 구성되고,
    상기 주 RF 신호는 상기 제 1 주 전력 입력으로부터 상기 제 2 주 전력 입력으로의 천이의 주 레이트를 가지며,
    상기 보조 발생기는,
    보조 RF 신호를 발생시키기 위한 보조 구동기 및 증폭기;
    상기 보조 구동기 및 증폭기에 커플링된 하나 이상의 보조 제어기들을 포함하고,
    상기 하나 이상의 보조 제어기들은,
    상기 디지털 펄싱된 신호가 상기 제 1 상태에 있는 경우 제 1 보조 전력 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 상기 보조 RF 신호를 튜닝하고,
    상기 디지털 펄싱된 신호가 상기 제 2 상태에 있는 경우 제 2 보조 전력 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 상기 보조 RF 신호를 튜닝하며; 그리고,
    상기 제 1 보조 전력 입력으로부터 상기 제 2 보조 전력 입력으로의 천이의 보조 레이트를 결정하도록 구성되고,
    상기 천이의 보조 레이트는 상기 천이의 주 레이트와는 상이한, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  12. 제 11 항에 있어서,
    상기 천이의 보조 레이트는 상기 천이의 주 레이트보다 작은, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  13. 제 11 항에 있어서,
    상기 제 1 보조 전력 입력으로부터 상기 제 2 보조 전력 입력으로 천이하도록 취해진 시간의 제 1 양은, 상기 제 1 주 전력 입력으로부터 상기 제 2 주 전력 입력으로 천이하도록 취해진 시간의 제 2 양보다 큰, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  14. 제 13 항에 있어서,
    상기 시간의 제 1 양은, 플라즈마 임피던스가 상기 제 2 상태로 안정화되기 위한 시간의 제 3 양보다 작은, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  15. 제 13 항에 있어서,
    상기 시간의 제 1 양은, 임피던스 매칭 회로가 플라즈마 챔버의 하나 이상의 부분들의 임피던스와 상기 보조 발생기의 하나 이상의 부분들의 임피던스를 매칭하기 위한 시간의 제 3 양보다 작은, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  16. 제 11 항에 있어서,
    상기 제 1 상태는 높은 상태이고, 상기 제 2 상태는 낮은 상태인, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  17. 제 11 항에 있어서,
    상기 제 1 상태는 온 상태이고, 상기 제 2 상태는 오프 상태인, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 시스템.
  18. 플라즈마 임피던스에 대한 무선 주파수 (RF) 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 방법으로서,
    디지털 펄싱된 신호의 상태들을 식별하는 단계로서, 상기 상태들은 제 1 상태 및 제 2 상태를 포함하는, 상기 상태들을 식별하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 1 상태에 있는 경우 제 1 주 주파수 입력을 식별하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 2 상태에 있는 경우 제 2 주 주파수 입력을 식별하는 상태;
    상기 디지털 펄싱된 신호가 상기 제 1 상태에 있는 경우 상기 제 1 주 주파수에 대응하는 플라즈마 임피던스를 달성하기 위해 주 RF 신호를 튜닝하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 2 상태에 있는 경우 상기 제 2 주 주파수 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 상기 주 RF 신호를 튜닝하는 단계로서, 상기 주 RF 신호는 상기 제 1 주 주파수 입력으로부터 상기 제 2 주 주파수 입력으로의 천이의 주 레이트를 갖는, 상기 상기 제 2 주 주파수 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 주 RF 신호를 튜닝하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 1 상태에 있는 경우 제 1 보조 주파수 입력을 식별하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 2 상태에 있는 경우 제 2 보조 주파수 입력을 식별하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 1 상태에 있는 경우 상기 제 1 보조 주파수 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 보조 RF 신호를 튜닝하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 2 상태에 있는 경우 상기 제 2 보조 주파수 입력에 대응하는 플라즈마 임피던스를 달성하기 위해 상기 보조 RF 신호를 튜닝하는 단계;
    상기 제 1 보조 주파수 입력으로부터 상기 제 2 보조 주파수 입력으로의 천이의 보조 레이트를 결정하는 단계로서, 상기 천이의 보조 레이트는 상기 천이의 1 차 레이트와는 상이한, 상기 천이의 보조 레이트를 결정하는 단계를 포함하는, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 방법.
  19. 제 18 항에 있어서,
    상기 천이의 보조 레이트는 상기 천이의 주 레이트보다 작은, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 방법.
  20. 제 18 항에 있어서,
    상기 제 1 보조 주파수 입력으로부터 상기 제 2 보조 주파수 입력으로 천이하도록 취해진 시간의 제 1 양은, 상기 제 1 주 주파수 입력으로부터 상기 제 2 주 주파수 입력으로 천이하도록 취해진 시간의 제 2 양보다 큰, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 방법.
  21. 제 20 항에 있어서,
    상기 시간의 제 1 양은, 플라즈마 임피던스가 상기 제 2 상태에서 안정화되기 위한 시간의 제 3 양보다 작은, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 방법.
  22. 제 20 항에 있어서,
    상기 시간의 제 1 양은, 보조 발생기의 하나 이상의 부분들의 임피던스를 플라즈마 챔버의 하나 이상의 부분들의 임피던스와 매칭시키기 위한 시간의 제 3 양보다 작은, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 방법.
  23. 제 20 항에 있어서,
    상기 제 1 상태는 높은 상태이고, 상기 제 2 상태는 낮은 상태인, RF 신호의 전력 레벨에서의 변화의 효과를 감소시키기 위한 방법.
KR1020130110995A 2012-09-14 2013-09-16 에지 램핑 KR102165744B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261701547P 2012-09-14 2012-09-14
US61/701,547 2012-09-14
US13/659,102 2012-10-24
US13/659,102 US9408288B2 (en) 2012-09-14 2012-10-24 Edge ramping

Publications (2)

Publication Number Publication Date
KR20140035858A true KR20140035858A (ko) 2014-03-24
KR102165744B1 KR102165744B1 (ko) 2020-10-15

Family

ID=50273327

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130110995A KR102165744B1 (ko) 2012-09-14 2013-09-16 에지 램핑

Country Status (6)

Country Link
US (3) US9408288B2 (ko)
JP (1) JP6526377B2 (ko)
KR (1) KR102165744B1 (ko)
CN (2) CN106937476B (ko)
SG (1) SG2013069448A (ko)
TW (2) TWI613697B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180036531A (ko) * 2016-09-30 2018-04-09 램 리써치 코포레이션 일 상태에서의 주파수 및 매칭 튜닝과 다른 상태에서의 주파수 튜닝
KR20180064280A (ko) * 2016-12-05 2018-06-14 램 리써치 코포레이션 다중 제어 모드

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9613784B2 (en) 2008-07-17 2017-04-04 Mks Instruments, Inc. Sputtering system and method including an arc detection
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US10325759B2 (en) * 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
CN103730316B (zh) * 2012-10-16 2016-04-06 中微半导体设备(上海)有限公司 一种等离子处理方法及等离子处理装置
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US10821542B2 (en) 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9748864B2 (en) 2014-06-30 2017-08-29 Mks Instruments, Inc. Power supply circuits incorporating transformers for combining of power amplifier outputs and isolation of load voltage clamping circuits
CN105424789A (zh) * 2014-09-05 2016-03-23 北京理工大学 分析离子结构的方法
EP3029711B1 (en) * 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
US10049857B2 (en) * 2014-12-04 2018-08-14 Mks Instruments, Inc. Adaptive periodic waveform controller
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9721758B2 (en) 2015-07-13 2017-08-01 Mks Instruments, Inc. Unified RF power delivery single input, multiple output control for continuous and pulse mode operation
US9876476B2 (en) 2015-08-18 2018-01-23 Mks Instruments, Inc. Supervisory control of radio frequency (RF) impedance tuning operation
US10395895B2 (en) 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9966231B2 (en) * 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US10026592B2 (en) * 2016-07-01 2018-07-17 Lam Research Corporation Systems and methods for tailoring ion energy distribution function by odd harmonic mixing
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10546724B2 (en) 2017-05-10 2020-01-28 Mks Instruments, Inc. Pulsed, bidirectional radio frequency source/load
US10396601B2 (en) 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US11412606B2 (en) * 2018-01-23 2022-08-09 Fuji Corporation Plasma generator and information processing method
US11209478B2 (en) * 2018-04-03 2021-12-28 Applied Materials, Inc. Pulse system verification
CN111373504B (zh) * 2018-04-04 2023-01-06 应用材料公司 偏置操作上的rf定制电压
TWI697261B (zh) * 2018-05-22 2020-06-21 呈睿國際股份有限公司 感應耦合電漿蝕刻系統及其切換式匹配裝置
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090298287A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power rf generator

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
EP1018088A4 (en) 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2000269198A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6472822B1 (en) * 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
WO2002075332A1 (en) 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
AU2002354459A1 (en) 2001-12-10 2003-07-09 Tokyo Electron Limited High-frequency power source and its control method, and plasma processor
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
AU2003224727A1 (en) 2002-03-28 2003-10-13 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
TWI259546B (en) 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US7750575B2 (en) * 2004-04-07 2010-07-06 Zond, Inc. High density plasma source
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
WO2009140371A2 (en) * 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20100099266A1 (en) 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US8368308B2 (en) * 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
EP2416629B1 (en) * 2009-08-07 2021-04-21 Kyosan Electric Mfg. Co. Ltd Pulse-modulated high-frequency power control method and pulse-modulated high-frequency power source device
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
KR101888324B1 (ko) 2009-11-19 2018-09-06 램 리써치 코포레이션 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
CN102420579A (zh) * 2011-11-16 2012-04-18 中微半导体设备(上海)有限公司 一种自动实现射频功率匹配的方法和系统
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9030101B2 (en) * 2012-02-22 2015-05-12 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency RF pulsing
US9197196B2 (en) * 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US8952765B2 (en) * 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090298287A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power rf generator

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180036531A (ko) * 2016-09-30 2018-04-09 램 리써치 코포레이션 일 상태에서의 주파수 및 매칭 튜닝과 다른 상태에서의 주파수 튜닝
KR20180064280A (ko) * 2016-12-05 2018-06-14 램 리써치 코포레이션 다중 제어 모드

Also Published As

Publication number Publication date
TW201428810A (zh) 2014-07-16
TW201810356A (zh) 2018-03-16
SG2013069448A (en) 2014-04-28
US9408288B2 (en) 2016-08-02
US9673026B2 (en) 2017-06-06
JP2014089945A (ja) 2014-05-15
CN103681194A (zh) 2014-03-26
CN106937476A (zh) 2017-07-07
TWI613697B (zh) 2018-02-01
US9947513B2 (en) 2018-04-17
JP6526377B2 (ja) 2019-06-05
US20140076713A1 (en) 2014-03-20
KR102165744B1 (ko) 2020-10-15
TWI687965B (zh) 2020-03-11
CN106937476B (zh) 2019-06-11
CN103681194B (zh) 2017-01-04
US20170263419A1 (en) 2017-09-14
US20160322202A1 (en) 2016-11-03

Similar Documents

Publication Publication Date Title
KR102165744B1 (ko) 에지 램핑
KR102130921B1 (ko) 전력 및 주파수의 상태 기반 조절
JP2014089945A5 (ko)
KR102038617B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US9627182B2 (en) Tuning a parameter associated with plasma impedance
US8002945B2 (en) Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
JP6295119B2 (ja) プラズマ処理装置
SG193113A1 (en) Impedance-based adjustment of power and frequency

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant