KR20130096679A - 전력 및 주파수의 임피던스 기반 조절 - Google Patents

전력 및 주파수의 임피던스 기반 조절 Download PDF

Info

Publication number
KR20130096679A
KR20130096679A KR1020130019482A KR20130019482A KR20130096679A KR 20130096679 A KR20130096679 A KR 20130096679A KR 1020130019482 A KR1020130019482 A KR 1020130019482A KR 20130019482 A KR20130019482 A KR 20130019482A KR 20130096679 A KR20130096679 A KR 20130096679A
Authority
KR
South Korea
Prior art keywords
signal
value
power
frequency
mhz
Prior art date
Application number
KR1020130019482A
Other languages
English (en)
Inventor
주니어 존 씨 발코어
브래드포드 제이 린다커
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/531,491 external-priority patent/US9114666B2/en
Priority claimed from US13/550,719 external-priority patent/US9368329B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130096679A publication Critical patent/KR20130096679A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance

Abstract

전력 및 주파수의 임피던스 기반 조절을 위한 시스템들 및 방법들이 설명된다. 시스템은 플라즈마를 포함하는 플라즈마 챔버를 구비한다. 플라즈마 챔버는 전극을 구비한다. 시스템은 플라즈마 챔버에 커플링되어 전극에 무선 주파수 (RF) 신호를 제공하는 드라이버 및 증폭기를 구비한다. 드라이버 및 증폭기는 송신 라인을 경유하여 플라즈마 챔버에 커플링된다. 시스템은 드라이버 및 증폭기에 커플링된 선택기, 선택기에 커플링된 제 1 자동 주파수 제어부 (AFC), 및 선택기에 커플링된 제 2 AFC 를 더 구비한다. 선택기는 송신 라인 상에서 감지된 전류 및 전압의 값들에 기초하여 제 1 AFC 또는 제 2 AFC 를 선택하도록 구성된다.

Description

전력 및 주파수의 임피던스 기반 조절{IMPEDANCE-BASED ADJUSTMENT OF POWER AND FREQUENCY}
본 실시형태들은 플라즈마 임피던스에서의 변화에 대한 응답 시간을 개선하는 것 및/또는 플라즈마를 안정화할 시의 정확도를 개선하는 것에 관한 것이고, 더 상세하게는, 전력 및 주파수의 임피던스 기반 조절을 위한 장치, 방법들, 및 컴퓨터 프로그램들에 관한 것이다.
일부 플라즈마 프로세싱 시스템들에서, 다수의 무선 주파수 (RF) 신호들은 플라즈마 챔버 내의 하나 이상의 전극들에 제공된다. RF 신호들은 플라즈마 챔버 내에서 플라즈마를 발생하는 것을 돕는다. 플라즈마는 다양한 동작들, 예컨대, 하부 전극 상에 배치된 기판을 세정하고, 기판을 식각하는 등을 위해 이용된다.
무선 주파수 (RF) 신호를 발생하는 드라이버 및 증폭기 시스템과 플라즈마 챔버 사이에는, 임피던스 정합 회로가 보통 배치된다. 임피던스 정합 회로는 부하, 예컨대, 플라즈마 챔버 내의 플라즈마의 임피던스를, 소스, 예컨대, RF 신호를 발생하는 드라이브 및 증폭기 시스템의 임피던스와 정합시킨다. 그러나, 특정 상황들에서, 임피던스 정합은 플라즈마 임피던스에서의 변화를 반영할 만큼 빠르지 않다.
더구나, 일부 시스템들이 변화에 응답하는 것이 충분히 빠르다 하더라도, 이들 시스템들은 결과적으로 플라즈마를 안정화하기 위해 전력 및/또는 주파수의 정확한 조절을 하지 못할 수도 있다.
이 상황에서 본 개시물에서 설명되는 실시형태들이 생겨난다.
이 개시물의 실시형태들은 전력 및 주파수의 상태 기반 조절을 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시형태들은 수많은 방법들, 예컨대, 프로세스, 장치, 시스템, 디바이스, 또는 컴퓨터 판독가능 매체 상의 방법으로 구현될 수 있다는 것이 이해되어야 한다. 여러 실시형태들이 아래에서 설명된다.
일 실시형태에서, 시스템은 플라즈마를 포함하는 플라즈마 챔버를 구비한다. 플라즈마 챔버는 전극을 구비한다. 시스템은, 플라즈마 챔버에 커플링되어 전극에 무선 주파수 (RF) 신호를 제공하는 드라이버 및 증폭기 (DA) 시스템을 구비한다. DA 시스템은 송신 라인을 경유하여 플라즈마 챔버에 커플링된다. 시스템은 DA 시스템에 커플링된 선택기, 선택기에 커플링된 제 1 자동 주파수 제어부 (AFC), 및 선택기에 커플링된 제 2 AFC를 더 구비한다. 선택기는 송신 라인 상에서 감지된 전류 및 전압의 값들에 기초하여 제 1 AFC 또는 제 2 AFC를 선택하도록 구성된다.
하나의 실시형태에서, 시스템은 전극에 커플링된 일차 발생기를 구비한다. 일차 발생기는 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 드라이버 및 증폭기를 구비한다. 일차 발생기는 펄스형 (pulsed) 신호가 제 1 상태에 있는 경우에 일차 드라이버 및 증폭기에 제 1 주파수 입력을 제공하는 일차 자동 주파수 튜너 (AFT) 를 더 구비한다. 일차 AFT는 펄스형 신호가 제 2 상태에 있는 경우에 일차 드라이버 및 증폭기에 제 2 일차 주파수 입력을 제공하도록 구성된다. 시스템은 전극에 커플링되는 이차 발생기를 더 구비한다.
이 실시형태에서, 이차 발생기는 전극에 이차 RF 신호를 공급하는 이차 드라이버 및 증폭기를 구비한다. 이차 발생기는 이차 드라이버 및 증폭기에 커플링된 제 1 이차 AFT를 더 구비한다. 이차 발생기는 이차 드라이버 및 증폭기에 커플링된 제 2 이차 AFT를 구비한다. 이차 발생기는 또한 제 1 이차 AFT 및 제 2 이차 AFT에 커플링된 프로세서를 구비한다. 이차 발생기는 전극에 커플링된 센서를 더 구비한다. 센서는 제 1 및 제 2 상태들 동안에 이차 발생기 및 전극 간에 전송된 전류 및 전압을 감지하기 위해 이용된다. 프로세서는 전류 및 전압에 기초하여 파라미터들을 발생하도록 구성되고, 제 1 상태에 대한 파라미터들 중 제 1 파라미터가 제 1 바운더리를 초과하는지의 여부 및 제 2 상태에 대한 파라미터들 중 제 2 파라미터가 제 2 바운더리를 초과하는지의 여부를 결정하도록 구성된다. 제 1 이차 AFT는 제 1 파라미터가 제 1 바운더리를 초과한다는 결정을 수신 시에 이차 드라이버 및 증폭기에 제 1 이차 주파수 입력을 제공하도록 구성되고, 제 2 이차 AFT는 제 2 파라미터가 제 2 바운더리를 초과한다는 결정을 수신 시에 이차 드라이버 및 증폭기에 제 2 이차 주파수 입력을 제공하도록 구성된다.
일 실시형태에서, 펄스형 신호를 발생하는 디지털 펄싱 (pulsing) 소스를 구비한 시스템이 설명된다. 그 시스템은 일차 발생기를 구비한다. 일차 발생기는, 전극에 커플링되어 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 드라이버 및 증폭기를 구비한다. 일차 발생기는 또한, 펄싱 소스에 커플링되어 펄스형 신호를 수신하는 하나 이상의 일차 프로세서들을 구비한다. 하나 이상의 일차 프로세서들은 펄스형 신호의 2 개의 상태들 중 제 1 상태 및 그 2 개의 상태들 중 제 2 상태를 식별하며, 펄스형 신호가 제 1 상태에 있는 경우에 일차 드라이버 및 증폭기에 일차 전력 값을 제공할 것을 결정하고, 펄스형 신호가 제 1 상태에 있는 경우에 일차 RF 신호의 일차 주파수 값을 제공할 것을 결정하도록 구성된다.
이 실시형태에서, 시스템은, 전극에 커플링되어 전극에 이차 RF 신호를 공급하는 이차 드라이버 및 증폭기를 구비한 이차 발생기를 더 구비한다. 이차 발생기는, 펄싱 소스에 커플링되어 펄스형 신호를 수신하는 하나 이상의 이차 프로세서들을 더 구비한다. 하나 이상의 이차 프로세서들은, 펄스형 신호가 제 1 상태에 있는 경우에 플라즈마와 연관된 파라미터가 제 1 바운더리를 초과하는지의 여부를 결정하며, 펄스형 신호가 제 2 상태에 있는 경우에 그 파라미터가 제 2 바운더리를 초과하는지의 여부를 결정하고, 그 파라미터가 제 1 바운더리를 초과한다고 결정하는 것에 응답하여 이차 드라이버 및 증폭기에 제 1 이차 전력 값을 제공할 것을 결정하도록 구성된다. 하나 이상의 이차 프로세서들은, 파라미터가 제 2 바운더리를 초과한다고 결정하는 것에 응답하여 이차 드라이버 및 증폭기에 제 2 이차 전력 값을 제공할 것을 결정하며, 그 파라미터가 제 1 바운더리를 초과한다고 결정하는 것에 응답하여 이차 드라이버 및 증폭기에 제 1 이차 주파수 값을 제공할 것을 결정하고, 그 파라미터가 제 2 바운더리를 초과한다고 결정하는 것에 응답하여 이차 드라이버 및 증폭기에 제 2 이차 주파수 값을 제공할 것을 결정하도록 추가로 구성된다.
일 실시형태에서, 방법은 2 개의 상태들을 가지는 디지털 펄싱 신호를 수신하는 단계를 포함한다. 그 방법은 전류 및 전압 값들을 수신하는 단계, 전류 및 전압 값들로부터 플라즈마 임피던스와 연관된 파라미터들을 계산하는 단계, 및 제 1 상태 동안에 파라미터들 중 제 1 파라미터가 제 1 바운더리를 초과하는지의 여부를 결정하는 단계를 포함한다. 그 방법은 또한, 제 1 파라미터가 제 1 바운더리를 초과한다는 결정 시에 무선 주파수 (RF) 드라이버 및 증폭기에 제 1 주파수 값 및 제 1 전력 값을 제공하는 단계, 제 2 상태 동안에 파라미터들 중 제 2 파라미터가 제 2 바운더리를 초과하는지의 여부를 결정하는 단계, 및 제 2 파라미터가 제 2 바운더리를 초과한다는 결정 시에 RF 드라이버 및 증폭기에 제 2 주파수 값 및 제 2 전력 값을 제공하는 단계를 포함한다.
위에서 설명된 실시형태들의 일부 이점들은 플라즈마를 안정화하기 위해, 예컨대, 소스, 예컨대, RF 드라이버 및 증폭기, 그리고 부하, 예컨대, 플라즈마의 임피던스 사이의 차이를 감소시키기 위해 정확한 전력 및/또는 주파수 값을 제공하는 것을 포함한다. 주파수 및/또는 전력 값은 전력 및/또는 주파수 값이 플라즈마 임피던스에서의 변화에 기초하여 발생하는 경우에 정확하다. 예를 들어, 순방향 전력 및 반사된 전력이 측정되고 감마 값을 발생하는데 이용된다. 감마 값이 임계치를 초과하는지의 여부가 결정되고 만약 그렇다면, 전력 및/또는 주파수 값은 플라즈마를 안정화시키기 위해 변경된다.
실시형태들의 다른 이점들은 플라즈마에서의 안정성을 달성하기 위한 시간량을 감소시키는 것을 포함한다. 훈련 루틴은 드라이버 및 증폭기 시스템에 인가할 주파수 및/또는 전력 값들을 결정하는데 이용된다. 전력 및/또는 주파수 값들은 훈련 루틴 동안에 또한 결정되는 감마 값에 대응한다. 훈련 루틴은 생산 동안의 시간, 예컨대, 기판들을 세정하는 시간, 기판들을 식각하는 시간, 기판들 상의 증착 (deposition) 재료들을 위한 시간 등을 절약한다. 예를 들어, 생산 동안, 감마 값이 임계치를 초과한다고 결정되는 경우, 전력 및/또는 주파수 값들은 전력 및/또는 주파수 값들을 튜닝할 필요 없이 드라이버 및 증폭기 시스템에 인가된다.
다른 양태들은 첨부 도면들에 연계하여 취해지는 다음의 상세한 설명으로부터 명백하게 될 것이다.
실시형태들은 첨부 도면들에 연계하여 취해질 다음의 설명을 참조하여 최상으로 이해될 수도 있다.
도 1은 본 개시물에서 설명되는 실시형태에 따라서, 플라즈마 임피던스에 기초하여 상태를 변경하기 위한 시스템의 일 실시형태의 블록도이다.
도 2는 본 개시물에서 설명되는 실시형태에 따라서, 감마 값이 제 1 임계치보다 큰지 또는 제 2 임계치보다 큰지에 기초하여, 상태들에서의 변화를 보여주는 테이블의 실시형태이다.
도 3은 본 개시물에서 설명되는 실시형태에 따라서, 훈련 루틴 동안의 2 개의 RF 신호들의 순방향 전력 대 시간의 선도인 그래프의 실시형태를 도시한다.
도 4는 본 개시물에서 설명되는 실시형태에 따라서, 훈련 루틴의 흐름도의 실시형태이다.
도 5는 본 개시물에서 설명되는 실시형태에 따라서, 전력 제어기들 및/또는 주파수 튜너들이 0이 아닌 값들을 제공하지 않는 경우의, 플라즈마 임피던스에 기초하여 상태를 변경하기 위한 시스템의 일 실시형태의 다이어그램이다.
도 6a는 본 개시물에서 설명되는 실시형태에 따라서, RF 신호들 중 하나는 상수 값 또는 가변 값들을 가지는 2 개의 무선 주파수 (RF) 신호들을 갖는 그래프들을 도시한다.
도 6b는 본 개시물에서 설명되는 실시형태에 따라서, RF 신호들 양쪽 모두가 가변 값들을 갖는 2 개의 RF 신호들을 가지는 그래프들을 도시한다.
도 7a는 본 개시물에서 설명되는 실시형태에 따라서, RF 신호들 중 하나는 상수 값을 가지고 RF 신호들 중 다른 하나는 상수 값 또는 가변 값들을 가지는 3 개의 RF 신호들을 갖는 그래프들을 도시한다.
도 7b는 본 개시물에서 설명되는 실시형태에 따라서, RF 신호들 중 하나는 상수 값을 가지고 나머지 2 개의 RF 신호들은 가변 값들을 가지는 3 개의 RF 신호들을 갖는 그래프들을 도시한다.
도 7c는 본 개시물에서 설명되는 실시형태에 따라서, RF 신호들 중 하나는 상수 값 또는 가변 값들을 가지고 나머지 2 개의 RF 신호들은 가변 값들을 가지는 3 개의 RF 신호들을 갖는 그래프들을 도시한다.
도 7d는 본 개시물에서 설명되는 실시형태에 따라서, 모든 3 개의 RF 신호들이 가변 값들을 가지는 그래프들을 도시한다.
도 7e는 본 개시물에서 설명되는 실시형태에 따라서, RF 신호들 중 하나는 상수 값 또는 가변 값들을 가지고 나머지 RF 신호들은 가변 값들을 가지는 3 개의 RF 신호들을 갖는 그래프들을 도시한다.
도 7f는 본 개시물에서 설명되는 실시형태에 따라서, 모든 3 개의 RF 신호들이 가변 값들을 가지는 그래프들을 도시한다.
도 8은 본 개시물에서 설명되는 실시형태에 따라서, 감마 값이 제 1 임계치보다 큰지 또는 제 2 임계치보다 큰지에 기초하여, 자동 주파수 튜너들 (AFT들) 간을 선택하기 위한 시스템의 일 실시형태의 블록도이다.
도 9는 본 개시물에서 설명되는 실시형태에 따라서, 60 MHz 발생기의 상태 S1 또는 S0을 달성하기 위해 60 MHz 드라이버 및 증폭기의 주파수 및/또는 전력을 조절하기 위한 방법의 실시형태의 흐름도이다.
도 10은 본 개시물에서 설명되는 실시형태에 따라서, 독립적 (IP) RF 신호 펄싱 동안에 최적의 생산 시간 전력 공급 (power delivery) 을 위해 종속적 RF 발생기에 의한 RF 튜닝을 구현하기 위한 정규화된 RF 변수들 대 시간의 그래프의 실시형태를 도시한다.
도 11은 본 개시물에서 설명되는 실시형태에 따라서, 펄싱 동안에 최적의 전력 공급을 위한 종속적 RF 발생기에 의한 주파수 튜닝을 구현하기 위한 방법의 흐름도의 실시형태이다.
다음의 실시형태들은 전력 및 주파수의 임피던스 기반 조절을 위한 시스템들 및 방법들을 설명한다. 본 발명은 이러한 특정 세부사항들의 일부 또는 전부 없이도 실용화될 수도 있다는 것이 명백할 것이다. 다른 경우들에서, 주지의 프로세스 동작들은 본 실시형태들을 불필요하게 모호하게 하지 않기 위하여 상세히 설명되고 있지 않다.
도 1은 플라즈마 임피던스에 기초하여 상태를 변경하기 위한 시스템 (180) 의 일 실시형태의 블록도이다. 2 메가헤르츠 (MHz) 무선 주파수 (RF) 드라이버 및 증폭기 (DA) 시스템은 RF 전력을 임피던스 정합 회로 (182) 를 경유하여 플라즈마 챔버 (102) 의 하부 전극 (104) 에 공급한다. 마찬가지로, 60 MHz DA 시스템은 RF 전력을 임피던스 정합 회로 (186) 를 경유하여 하부 전극 (104) 에 공급한다. 하나의 실시형태에서, 60 MHz 소스 대신, 27 MHz 소스가 RF 전력을 하부 전극 (104) 에 제공하는데 사용된다는 점에 주의해야 한다. 더구나, 2 MHz, 27 MHz, 및 60 MHz의 값들이 예들로서 제공되고 제한되지 않는다는 점에 주의해야 한다. 예를 들어, 2 MHz DA 시스템 대신, 2.5 MHz DA 시스템이 사용될 수도 있고 60 MHz DA 시스템 대신, 65 MHz DA 시스템이 사용될 수도 있다. 다른 실시형태에서, 2 MHz 소스 및 60 MHz 소스들 외에도, 27 MHz 소스가 RF 전력을 하부 전극 (104) 에 제공하기 위해 사용된다.
임피던스 정합 회로는 임피던스 정합 회로에 커플링된 전원의 임피던스를 임피던스 정합 회로에 커플링된 부하의 임피던스와 정합시키기 위해 전기 회로 컴포넌트들, 예컨대, 인덕터들, 커패시터들 등을 구비한다. 예를 들어, 임피던스 정합 회로 (182) 는 2 MHz DA 시스템의 임피던스를 플라즈마 챔버 (102) 내에서 발생된 플라즈마의 임피던스와 일치시킨다. 다른 예로서, 임피던스 정합 회로 (186) 는 60 MHz DA 시스템의 임피던스를 플라즈마 챔버 (102) 내에서 발생된 플라즈마의 임피던스와 일치시킨다. 또 다른 예로서, 임피던스 정합 회로 (182) 는 2 MHz DA 시스템의 임피던스를 플라즈마 챔버 (102) 의 일 부분, 예컨대, 플라즈마 및 하부 전극 (104) 의 임피던스와 일치시킨다. 하나의 실시형태에서, 임피던스 정합 회로는 임피던스 정합 회로에 커플링된 RF DA 시스템의 임피던스 및 제 1 부하의 임피던스 사이의 정합을 용이하게 하기 위해 튜닝된다. 전원 및 부하 사이의 임피던스 정합은 전력이 부하로부터 전원 쪽으로 반사될 기회들을 줄인다.
플라즈마 챔버 (102) 는 하부 전극 (104), 상부 전극 (110), 및 다른 컴포넌트들 (미도시), 예컨대, 상부 전극 (110) 을 둘러싸는 상부 유전체 링, 상부 유전체 링을 둘러싸는 하부 전극 연장부, 하부 전극을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 연장부, 상부 플라즈마 배제 존 (plasma exclusion zone; PEZ) 링, 하부 PEZ 링 등을 구비한다. 상부 전극 (110) 은 하부 전극 (104) 의 반대편에서 마주하게 위치된다. 기판 (108), 예컨대, 반도체 웨이퍼는, 하부 전극 (104) 의 상부 표면 (106) 상에 지지된다. 집적 회로들, 예컨대, 주문형 집적 회로 (ASIC), 프로그램가능 로직 디바이스 (PLD) 등은 기판 (108) 에서 성장되고 집적 회로들은 다양한 디바이스들, 예컨대, 셀 폰들, 테블릿들, 스마트 폰들, 컴퓨터들, 랩톱들, 네트워킹 장비 등에서 사용된다. 하부 전극 (104) 은 금속, 예컨대, 양극처리된 (anodized) 알루미늄, 알루미늄 합금 등으로 만들어진다. 또한, 상부 전극 (110) 은 금속, 예컨대, 알루미늄, 알루미늄 합금 등으로 만들어진다.
하나의 실시형태에서, 상부 전극 (110) 은 중앙 가스 피드 (미도시) 에 커플링된 홀을 구비한다. 중앙 가스 피드는 하나 이상의 프로세스 가스들을 가스 공급부 (미도시) 로부터 수신한다. 프로세스 가스들의 예들은 산소 함유 가스, 이를테면 O2를 포함한다. 프로세스 가스의 다른 예들은 플루오린 함유 가스, 예컨대, 테트라플루오로메탄 (CF4), 육불화 황 (SF6), 헥사플루오로에탄 (C2F6) 등을 포함한다. 상부 전극 (110) 은 접지된다. 하부 전극 (104) 은 임피던스 정합 회로 (182) 를 경유하여 2MHz RF DA 시스템에 그리고 임피던스 정합 회로 (186) 를 경유하여 60 MHz RF DA 시스템에 커플링된다.
프로세스 가스가 상부 전극 (110) 및 하부 전극 (104) 사이에 공급되는 경우 그리고 DA 시스템, 예컨대, 2MHz DA 시스템 및/또는 60 MHz DA 시스템이 대응하는 임피던스 정합 회로를 경유하여 하부 전극 (104) 에 전력을 공급하는 경우, 프로세스 가스는 플라즈마 챔버 (102) 내에서 플라즈마를 발생하기 위해 점화된다. 예를 들어, 2 MHz DA 시스템은 프로세스 가스를 점화하여 플라즈마를 발생하기 위해 임피던스 정합 회로 (182) 를 경유하여 전력을 공급한다.
컴퓨터 (미도시) 상의 도구 사용자 인터페이스 (UI) (190) 는 디지털 펄싱 신호인 트랜지스터-트랜지스터 로직 (TTL) 신호 (112) 를 발생하는데 사용된다. 하나의 실시형태에서, 컴퓨터는 TTL 회로를 구비한다. 본원에서 사용되는 바와 같이, 컴퓨터 대신, 프로세서, 제어기, ASIC, 또는 PLD가 사용되고, 이들 용어들은 본원에서 교환적으로 이용된다. TTL 신호 (112) 는 상태들인 S1 및 S0을 포함한다. TTL 신호 (112) 는 50% 듀티 사이클을 가진다. 하나의 실시형태에서, TTL 신호 (112) 는 5%부터 95%까지에 이르는 듀티 사이클을 가진다. 상태 S1의 일 예는 온 상태, 1의 값을 갖는 상태, 또는 하이 상태를 포함한다. 상태 S0의 일 예는 오프 상태, 0의 값을 갖는 상태, 또는 로우 상태를 포함한다. 하이 값은 로우 값보다 크다.
다른 실시형태에서, 컴퓨터 대신, 클록 발진기, 예컨대, 수정 발진기가 아날로그 클록 신호를 발생하는데 사용되며, 그 아날로그 클록 신호는 아날로그-디지털 변환기에 의해 TTL 신호 (112) 에 유사한 디지털 신호로 변환된다. 예를 들어, 수정 발진기는 수정 발진기에 가까운 또는 그것 상의 전극에 전압을 인가함으로써 전기장에서 발진하도록 만들어진다.
TTL 신호 (112) 는 디지털 신호 프로세서 (DSP) (140) 및 다른 DSP (150) 에 전송된다. DSP (140) 는 TTL 신호 (112) 를 수신하고 TTL 신호 (112) 의 상태들 (S0 및 S1) 을 식별한다. 예를 들어, DSP (140) 는 상태 S0 및 상태 S1 간을 구별한다. 다른 예로서, DSP (140) 는 TTL 신호 (112) 가 기간들의 제 1 세트 동안에 제 1 크기를 가지고 기간들의 제 2 세트 동안에 제 2 크기를 가진다고 결정한다. DSP (140) 는 TTL 신호 (112) 가 기간들의 제 1 세트 동안에 상태 S1을 가지고 기간들의 제 2 세트 동안에 상태 S0을 가진다고 결정한다. 또 다른 예로서, DSP (140) 는 TTL 신호 (112) 의 크기를 사전저장된 값과 비교하여 TTL 신호 (112) 의 크기가 기간들의 제 1 세트 동안에 사전저장된 값보다 크다는 것과 TTL 신호 (112) 의 상태 S0 동안의 크기가 기간들의 제 2 세트 동안에 사전저장된 값보다 크지 않다는 것을 결정한다. 클록 발진기가 사용되는 실시형태에서, DSP (140) 는 클록 발진기로부터 아날로그 클록 신호를 수신하며, 그 아날로그 신호를 디지털 형태로 변환한 다음, 2 개의 상태 S0 및 S1을 식별한다.
DSP (140) 는 DSP 내의 하나 이상의 메모리 디바이스들의 메모리 로케이션들에 식별된 상태들 S0 및 S1을 저장한다. 맴버 디바이스의 예들은 랜덤 액세스 메모리 (RAM) 및 판독 전용 메모리 (ROM) 를 포함한다. 메모리 디바이스는 플래시 메모리, 하드 디스크, 저장 디바이스, 컴퓨터 판독가능 매체 등일 수도 있다.
DSP (140) 는 식별된 상태 S1를 대응하는 메모리 로케이션들로부터 자동 주파수 튜너 (AFT; 114) 에 그리고 전력 제어기 (142) 에 제공한다. 예를 들어, DSP (140) 는 TTL 신호 (112) 가 듀티 사이클의 시간들 (t1 및 t2) 사이에서 상태 S1에 있다는 것을 AFT (114) 및 전력 제어기 (142) 에 표시한다. 용어 튜너 및 제어기는 본원에서 교환적으로 이용된다. AFT의 일 예는 미국특허 제6,020,794호에서 제공되며, 그 미국특허는 그것의 전체가 참조로 본원에 통합된다.
하나의 실시형태에서, 제어기 또는 튜너 대신, 제어 로직 블록, 예컨대, 프로세서에 의해 실행되는 컴퓨터 프로그램이 사용된다. 예를 들어, 발생기의 각각의 AFT는 발생기의 프로세서에 의해 실행되는 로직 블록이다. 다른 예로서, 발생기의 각각의 전력 제어기는 발생기의 프로세서에 의해 실행되는 로직 블록이다. 컴퓨터 프로그램은 아래에서 그 예들이 제공되는 비일시적 컴퓨터 판독가능 매체로 실시된다.
AFT (114) 는 TTL 신호 (112) 의 상태에 기초하여 주파수 값을 결정하고 전력 제어기 (142) 는 TTL 신호 (112) 의 상태에 기초하여 전력 값을 결정한다. 예를 들어, AFT (114) 는 TTL 신호 (112) 의 상태가 S1인 경우에 주파수 값 F11이 2 MHz DA 시스템에 제공되는 것이라고 결정하고 전력 제어기 (142) 는 TTL 신호 (112) 의 상태가 S1인 경우에 전력 값 P11이 2 MHz DA 시스템에 제공되는 것이라고 결정한다.
TTL 신호 (112) 의 상태가 S1인 경우, 전력 제어기 (142) 는 P11의 전력 값을 2 MHz DA 시스템에 제공한다. TTL 신호 (112) 의 상태 S1 동안, AFT (114) 는 F11의 주파수 값을 2 MHz DA 시스템에 제공한다.
2 MHz DA 시스템은 상태 S1 동안 F11의 주파수 값 및 P11의 전력 값을 수신한다. 값들 F11 및 P11을 수신 시, 2 MHz DA 시스템은 주파수 F11을 갖는 RF 신호를 발생하고 그 RF 신호는 P11의 전력 값을 가진다.
하나의 실시형태에서, RF DA 시스템은 드라이버와 그 뒤의 증폭기를 구비한다. 증폭기는 순방향 전력을 송신 라인을 경유하여 플라즈마 챔버 (102) 에 공급한다. 예를 들어, 2 MHz DA 시스템의 증폭기는, 전력 값 P11에 비례하는, 예컨대 그것과 동일한, 그것의 배수인 등의 전력 값을 가지고 주파수 값 F11을 가지는 순방향 전력을 송신 라인 (230) 및 임피던스 정합 회로 (182) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
TTL 신호 (112) 가 상태 S1에서 상태 S1로 전이하는 경우 그리고 2 MHz DA 시스템이 전력 값 P11에 비례하는 전력 값을 가지고 주파수 값 F11을 가지는 순방향 전력을 플라즈마 챔버 (102) 에 공급하는 경우, 플라즈마 챔버 (102) 와의 임피던스는 변한다. 플라즈마 챔버 (102) 내의 임피던스가 상태 S1에서부터 상태 S0로의 TTL 신호 (112) 의 전이의 결과로서 변하는 경우, 60 MHz 발생기 (276) 의 센서 (212) 는 순방향 전력과, 플라즈마 챔버 (102) 의 플라즈마로부터 반사된 RF 전력인 반사된 전력을 송신 라인 (232) 상에서 측정한다. 센서 (212) 는 순방향 및 반사된 전력들의 측정결과물 (measurement) 들을 아날로그-디지털 (ADC) 변환기 (222) 에 제공하고, 그 아날로그-디지털 변환기는 그 측정결과물들을 아날로그 포맷에서부터 디지털 포맷으로 변환한다. 순방향 및 반사된 전력들의 디지털 값들은 DSP (150) 에 제공된다. 일 실시형태에서, DSP는 ADC를 구비한다. 하나의 실시형태에서에서, DSP (150) 는 TTL 신호 (112) 의 수신을 결여하고 있다는 것을 추가로 주의해야 한다. 그보다는, 이 실시형태에서, DSP (150) 는 TTL 신호 (112) 와 동기되지 않을 수도 있는 다른 디지털 펄스형 신호를 수신한다. 하나의 실시형태에서, DSP (150) 에 의해 수신된 다른 디지털 펄스형 신호는 TTL 신호 (112) 와 동기된다.
TTL 신호 (112) 의 상태 S1 동안, 예컨대, TTL 신호 (112) 의 S1에서 S0로의 상태 전이 직후, DSP (150) 는 제 1 감마 값을 발생하기 위해 상태 S1 동안, 관계, 예컨대, 디지털 반사된 전력 신호 및 디지털 순방향 전력 신호의 비율의 제곱근, 전압 정재파 비 (VSWR) 등을 계산한다. 1의 감마 값은 소스 및 부하의 임피던스들 사이의 높은 부정합도를 나타내고 0의 감마 값은 소스 및 부하의 임피던스들 사이의 낮은 부정합도를 나타낸다. 감마 값이 0이면, 플라즈마 챔버 (102) 로의 전력 공급은 매우 효율적일 것으로 간주된다. 감마 값이 1이면, 전력 공급은 매우 비효율적일 것으로 간주된다. VSWR은 RC-1 및 RC+1의 비율과 동일한 것으로서 계산되며, 여기서 RC는 반사 계수이다.
DSP (150) 는 제 1 감마 값이 제 1 임계치보다 큰 지의 여부를 결정한다. 제 1 감마 값이 제 1 임계치보다 크다고 DSP (150) 가 결정하는 경우, DSP (150) 는 그것을 AFT (118) 에 그리고 전력 제어기 (152) 에 표시한다. AFT (118) 는 제 1 감마 값에 대응하는 주파수 값 F21을 결정하고 그 주파수 값 F21을 60 MHz DA 시스템에 제공한다. 더구나, 전력 제어기 (152) 는 제 1 감마 값에 대응하는 전력 값 P21을 결정하고 그 제 1 감마 값에 대응하는 전력 값 P21을 60 MHz DA 시스템에 제공한다. 예를 들어, AFT (118) 는 메모리 디바이스 내에, 제 1 감마 값을 주파수 값 F21과 매핑하는 테이블을 저장하고 전력 제어기 (152) 는 메모리 디바이스 내에 전력 값 P21 및 제 1 감마 값 사이의 매핑을 저장한다.
하나의 실시형태에서, AFT (118) 는 주파수 값 F21 및 전력 값 P21의 각각을 제 1 임계치에 대응하는 것으로서 결정한다. 예를 들어, AFT (118) 는 메모리 디바이스 내에, 제 1 임계치를 주파수 값 F21과 매핑하는 테이블을 저장하고 전력 제어기 (152) 는 메모리 디바이스 내에 전력 값 P21 및 제 1 임계치 사이의 매핑을 저장한다.
60 MHz DA 시스템은 TTL 신호 (112) 의 상태 S1 동안에 F21의 주파수 값 및 P21의 전력 값을 수신한다. 값들 F21 및 P21을 수신 시, 60 MHz DA 시스템은 주파수 F21을 갖는 RF 신호를 발생하고 그 RF 신호는 P21의 전력 값을 가진다. 예를 들어, 60 MHz DA 시스템의 증폭기는, 전력 값 P21에 비례하는, 예컨대 그것과 동일한, 그것의 배수인 등의 전력 값을 가지고 주파수 값 F21을 가지는 순방향 전력을 송신 라인 (232) 및 임피던스 정합 회로 (186) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
TTL 신호 (112) 의 상태가 S1에서 S0으로 변경하는 경우, 전력 값과 주파수 값 어느 것도 2 MHz DA 시스템에 제공되지 않는다. 상태 S0 동안, 주파수 값은 2 MHz DA 시스템에 제공되지 않는다. 2 MHz DA 시스템은 상태 S0 동안에, 임의의 주파수 및 전력 값들을 수신하지 않는다, 예컨대, 0의 주파수 값 및 0의 전력 값을 수신한다. 전력 및 주파수 값들을 수신하지 않을 시, 2 MHz DA 시스템은 0의 주파수의 RF 전력 및 0의 전력 값을 갖는 RF 전력을 발생한다. 2 MHz DA 시스템의 증폭기는 순방향 전력을 공급하지 않는다, 예컨대, 0의 전력 값을 가지고 0의 주파수 값을 가지는 순방향 전력을 송신 라인 (230) 및 임피던스 정합 회로 (182) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
더구나, TTL 신호 (112) 의 상태가 상태 S1로부터 상태 S0로 변하는 경우, 플라즈마 챔버 (102) 내의 플라즈마의 임피던스는 변한다. 다시, TTL 신호 (112) 의 상태 S0 동안, 예컨대, TTL 신호 (112) 의 상태의 S1에서 상태 S0로의 전이 직후, 센서 (212) 는 순방향 및 반사된 전력들을 송신 라인 (232) 상에서 결정하고 그 측정된 순방향 및 반사된 전력들을 ADC (222) 에 제공한다. ADC (222) 는 측정된 순방향 및 반사된 전력들을 아날로그 포맷에서 디지털 포맷으로 변환한다. DSP (150) 는 디지털 순방향 및 반사된 전력들을 ADC (222) 로부터 수신하고 그 순방향 및 반사된 전력들로부터 제 2 감마 값을 계산한다.
DSP (150) 는 제 2 감마 값을 제 2 임계치와 비교하고 제 2 감마 값이 제 2 임계치보다 큰지의 여부를 결정한다. 제 2 감마 값이 제 2 임계치보다 크다고 DSP (150) 가 결정하는 경우, DSP (150) 는 그것을 AFT (118) 에 그리고 전력 제어기 (152) 에 표시한다. AFT (118) 는 제 2 감마 값에 대응하는 주파수 값 F20을 결정하고 그 주파수 값 F20을 60 MHz DA 시스템에 제공한다. 더구나, 전력 제어기 (152) 는 제 2 감마 값에 대응하는 전력 값 P20을 결정하고 그 제 2 감마 값에 대응하는 전력 값 P20을 60 MHz DA 시스템에 제공한다. 예를 들어, AFT (118) 는 메모리 디바이스 내에, 제 2 감마 값을 주파수 값 F20과 매핑하는 테이블을 저장하고 전력 제어기 (152) 는 메모리 디바이스 내에 전력 값 P20 및 제 2 감마 값 사이의 매핑을 저장한다.
하나의 실시형태에서, AFT (118) 는 주파수 값 F20 및 전력 값 P20의 각각을 제 2 임계치에 대응하는 것으로서 결정한다. 예를 들어, AFT (118) 는 메모리 디바이스 내에, 제 2 임계치를 주파수 값 F20과 매핑하는 테이블을 저장하고 전력 제어기 (152) 는 메모리 디바이스 내에 전력 값 P20 및 제 2 임계치 사이의 매핑을 저장한다.
60 MHz DA 시스템은 TTL 신호 (112) 의 상태 S0 동안에 F20의 주파수 값 및 P20의 전력 값을 수신한다. 값들 F20 및 P20을 수신 시, 60 MHz DA 시스템은 주파수 F20을 갖는 RF 신호를 발생하고 그 RF 신호는 P20의 전력 값을 가진다. 예를 들어, 60 MHz DA 시스템의 증폭기는, 전력 값 P20에 비례하는, 예컨대 그것과 동일한, 그것의 배수인 등의 전력 값을 가지고 주파수 값 F20을 가지는 순방향 전력을 송신 라인 (232) 및 임피던스 정합 회로 (186) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
60 MHz DA 시스템에 의해 제공된 RF 전력을 변경하기 위한 순방향 및 반사된 전력들의 측정의 사용은 결과적으로 플라즈마 안정성에 이르게 한다. 또한, 플라즈마 안정성은 순방향 및 반사된 전력들의 실시간 측정에 기초한다. 이 실시간 측정은 플라즈마를 안정화할 시에 정확도를 제공한다.
하나의 실시형태에서, 하나 또는 양쪽 모두의 상태들 S1 및 S0 동안, 2 MHz 발생기 (274) 의 센서 (210) 는 플라즈마 챔버 (102) 의 플라즈마로부터 반사된 RF 전력인 반사된 전력을 송신 라인 (230) 상에서 감지한다. 더구나, 하나 또는 양쪽 모두의 상태들 S1 및 S0 동안, 순방향 전력이 2 MHz RF DA 시스템로부터 송신 라인 (230) 을 경유하여 플라즈마 챔버 (102) 로 전송되는 경우에 센서 (210) 는 송신 라인 (230) 상에서 순방향 전력을 감지한다. 마찬가지로, 하나 또는 양쪽 모두의 상태들 S1 및 S0 동안, 센서 (212) 는 플라즈마 챔버 (102) 의 플라즈마로부터 반사된 전력을 감지한다. 센서 (212) 에 의해 감지된 반사된 전력은 플라즈마 챔버 (102) 의 플라즈마로부터 송신 라인 (232) 상에서 반사된다. 더구나, TTL 신호 (112) 의 하나 또는 양쪽 모두의 상태들 S1 및 S0 동안, 순방향 전력이 60 MHz RF DA 시스템으로부터 송신 라인 (232) 을 경유하여 플라즈마 챔버 (102) 로 전송되는 경우에 센서 (212) 는 송신 라인 (232) 상에서 순방향 전력을 감지한다.
이 실시형태에서, 아날로그-디지털 변환기 (ADC) (220) 는 센서 (210) 에 의해 감지되는 측정된 반사된 및 순방향 전력들을 아날로그 형태로부터 디지털 형태로 변환하고 ADC (222) 는 센서 (212) 에 의해 감지되는 측정된 반사된 및 순방향 전력들을 아날로그로부터 디지털 형태로 변환한다. 하나 또는 양쪽 모두의 상태들 S1 및 S0 동안, DSP (140) 는 반사된 전력 신호 및 센서 (210) 에 의해 감지된 순방향 전력 신호의 디지털 값들을 수신하고 DSP (150) 는 반사된 전력 신호 및 센서 (212) 에 의해 감지된 순방향 전력 신호의 디지털 값을 수신한다.
더욱이, 이 실시형태에서, 상태 S1 동안에 송신 라인 (230) 상의 순방향 및 반사된 전력들의 디지털 값들로부터 생성된 감마 값은 DSP (140) 로부터 AFT (114) 로 전송되고, 상태 S1 동안에 송신 라인 (232) 상의 순방향 및 반사된 전력들의 디지털 값들로부터 발생된 감마 값은 DSP (150) 로부터 AFT (118) 로 전송된다. 상태 S1 동안, AFT (114) 는 DSP (140) 로부터 수신된 감마 값에 기초하여 주파수 값을 결정하고 AFT (118) 는 DSP (150) 로부터 수신된 감마 값에 기초하여 주파수 값을 결정한다. 상태 S1 동안, AFT (114) 는 감마 값에 기초하여 발생된 주파수 값에 기초하여 F11의 주파수 값을 조절하고 조절된 주파수 값을 2 MHz DA 시스템에 제공한다. 더구나, 상태 S1 동안, AFT (118) 는 감마 값에 기초하여 발생된 주파수 값에 기초하여 F21의 주파수 값을 조절하고 조절된 주파수 값을 60 MHz DA 시스템에 제공한다.
더구나, 동일한 실시형태에서, 상태 S1 동안, 전력 제어기 (142) 는 DSP (140) 로부터 수신된 감마 값에 기초하여 전력 값을 결정하고 전력 제어기 (152) 는 DSP (150) 로부터 수신된 감마 값에 기초하여 전력 값을 결정한다. 상태 S1 동안, 전력 제어기 (142) 는 감마 값에 기초하여 발생된 전력 값에 기초하여 P11의 전력 값을 조절하고 조절된 전력 값을 2 MHz DA 시스템에 제공한다. 더구나, 상태 S1 동안, 전력 제어기 (152) 는 감마 값에 기초하여 발생된 전력 값에 기초하여 P21의 전력 값을 조절하고 조절된 전력 값을 60 MHz DA 시스템에 제공한다.
게다가, 이 실시형태에서, 상태 S1 동안, 2 MHz DA 시스템은 AFT (114) 로부터 수신된 조절된 주파수 값을 가지고 전력 제어기 (142) 로부터 수신된 조절된 전력 값을 가지는 전력 신호를 발생하고, 그 전력 신호를 임피던스 정합 회로 (182) 를 경유하여 플라즈마 챔버 (102) 에 공급한다. 마찬가지로, 상태 S1 동안, 60 MHz DA 시스템은 AFT (118) 로부터 수신된 조절된 주파수 값을 가지고 전력 제어기 (152) 로부터 수신된 조절된 전력 값을 가지는 전력 신호를 발생하고, 그 전력 신호를 임피던스 정합 회로 (186) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
더욱이, 동일한 실시형태에서, 상태 S0 동안, 전력 및 주파수 값들의 2 MHz DA 시스템으로의 제공은 없고 2 MHz DA 시스템의 0의 주파수 및 전력 값들을 조절하기 위한 상태 S0 동안 발생된 감마 값의 사용은 없다. 상태 S0 동안에 송신 라인 (232) 상의 순방향 및 반사된 전력들의 디지털 값들로부터 발생된 감마 값은 DSP (150) 로부터 AFT (120) 로 전송된다. AFT (120) 는 DSP (150) 로부터 수신된 감마 값에 기초하여 주파수 값을 결정한다. 상태 S0 동안, AFT (120) 는 감마 값으로부터 발생된 주파수 값에 기초하여 F20의 주파수 값을 조절하고 조절된 주파수 값을 60 MHz DA 시스템에 제공한다. 더구나, 상태 S0 동안, 전력 제어기 (154) 는 DSP (150) 로부터 수신된 감마 값에 기초하여 전력 값을 결정한다. 상태 S9 동안, 전력 제어기 (154) 는 감마 값에 기초하여 발생된 전력 값에 기초하여 P20의 전력 값을 조절하고 조절된 전력 값을 60 MHz DA 시스템에 제공한다. 상태 S0 동안, 60 MHz DA 시스템은, AFT (120) 로부터 수신된 조절된 주파수 값을 가지고 전력 제어기 (154) 로부터 수신된 조절된 전력 값을 가지는 전력 신호를 발생하고, 그 전력 신호를 임피던스 정합 회로 (186) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
이 실시형태에서, 값과 그 값을 조절함으로써 발생된 조절된 값 사이의 차이는 제 1 또는 제 2 임계치를 이용하여 발생된 다른 전력 또는 주파수 값들 간의 차이보다 작다는 것에 주의해야 한다. 예를 들어, 전력 값 P21로부터 발생된 조절된 전력 값 및 전력 값 P21 사이의 차이는 전력 값들 P21 및 P20 사이의 차이보다 작다. 다른 예로서, 주파수 값 F20으로부터 발생된 조절된 주파수 값 및 주파수 값 F20 사이의 차이는 주파수 값들 F21 및 F20 사이의 차이보다 작다.
전력 제어기 (142), AFT (114), 및 DSP (140) 는 발생기 제어기 (270) 의 부분들이다. 발생기 제어기 (270), ADC (220), 센서 (210), 및 2 MHz DA 시스템은 2 MHz 발생기 (274) 의 부분들이다. 마찬가지로, 전력 제어기 (152), 전력 제어기 (154), AFT들 (118 및 120), 및 DSP (150) 는 발생기 제어기 (272) 의 부분들이다. 발생기 제어기 (272), ADC (222), 센서 (212), 및 60 MHz DA 시스템은 60 MHz 발생기 (276) 의 부분들이다.
하나의 실시형태에서, 시스템 (180) 은 임피던스 정합 회로들 (182 및/또는 186) 을 제외한다. 일 실시형태에서, 단일 제어기가 전력 제어기 (142) 및 AFT (114) 대신 사용되며, 단일 제어기가 전력 제어기 (152) 및 AFT (118) 대신 사용되고, 단일 제어기가 전력 제어기 (154) 및 AFT (120) 대신 사용된다.
2 및 60 MHz 전원 공급부들을 사용하는 것에 더하여 27 MHz DA 시스템이 사용되는 실시형태에서, 27 MHz 발생기는 27 MHz 발생기가 60 MHz DA 시스템 대신 27 MHz DA 시스템을 구비한다는 것을 제외하면 60 MHz 발생기 (276) 와 유사하다. 27 MHz 발생기는 임피던스 정합 회로 (미도시) 및 송신 라인 (미도시) 을 경유하여 플라즈마 챔버 (102) 의 하부 전극 (104) 에 커플링된다. 더구나, 27 MHz DA 시스템은 도구 UI (112) 보다는 디지털 펄스형 신호 소스에 커플링되고, 디지털 펄스형 신호 소스에 의해 발생된 디지털 펄스형 신호는 TTL 신호 (112) 와 동기화되지 않을 수도 있다. 디지털 펄스형 신호 소스의 일 예는 클록 발진기 또는 TTL 신호를 발생하는 TTL 회로를 구비한 컴퓨터를 구비한다. 하나의 실시형태에서, 디지털 펄스형 신호 소스에 의해 발생된 디지털 펄스형 신호는 TTL 신호 (112) 와 동기된다. 27 MHz 발생기는 2 개의 전력 제어기들, 2 개의 AFT들, DSP, ADC, 센서, 및 27 MHz DA 시스템을 구비한다.
일 실시형태에서, 제 1 임계치 및 제 2 임계치는 훈련 루틴, 예컨대, 학습 프로세스 동안에 발생된다. 훈련 루틴 동안, 2 MHz DA 시스템이 그것의 RF 전력 신호를 로우 전력 값에서부터 하이 전력 값으로 변경하는 경우, 플라즈마 챔버 (102) 및 60 MHz DA 시스템 내의 하나 이상의 부분들, 예컨대, 플라즈마 등 사이에는 임피던스 부정합이 존재한다. 하이 전력 값은 로우 전력 값보다 높다. 2 MHz DA 시스템은, TTL 신호 (112) 또는 2 MHz RF DA 시스템에 공급되는 클록 신호의 상태가 S0에서 S1로 변경되는 경우에 자신의 RF 전력 신호의 상태를 로우 전력 값에서부터 하이 전력 값으로 변경시킨다. 이 경우, 60 MHz DA 시스템은 2 MHz DA 시스템이 하이 전력 값에서 전력을 공급하는 것을 시작하는 경우에 튜닝되는 그것의 주파수 및 전력을 가진다. 임피던스 부정합을 감소시키기 위해, 60 MHz DA 시스템은 전력 값에 대한 그리고 주파수 값에 대한 튜닝, 예컨대, 수렴화를 시작한다. 수렴 (convergence) 은 DSP (150) 에 의해 표준 편차 또는 다른 테크닉에 기초하여 결정될 수도 있다. 주파수 값으로 그리고 전력 값으로 수렴하기 위한 더 많은 시간을 60 MHz DA 시스템에 허용하기 위해, 2 MHz DA 시스템은 통상의 기간보다 연장된 기간 동안 하이 전력 값으로 유지된다. 통상의 기간은 임피던스 부정합이 감소되지, 예컨대, 제거되지 않는 시간량이다. 통상의 기간의 일 예는 TTL 신호 (112) 의 절반 사이클과 동일하다. 60 MHz DA 시스템이 전력 값 및 주파수 값으로 수렴하는 경우, 수렴된 전력 값은 전력 제어기 (152) 내에 전력 값 P21로서 저장되고 수렴된 주파수 값은 AFT (152) 내에 주파수 값 F21로서 저장된다. 제 1 임계치는 훈련 루틴 동안에 전력 값 P21로부터 발생되고 제 1 감마 값은 주파수 값 F21에 대응한다. 예를 들어, 센서 (212) 는 훈련 루틴 동안에 순방향 전력 값 및 반사된 전력 값을 측정한다. 센서 (212) 는 60 MHz 신호의 주파수가 F21인 경우 훈련 루틴 동안에 순방향 및 반사된 전력 값들을 측정한다. ADC (222) 는 측정된 순방향 및 반사된 값들을 아날로그 포맷에서부터 디지털 포맷으로 변환한다. DSP (150) 는 P21의 디지털 순방향 전력 값 및 디지털 반사된 전력 값을 ADC (222) 로부터 수신하고 전력 값 P21 및 훈련 루틴 동안에 측정된 디지털 반사된 전력 값으로부터 제 1 임계치를 발생한다.
마찬가지로, 훈련 루틴 동안, 전력 값 P20과 주파수 값들 F20은 2 MHz DA 시스템이 그것의 RF 전력 신호를 하이 전력 값에서부터 로우 전력 값으로 변경하는 경우에 발생된다. 전력 값 P20은 전력 제어기 (154) 에 저장되고 주파수 값 F20은 AFT (120) 에 저장된다. 또한, 전력 값 P20은 제 1 임계치가 전력 값 P21으로부터 발생되는 것과 유사한 방식으로 훈련 루틴 동안에 제 2 임계치를 발생하는데 이용된다. 제 2 임계치는 주파수 값 F20에 대응한다. 예를 들어, 60 MHz 신호의 전력 값이 P20인 것으로 결정되는 경우, 60 MHz 신호의 주파수 값은 F20이다.
일 실시형태에서, DSP (150) 대신, AFT (118) 및 전력 제어기 (152) 는 제 1 감마 값이 제 1 임계치보다 큰지의 여부를 결정한다. 이 실시형태에서, DSP (150) 는 제 1 감마 값을 AFT (118) 및 전력 제어기 (152) 에 제공한다. 제 1 감마 값이 제 1 임계치보다 크다고 AFT (118) 가 결정하는 경우, AFT (118) 는 제 1 감마 값에 대응하는 주파수 값 F21을 결정하고 그 주파수 값 F21을 60 MHz DA 시스템에 제공한다. 더구나, 제 1 감마 값이 제 1 임계치보다 크다고 전력 제어기 (152) 가 결정하는 경우, 전력 제어기 (152) 는 제 1 감마 값에 대응하는 전력 값 P21을 결정하고 전력 값 P21을 60 MHz DA 시스템에 제공한다.
더구나, 이 실시형태에서, DSP (150) 대신, AFT (120) 및 전력 제어기 (154) 는 제 2 감마 값이 제 2 임계치보다 큰지의 여부를 결정한다. 이 실시형태에서, DSP (150) 는 제 2 감마 값을 AFT (120) 및 전력 제어기 (154) 에 제공한다. 제 2 감마 값이 제 2 임계치보다 크다고 AFT (120) 가 결정하는 경우, AFT (120) 는 제 2 감마 값에 대응하는 주파수 값 F20을 결정하고 그 주파수 값 F20을 60 MHz DA 시스템에 제공한다. 더구나, 제 2 감마 값이 제 2 임계치보다 크다고 전력 제어기 (154) 가 결정하는 경우, 전력 제어기 (154) 는 제 2 감마 값에 대응하는 전력 값 P20을 결정하고 전력 값 P20을 60 MHz DA 시스템에 제공한다.
일 실시형태에서, 센서 (212) 가 순방향 및 반사된 전력들을 감지하는 대신, 복합 (complex) 전압 및 전류가 감지되고 감마는 감지된 값들의 전압 및 전류로부터 발생된다. 예를 들어, 하나 이상의 센서들, 예컨대, 전압 센서들, 전류 센서들 등은 송신 라인 (232) 상의 전류 및 전압을 감지하고, 감지된 전류 및 전압 값들을 복합 값들로 하여 DSP (150) 에 제공한다. DSP (150) 는 감지된 전류 및 전압 값들로부터 순방향 및 반사된 전력들을 계산하고, 그 순방향 및 반사된 전력들로부터 감마 값들을 발생한다.
하나의 실시형태에서, 센서 (212) 가 순방향 및 반사된 전력들을 감지하는 대신, TTL 신호 (106) 의 상태 S1 동안, 제 1 비교기는 송신 라인 (232) 상의 반사된 전압 또는 전류를 비교하여, 전압 또는 전류가 제 1 미리결정된 값보다 큰지의 여부를 결정한다. TTL 신호 (106) 의 상태 S1 동안, 전압 또는 전류가 제 1 미리결정된 값보다 큰 경우, 제 1 비교기는 제 1 신호를 DSP (150) 에 제공하고 전압 또는 전류가 제 1 미리결정된 값보다 크지 않는 경우, 비교기는 제 2 신호를 DSP (150) 에 제공한다. 제 1 신호를 수신하는 것에 응답하여, DSP (150) 는 전압 또는 전류가 제 1 미리결정된 값보다 크다는 것을 식별하고 제 2 신호를 수신하는 것에 응답하여, DSP (150) 는 전압 또는 전류가 제 1 미리결정된 값을 초과하지 않는다는 것을 식별한다. 전압 또는 전류가 제 1 미리결정된 값을 초과한다는 것을 DSP (150) 가 식별하는 경우, DSP (150) 는 제 1 미리결정된 값에 대응하는 주파수 값 F21을 결정하고 그 주파수 값 F21을 AFT (118) 에 제공한다. 더구나, 전압 또는 전류가 제 1 미리결정된 값을 초과한다는 표시를 수신 시, DSP (150) 는 제 1 미리결정된 값에 대응하는 전력 값 P21을 결정하고 그 전력 값 P21을 전력 제어기 (152) 에 제공한다. 비교기는 DSP (150) 에 커플링된다.
이 실시형태에서, TTL 신호 (106) 의 상태 S0 동안, 비교기는 송신 라인 (232) 상의 반사된 전압 또는 전류를 비교하여, 전압 또는 전류가 제 2 미리결정된 값보다 큰지의 여부를 결정한다. 전압 또는 전류가 제 2 미리결정된 값보다 큰 경우, 비교기는 제 1 신호를 DSP (150) 에 제공하고 전압 또는 전류가 제 2 미리결정된 값보다 크지 않는 경우, 비교기는 제 2 신호를 DSP (150) 에 제공한다. TTL 신호 (106) 의 상태 S0 동안에 제 1 신호를 수신하는 것에 응답하여, DSP (150) 는 전압 또는 전류가 제 2 미리결정된 값보다 크다는 것을 식별하고 TTL 신호 (106) 의 상태 S0 동안에 제 2 신호를 수신하는 것에 응답하여, DSP (150) 는 전압 또는 전류가 제 2 미리결정된 값을 초과하지 않는다는 것을 식별한다. 전압 또는 전류가 제 2 미리결정된 값을 초과한다고 DSP (150) 가 결정하는 경우, DSP (150) 는 제 2 미리결정된 값에 대응하는 주파수 값 F20을 결정하고 그 주파수 값 F20을 AFT (120) 에 제공한다. 더구나, 전압 또는 전류가 제 2 미리결정된 값을 초과한다는 표시를 수신 시, DSP (150) 는 제 2 미리결정된 값에 대응하는 전력 값 P20을 결정하고 그 전력 값 P20을 전력 제어기 (154) 에 제공한다. 일 실시형태에서, 비교기는 아날로그 회로, 예컨대, 하나 이상의 연산 증폭기들을 구비한다.
도 2는 감마 값이 제 1 임계치보다 큰지 또는 제 2 임계치보다 큰지에 기초하여, 상태들에서의 변화를 보여주는 테이블 (250) 의 실시형태이다. 테이블 (250) 에 나타낸 바와 같이, TTL 신호 (112) 는 디지털 펄스형 신호, 예컨대, 클록 신호를 DSP (140) (도 1) 에 제공하는데 이용된다.
TTL 신호 (112) 가 상태 S1에 있는 경우, 2 MHz 신호는 하이 파워 레벨을 가진다. TTL 신호 (112) 의 상태 S1 동안, 감마 값이 제 1 임계치를 초과하는지의 여부가 결정된다. 감마 값이 제 1 임계치를 초과한다고 결정하는 것에 응답하여, 상태 S1을 달성하기 위해 60 MHz 신호의 전력 값은 전력 값 P21로부터 전력 값 P20으로 변경되고 60 MHz 신호의 주파수 값은 주파수 값 F20으로부터 주파수 값 F21로 변경된다.
또한, TTL 신호 (112) 가 상태 S0에 있는 경우, 2 MHz 신호는 로우 파워 레벨을 가진다. TTL 신호 (112) 의 상태 S0 동안, 감마 값이 제 2 임계치를 초과하는지의 여부가 결정된다. 감마 값이 제 2 임계치를 초과한다고 결정하는 것에 응답하여, 상태 S0을 달성하기 위해 60 MHz 신호의 전력 값은 전력 값 P20으로부터 전력 값 P21로 변경되고 60 MHz 신호의 주파수 값은 주파수 값 F21로부터 주파수 값 F20으로 변경된다.
도 3은 훈련 루틴 동안에 2 개의 RF 신호들인 2 MHz 신호 및 60 MHz 신호의 순방향 전력 대 시간의 선도인 그래프 (111) 의 실시형태를 도시한다. 일 실시형태에서, 훈련 루틴은 튜닝된 RF 값들, 예컨대, 전력 값들 P20 및 P21, 주파수 값들 F20 및 F21, 임계치 값들 등을 결정하기 위해 한 번 수행되거나, 또는, 예를 들어, 플라즈마 임피던스를 해명하기 위한 기간 동안 한 번 수행된다. 이 예에서, 2 MHz 신호는 독립적 펄싱 (independently pulsing; IP) RF 신호이고 60 MHz 신호는 2 MHz RF 신호가 펄싱되는 경우에 전력 공급을 최적화하기 위해 그것의 RF 주파수를 튜닝하는 종속적 RF 신호를 나타낸다. 하나의 종속적 RF 발생기 (예컨대, 60 MHz) 만이 도 3에 관련하여 논의되었지만, 다수의 종속적 RF 발생기들이 있을 수 있고, 그것들의 각각은 IP RF 신호가 펄싱되는 경우에 그것 소유의 최적의 튜닝된 RF 주파수들 및 임계치들을 확인 (ascertain) 하기 위해 유사한 훈련 루틴을 겪을 수도 있다는 것이 이해되어야 한다.
도 3은 도 4를 참조하여 설명되는 방법 (113) 의 흐름도의 실시형태에 연계하여 학습되는 경우에 양호하게 이해될 수도 있다. 이 방법 (113) 은 훈련 루틴의 일 예이다.
포인트 115에서, IP RF 발생기 (예컨대, 2 MHz 발생기) 의 IP RF 신호 (119) 는 하이 전력 설정 포인트에 대해 하이로 펄싱된다. 도 1의 일 예에서, 2 MHz IP RF 발생기에 대한 하이 전력 설정 포인트는 6 킬로와트 (kW) 이다. 이는 또한 도 4의 동작 117에서 도시된다.
게다가, 종속적 RF 발생기 (예컨대, 60 MHz 발생기) 는 IP RF 신호 (119) 가 하이로 펄싱되는 경우에 종속적 RF 발생기가 전력 공급을 위한 최적의 RF 주파수로 수렴하는 것을 허용하는 그것의 주파수 자가 튜닝 모드로 설정된다. 이는 또한 도 4의 동작 117에서 도시된다. 정교화를 위해, 독립적 또는 종속적 RF 발생기는 플라즈마 챔버 (102) 와 연관된 많은 변수들을 모니터링하고, 플라즈마 챔버 (102) 로의 전력 공급을 최대화하기 위해 그것 소유의 변수들을 조절한다. 그 다음 독립적 또는 종속적 RF 발생기는 감마를 최소화하기 위해 그것의 RF 신호 주파수를 튜닝하며, 이에 의해 전력 공급 효율을 최대화한다.
2 MHz의 IP RF 신호는 포인트들 (115 및 121) 사이의 주기 동안에 하이로 펄싱된다. IP RF 신호의 이 하이 펄스 지속시간은 기판 (108) 의 프로세싱을 위한 생산 시간 동안에 채용된 IP RF 신호 하이 펄스 지속시간에 비하여, 훈련 시간 동안, 예컨대, 1/10 초들부터 초들의 배수까지로 크게 연장된다. 기판 (108) 은 기판 (108) 을 식각하기 위해, 기판 (108) 상에 하나 이상의 층들을 증착하기 위해, 기판 (108) 을 세정하기 위해 등을 위해 처리될 수도 있다. 이 인위적으로 연장된 하이 펄스 지속시간은 종속적 RF 발생기에, IP RF 신호가 하이로 펄싱되는 경우에 존재하는 플라즈마 임피던스 조건에 대해 전력 공급 효율을 최대화하기 위해 그것의 주파수를 최적으로 튜닝할 만큼 충분한 시간을 제공한다.
종속적 RF 발생기는 2 MHz IP RF 신호가 하이로 펄싱되는 경우에 0.04의 감마 값에 대해 61.3 MHz의 주파수 값으로 튜닝한다. 종속적 RF 발생기에 대한 61.3 MHz의 이 최적의 튜닝된 RF 주파수, 예컨대, IDPC_Freq1은 그 다음에 동작 123에서 예시된 바와 같이 AFT (118) (도 1) 내에 레코딩되고 도 4의 동작 125에서 예시된 바와 같이 IDPC_Freq1로서 설정된다. IDPC_Freq1은 주파수 값 F21의 일 예이다. 주파수 IDPC_Freq1에서 센서 (212) 에 의해 감지된 순방향 전력, 예컨대, 6kW 등은 전력 값 P21의 일 예이다. 이 61.3 MHz 값은 2 MHz IP RF 신호가 하이로 펄싱되는 경우에 60 MHz 종속적 RF 신호에 대한 최적의 RF 주파수를 나타낸다. 0.04의 감마 값은 전력 공급이 종속적 RF 발생기에 대한 최적의 튜닝된 RF 주파수에서 효율적이라는 것을 검증한다.
종속적 RF 발생기는 그 다음에 고정된 주파수 모드에서 동작하며 이로 인해 그것의 RF 주파수는 튜닝이 허용되지 않는다. 대신에, 종속적 RF 발생기는 전술한 61.3 MHz 최적의 튜닝된 RF 주파수에서 동작하도록 강제되고 2 MHz IP RF 신호는 그것의 하이 전력 설정 포인트에서부터 그것의 로우 전력 설정 포인트로 (121에서 127로) 전이한다. 이는 도 4의 동작 131에서 알 수 있다. 2 MHz RF 신호에 대한 로우 전력 설정 포인트가 도 2의 일 예에서는 0이지만, 일 실시형태에서, 로우 전력 설정 포인트는 6 kW의 하이 전력 설정 포인트보다 낮은 임의의 파워 레벨 설정치 (setting) 일 수도 있다.
IP RF 신호가 로우로 펄싱된 후 (포인트 127 후), 61.3 MHz의 이전의 최적의 튜닝된 RF 주파수는 종속적 RF 발생기에 의한 전력 공급에 대해 더 이상 효율적인 RF 주파수가 아니다. 이는 플라즈마 챔버 (102) 내의 플라즈마에 낮은 RF 전력량을 공급하기 위해 2 MHz IP RF 신호가 로우로 펄싱되는 경우에 플라즈마 임피던스가 변경되었기 때문이다. 이 비효율성은 0.8의 감마 값에 반영되며, 그것은 종속적 RF 발생기의 센서 (212) 에 의해 검출된다. 이 0.8의 감마 값은 도 4의 동작 133에서 레코딩되고, 도 4의 동작 135에서 IDPC_Gamma1 임계치로서 설정될 수도 있다. IDPC_Gamma1 임계치는 제 2 임계치의 일 예이다. IDPC_Gamma2 임계치는 DSP (150) 의 메모리 디바이스, AFT (120) 의 메모리 디바이스, 및/또는 전력 제어기 (154) (도 1) 의 메모리 디바이스 내에 저장된다.
생산 시간 동안, IP RF 신호가 하이로 펄싱하고 60 MHz RF 신호는 61.3 MHz로 있고 IDPC_Gamma1 임계치가 그 뒤에 맞닥뜨리므로, 종속적 RF 발생기는 2 MHz IP RF 신호가 하이에서 로우로 바로 전이했다고 결정한다.
하나 이상의 실시형태들에서, IDPC_Gamma1 임계치는 Threshold1_Adjust 값에 의해 감도에 대해 조절될 수 있다. 예를 들어, 동작 135에서 2 MHz IP RF 신호의 하이-로우로의 전이로 인해 존재하는 감마 값 약간 미만으로, 예컨대, 0.8 대신 0.7로 IDPC_Gamma1 임계치를 설정하여, 센서 (212) 에 의한 하이-로우로의 검출 감도를 증가시키는 것이 바람직할 수도 있다. 이 예에서, Threshold1_Adjust 값은 -0.1이고, 0.7의 IDPC_Gamma1 임계치는 0.8의 감마 값 및 -0.1의 Threshold1_Adjust 값의 합이다.
일단 IDPC_Gamma1 임계치가 획득되면, 60 MHz 종속적 RF 발생기는 60 MHz 종속적 RF 발생기를 인에이블시켜 2 MHz IP RF 신호가 로우로 펄싱되는 경우에 전력 공급을 위한 최적의 튜닝된 RF 주파수를 결정하기 위해 동작 139에서 주파수 자가 튜닝 모드로 설정된다. 다시, 2 MHz IP RF 신호의 로우 펄스는, 2 MHz IP RF 신호의 로우 펄스 동안에 IDPC_Gamma1 임계치의 확인을 가능하게 하고 60 MHz 종속적 RF 발생기가 전력 공급을 위한 최적의 RF 주파수로 자가 튜닝하는 것을 허용하기 위해 도 3의 포인트들 127 및 137 사이에서 인위적으로 연장된다.
일단 종속적 RF 발생기가 2 MHz IP RF 신호의 로우 펄스 동안에 전력 공급을 위한 최적의 RF 주파수, 예컨대, 60.5 MHz로 튜닝하면, 종속적 RF 발생기의 최적의 튜닝된 RF 주파수는 동작 141에서 레코딩되고 동작 143에서 IDPC_Freq2로 설정된다.
종속적 RF 발생기가 2 MHz IP RF 신호의 로우 펄스에 대해 그것의 최적의 RF 주파수 값, 예컨대, 60.5 MHz 등으로 튜닝한 후, 종속적 RF 발생기는 동작 145에서 IDPC_Freq2의 고정 주파수 모드로 동작하도록 설정되고, 2 MHz IP RF 발생기에는 하이로 펄싱되는 것, 예컨대, 포인트 137에서 포인트 147로 전이하는 것이 허용된다. IDPC_Freq2는 주파수 값 F20의 일 예이다. 주파수 IDPC_Freq2에서 센서 (212) 에 의해 감지된 순방향 전력은 전력 값 P20의 일 예이다. 이는 또한 도 4의 동작 145에서 알 수 있다.
2 MHz IP RF 신호가 하이로 펄싱된 후, 예컨대, 포인트 137 후, 이전의 최적의 튜닝된 RF 주파수 IDPC_Freq2는 60 MHz RF 발생기에 의한 전력 공급에 대해 더 이상 효율적인 RF 주파수가 아니다. 이는 플라즈마 챔버 (102) 내의 플라즈마에 높은 RF 전력량을 공급하기 위해 2 MHz 독립적 펄싱 RF 신호가 하이로 펄싱되는 경우에 플라즈마 임피던스가 변경되었기 때문이다. 이 비효율성은 0.78의 감마 값에 반영되며, 그것은 센서 (212) 에 의해 검출된다. 이 0.78의 감마 값은 동작 151에서 레코딩되고, 동작 153에서 IDPC_Gamma2 임계치로서 설정될 수도 있다. IDPC_Gamma2 임계치는 제 1 임계치의 일 예이다. IDPC_Gamma2 임계치는 DSP (150) 의 메모리 디바이스, AFT (118) 의 메모리 디바이스, 및/또는 전력 제어기 (152) 의 메모리 디바이스 내에 저장된다.
생산 시간 동안 IP RF 신호가 로우로 펄싱되고 60 MHz RF 신호는 IP RF 신호가 로우로 펄싱된 경우의 60 MHz RF 발생기에 대한 최적의 튜닝된 RF 주파수인 60.5 MHz이고, IDPC_Gamma2 임계치가 그 뒤에 맞닥뜨리게 되므로, 종속적 RF 발생기는 2 MHz IP RF 신호가 로우에서 하이로 바로 전이했다고 결정한다.
하나 이상의 실시형태들에서, IDPC_Gamma2 임계치는 Threshold2_Adjust 값에 의해 감도에 대해 조절될 수 있다. 예를 들어, 도 4의 동작 153에서 2 MHz IP RF 신호의 로우-하이로의 전이로 인해 존재하는 진정한 감마 값 약간 미만, 예컨대, 0.78 대신 0.75로 IDPC_Gamma2 임계치를 설정하여, 센서 (212) 에 의한 로우-하이로의 검출 감도를 증가시키는 것이 바람직할 수도 있다. 이 예에서, Threshold2_Adjust 값은 -0.03이고, 0.75의 IDPC_Gamma2 임계치는 0.78의 감마 값 및 -0.03의 Threshold2_Adjust 값의 합이다.
2 개의 최적의 튜닝된 RF 주파수들의 값들, 예컨대, 61.3 MHz 및 60.5 MHz, 및 2 개의 감마 임계치 값들, 예컨대, lDPC_Gamma1 임계치 및 IDPC_Gamma2 임계치는, 그 다음에 2 MHz가 펄싱을 위해 허용되는 생산 시간 동안 채용되고, 60 MHz 종속적 RF 발생기는 감마 값이 임계치들을 초과한다는 것을 센서 (212) 가 검출하는 경우에 2 개의 이전에 학습된 최적의 튜닝된 RF 주파수들 간을 앞뒤로 플립핑 (flipping) 한다. 60 MHz 신호는 도 3에서 신호 (155) 로서 예시된다.
도 5는 전력 제어기들 및/또는 주파수 튜너들이 0이 아닌 값들을 제공하지 않는 경우의, 플라즈마 임피던스에 기초하여 상태를 변경하기 위한 시스템 (262) 의 일 실시형태의 다이어그램이다. 이 시스템 (262) 은, 시스템 (262) 이 전력 제어기 (172) 및 AFT (264) 를 구비하며 전력 제어기 및 AFT의 각각은 0이 아닌 값들을 제공한다는 것을 제외하면, 도 1의 시스템 (180) 과 유사하다.
DSP (140) 는 식별된 상태 S0를 대응하는 메모리 로케이션으로부터 AFT (264) 에 그리고 전력 제어기 (172) 에 제공한다. 일 예로, DSP (140) 는 TTL 신호 (112) 가 듀티 사이클의 시간들 t2 및 t3 사이에서 상태 S0에 있다는 것을 AFT (264) 및 전력 제어기 (172) 에 표시한다. AFT (264) 는 TTL 신호 (112) 의 상태에 기초하여 주파수 값을 결정하고 전력 제어기 (172) 는 TTL 신호 (112) 의 상태에 기초하여 전력 값을 결정한다. 예를 들어, AFT (264) 는 TTL 신호 (112) 의 상태가 S0인 경우에 주파수 값 F10이 2 MHz DA 시스템에 제공되는 것이라고 결정하고 전력 제어기 (172) 는 TTL 신호 (112) 의 상태가 S0인 경우에 전력 값 P10이 2 MHz DA 시스템에 제공되는 것이라고 결정한다. 하나의 실시형태에서, 값들 F10 및 P10은 양의 값들이다.
주파수 값 F10은 AFT (264) 에 저장되고 전력 값 P10은 전력 제어기 (172) 에 저장된다. TTL 신호 (112) 의 상태가 S0인 경우, 전력 제어기 (172) 는 P10의 전력 값을 2 MHz DA 시스템에 제공하고 AFT (264) 는 F10의 주파수 값을 2 MHz DA 시스템에 제공한다.
2 MHz DA 시스템은 상태 S0 동안에 F10의 주파수 값 및 P10의 전력 값을 수신한다. 값들 F10 및 P10을 수신 시, 2 MHz DA 시스템은 주파수 F10의 RF 전력을 발생하고 그 RF 전력은 P10의 전력 값을 가진다. 2 MHz DA 시스템의 증폭기는, P10의 전력 값에 비례하는 전력 값을 가지고 주파수 값 F10을 가지는 순방향 전력을 송신 라인 (230) 및 임피던스 정합 회로 (182) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
일 실시형태에서, TTL 신호 (12) 의 상태 S0 동안, AFT (264) 는 DSP (140) 로부터 수신된 감마 값에 기초하여 주파수 값을 결정한다. 상태 S0 동안, AFT (264) 는 감마 값으로부터 발생된 주파수 값에 기초하여 F10의 주파수 값을 조절하고 조절된 주파수 값을 2 MHz DA 시스템에 제공한다. 더구나, 상태 S0 동안, 전력 제어기 (172) 는 DSP (140) 로부터 수신된 감마 값에 기초하여 전력 값을 결정한다. 상태 S0 동안, 전력 제어기 (172) 는 감마 값에 기초하여 발생된 전력 값에 기초하여 P10의 전력 값을 조절하고 조절된 전력 값을 2 MHz DA 시스템에 제공한다. 또한, 상태 S0 동안, 2 MHz DA 시스템은, AFT (264) 로부터 수신된 조절된 주파수 값을 가지고 전력 제어기 (172) 로부터 수신된 조절된 전력 값을 가지는 전력 신호를 발생하고, 그 전력 신호를 임피던스 정합 회로 (182) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
전력 제어기들 (142 및 172), AFT들 (114 및 264), 및 DSP (140) 는 발생기 제어기 (290) 의 부분들이다. 발생기 제어기 (290), ADC (220), 센서 (210), 및 2 MHz DA 시스템은 2 MHz 발생기 (292) 의 부분들이다.
하나의 실시형태에서, 각각의 DSP (140 또는 150) 대신, 임의의 수의 프로세서들이 DSP에 의해 수행된 기능들을 수행하는데 사용된다.
도 6a는 그래프들 (302, 304, 306, 및 308) 의 실시형태들을 도시한다. 각각의 그래프 (302, 304, 306, 및 308) 는 킬로와트 (kW) 의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프 302에서 나타낸 바와 같이, 2 MHz DA 시스템에 의해 공급된 전력 신호인 2 MHz 전력 신호는, 상태 S1 동안에 a1의 전력 값을 가지고 상태 S0 동안에 0의 전력 값을 가진다. 전력 값 a1은 전력 값 P11의 일 예이다. 또한, 60 MHz DA 시스템에 의해 공급된 전력 신호인 60 MHz 전력 신호는, 상태 S1 동안에 a2의 전력 값을 가지고 상태 S0 동안에 a3의 전력 값을 가진다. a2의 전력 값은 전력 값 P21의 일 예이고 a3의 전력 값은 전력 값 P20의 일 예이다.
그래프 304에 나타낸 바와 같이, 60 MHz 전력 신호는 상태들 S1 및 S0 동안에 전력 값 a2를 가진다. 더구나, 그래프 306에 나타낸 바와 같이, 2 MHz 신호는 상태 S0 동안에 a4의 전력 값을 가진다. 전력 값 a4는 전력 값 P10의 일 예이다. 그래프 308에 나타낸 바와 같이, 60 MHz 신호는 2 MHz 신호가 a4의 전력 값을 가지는 경우에 a2의 전력 값을 가진다.
도 6b는 그래프들 (310, 312, 314, 및 316) 의 실시형태들을 도시한다. 각각의 그래프 (310, 312, 314, 및 316) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프 310에 도시된 바와 같이, 60 MHz 신호가 a2의 전력 값으로부터 a3의 전력 값으로 전이하는 (도 6a) 대신, 60 MHz 신호는 a2의 전력 값으로부터 0의 전력 값으로 전이한다.
더구나, 그래프 312에 도시된 바와 같이, 60 MHz 신호는 a2의 전력 값으로부터 전력 값 P20의 일 예인 a5의 전력 값으로 전이한다. 그래프 314에 도시된 바와 같이, 60 MHz 신호는 2 MHz 신호가 a4의 0이 아닌 전력 값을 가지는 경우에 상태 S0 동안 0의 전력 값을 가진다. 그래프 316에 도시된 바와 같이, 60 MHz 전력 신호는 2 MHz 신호가 a4의 0이 아닌 전력 값을 가지는 경우에 상태 S0 동안 a5의 영이 아닌 전력 값을 가진다.
도 7a는 그래프들 (318, 320, 322, 및 324) 의 실시형태들을 도시한다. 각각의 그래프 (318, 320, 322, 및 324) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프들 (318, 320, 322, 및 324) 이 27 MHz 신호의 선도를 포함한다는 것을 제외하면, 그래프 318은 그래프 302 (도 6a) 와 유사하며, 그래프 320은 그래프 304 (도 6a) 와 유사하며, 그래프 320는 그래프 306 (도 6a) 과 유사하고, 그래프 322는 그래프 308 (도 6a) 과 유사하다. 27 MHz 신호는 27 MHz 발생기의 27 MHz DA 시스템 (미도시) 으로부터 발생된다. 27 MHz 신호는 양쪽 모두의 상태들 S1 및 S0 동안 a6의 전력 값을 갖는 RF 신호이다.
도 7b는 그래프들 (326, 328, 330, 및 332) 의 실시형태들을 도시한다. 각각의 그래프 (326, 328, 330, 및 332) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프들 (326, 328, 330, 및 332) 이 a6의 전력 값을 가지는 27 MHz 신호의 선도를 포함한다는 것을 제외하면, 그래프 326은 그래프 310 (도 6b) 과 유사하며, 그래프 328은 그래프 312 (도 6b) 와 유사하며, 그래프 330은 그래프 314 (도 6b) 와 유사하고, 그래프 332는 그래프 316 (도 6b) 과 유사하다.
도 7c는 그래프들 (334, 336, 338, 및 340) 의 실시형태들을 도시한다. 각각의 그래프 (334, 336, 338, 및 340) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프들 (334, 336, 338, 및 340) 이 27 MHz 신호의 선도를 포함한다는 것을 제외하면, 그래프 334는 그래프 302 (도 6a) 와 유사하며, 그래프 336은 그래프 304 (도 6a) 와 유사하며, 그래프 338은 그래프 306 (도 6a) 과 유사하고, 그래프 340은 그래프 308 (도 6a) 과 유사하다. 27 MHz 신호는 상태 S1 동안에 a7의 전력 값을 갖는 것에서부터 상태 S0 동안에 a8의 전력 값을 갖는 것으로 전이한다. 전력 값 a7은 전력 값 a8 미만이다.
도 7d는 그래프들 (342, 344, 346, 및 348) 의 실시형태들을 도시한다. 각각의 그래프 (342, 344, 346, 및 348) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프들 (342, 344, 346, 및 348) 이 a7 및 a8의 전력 값들을 가지는 27 MHz 신호의 선도를 포함한다는 것을 제외하면, 그래프 342는 그래프 310 (도 6b) 과 유사하며, 그래프 344는 그래프 312 (도 6b) 와 유사하며, 그래프 346은 그래프 314 (도 6b) 와 유사하고, 그래프 348는 그래프 316 (도 6b) 과 유사하다.
도 7e는 그래프들 (350, 352, 354, 및 356) 의 실시형태들을 도시한다. 각각의 그래프 (350, 352, 354, 및 356) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프들 (350, 352, 354, 및 356) 이 27 MHz 신호의 선도를 포함한다는 것을 제외하면, 그래프 350은 그래프 302 (도 6a) 와 유사하며, 그래프 352은 그래프 304 (도 6a) 와 유사하며, 그래프 354는 그래프 306 (도 6a) 과 유사하고, 그래프 356은 그래프 308 (도 6a) 과 유사하다. 27 MHz 신호는 상태 S1 동안에 a9의 전력 값을 갖는 것에서부터 상태 S0 동안에 a10의 전력 값을 갖는 것으로 전이한다. 전력 값 a9은 전력 값 a10보다 크다.
도 7f는 그래프들 (358, 360, 362, 및 364) 의 실시형태들을 도시한다. 각각의 그래프 (358, 360, 362, 및 364) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프들 (358, 360, 362, 및 364) 이 a9 및 a10의 전력 값들을 가지는 27 MHz 신호의 선도를 포함한다는 것을 제외하면, 그래프 358은 그래프 310 (도 6b) 과 유사하며, 그래프 360은 그래프 312 (도 6b) 와 유사하며, 그래프 362는 그래프 314 (도 6b) 와 유사하고, 그래프 364는 그래프 316 (도 6b) 과 유사하다.
위에서 도시된 그래프들 (302, 304, 306, 308, 310, 312, 314, 316, 318, 320, 322, 324, 326, 328, 330, 332, 334, 336, 338, 340, 342, 344, 346, 348, 350, 352, 354, 356, 및 358) 에서, 2 MHz 신호는 실선으로 도시되며, 60 MHz 신호는 쇄선으로 도시되고, 27 MHz 신호는 점선으로 도시된다는 것에 주의해야 한다.
도 8은 감마 값이 제 1 임계치보다 큰지 또는 제 2 임계치보다 큰지에 기초하여, AFT들 (118 및 120) (도 1 및 3) 간을 선택하는 시스템 (310) 의 일 실시형태의 블록도이다. TTL 신호 (112) 가 상태 S1에 있고 상태 S1 동안에 측정된 감마 값이 제 1 임계치를 초과하는 경우, 시스템 (310) 의 선택기의 일 예인 선택 로직 (128) 은 AFT (118) 를 선택하고 TTL 신호 (112) 가 상태 S0에 있고 상태 S0 동안에 측정된 감마 값이 제 2 임계치를 초과하는 경우, 선택 로직 (128) 은 AFT (120) 를 선택한다. 선택 로직 (128) 의 예들은 멀티플렉서를 포함한다. 선택 로직 (128) 이 멀티플렉서를 포함하는 경우, TTL 신호 (112) 의 상태 S1 동안에 측정된 감마 값이 제 1 임계치보다 크다는 것을 나타내는 신호 또는 TTL 신호 (112) 의 상태 S0 동안에 측정된 감마 값이 제 2 임계치보다 크다는 것을 나타내는 신호는 멀티플렉서의 선택 입력에서 수신된다. DSP (150) 는 TTL 신호 (112) 가 상태 S1을 가지는 경우에 TTL 신호 (112) 의 상태 S1 동안에 측정된 감마 값이 제 1 임계치보다 크다는 것을 나타내는 신호를 발생하고 그 신호를 멀티플렉서에 제공한다. DSP (150) 는 TTL 신호 (112) 가 상태 S0을 가지는 경우에 TTL 신호 (112) 의 상태 S0 동안에 측정된 감마 값이 제 2 임계치보다 크다는 것을 나타내는 신호를 발생하고 그 신호를 멀티플렉서에 제공한다.
하나의 실시형태에서, 선택 로직 (128) 은 프로세서를 구비한다. 일 실시형태에서, 선택 로직 (128) 은 DSP (140) 내에 구현된다.
AFT (118) 가 선택되는 경우, AFT (118) 는 주파수 값 F21을 60 MHz DA 시스템에 제공한다. 마찬가지로, AFT (120) 가 선택되는 경우, AFT (120) 는 주파수 값 F20을 60 MHz DA 시스템에 제공한다.
60 MHz DA 시스템은 클록 소스 (312) 로부터 수신되는 클록 신호와 동기하여 2 MHz 신호를 발생한다. 하나의 실시형태에서, 클록 소스 (312) 의 클록 신호는 TTL 신호 (112) 와 비동기된다. 하나의 실시형태에서, 클록 소스 (312) 의 클록 신호는 TTL 신호 (112) 와 동기된다.
하나의 실시형태에서, 선택 로직 (128) 은 AFT들 (118 및 120) 대신 전력 제어기들 (152 및 154) (도 5) 간을 선택한다. 전력 제어기 (152) 가 TTL 신호 (112) 의 상태 S1 동안에 선택되는 경우, 전력 제어기 (152) 는 전력 값 P21을 60 MHz DA 시스템에 제공하고 전력 제어기 (154) 가 TTL 신호 (112) 의 상태 S0 동안에 선택되는 경우, 전력 제어기 (154) 는 전력 값 P20을 60 MHz DA 시스템에 제공한다.
하나의 실시형태에서, 선택 로직 (128) 은 선택 로직 (128) 이 60 MHz 발생기 (276) (도 1 및 3) 내에 구현되는 유사한 방식으로 27 MHz 발생기 내에 구현된다.
감마 값은 선택 로직 (128) 에 의해 상태 S1 또는 S0에 기초하여 AFT (118 또는 120) 로 전송된다. 예를 들어, 상태가 S1인 경우, DSP (150) 는 제 1 감마 값을 선택 로직 (128) 에 제공한다. 이 예에서, 상태 S1 동안에 AFT (118) 를 선택하는 선택 로직 (128) 은 DSP (150) 로부터 수신된 제 1 감마 값을 AFT (118) 에 전송한다. 다른 예로서, 상태가 S0인 경우, DSP (150) 는 제 2 감마 값을 선택 로직 (128) 에 제공한다. 이 예에서, 상태 S0 동안에 AFT (120) 를 선택하는 선택 로직 (128) 은 DSP (150) 로부터 수신된 제 2 감마 값을 AFT (120) 에 전송한다.
마찬가지로, 전력 제어기들 (152 및 154) (도 5) 이 사용되는 실시형태들에서, 선택 로직 (128) 은 상태 S1 동안 DSP (150) 로부터 수신된 제 1 감마 값을 전력 제어기 (152) 에 전송하고 DSP (150) 로부터 수신된 제 2 감마 값을 전력 제어기 (154) 에 전송한다.
더욱이, 선택 로직 (128) 이 27 MHz 발생기 내에 구현되고 27 MHz 발생기의 전력 제어기들에 커플링되는 실시형태에서, 선택 로직 (128) 은 상태 S1 동안 27 MHz 발생기의 DSP (150) 로부터 수신된 제 3 감마 값을 전력 제어기들 중 하나에 전송하고 상태 S0 동안 DSP (150) 로부터 수신된 제 4 감마 값을 전력 제어기들 중 하나에 전송한다. 이 실시형태에서, 제 3 감마 값은 27 MHz 발생기에 커플링된 송신 라인 상의 순방향 및 반사된 전력들에 기초하여 발생된다. 또한, 이 실시형태에서, 순방향 및 반사된 전력들 양쪽 모두는 27 MHz 발생기의 센서에 의해 감지된다. 이 실시형태에서, 제 4 감마 값은 27 MHz 발생기에 커플링된 송신 라인 상의 순방향 및 반사된 전력들에 기초하여 발생된다.
더욱이, 선택 로직 (128) 이 27 MHz 발생기 내에 구현되고 27 MHz 발생기의 AFT들에 커플링되는 실시형태에서, 선택 로직 (128) 은 상태 S1 동안 27 MHz 발생기의 DSP (150) 로부터 수신된 제 3 감마 값을 AFT들 중 하나에 전송하고 상태 S0 동안 DSP (150) 로부터 수신된 제 4 감마 값을 AFT들 중 다른 하나에 전송한다.
도 9는 60 MHz 발생기 (276) (도 1 및 3) 의 상태 S1 또는 S0을 달성하기 위해 60 MHz DA 시스템의 주파수 및/또는 전력을 조절하기 위한 방법 (321) 의 실시형태의 흐름도이다. 동작 325에서, 플라즈마는 플라즈마 챔버 (102) (도 1) 내에서 때려진다, 예컨대, 발생된다.
동작 327에서, TTL 신호 (112) 의 양쪽 모두의 상태들 동안, 송신 라인 (232) 상의 순방향 및 반사된 전력들은 센서 (212) (도 5) 에 의해 측정된다. 측정된 순방향 및 반사된 전력들은 아날로그 포맷에서 디지털 포맷으로 변환된다.
동작 329에서, DSP들 (140 및 150) 은 TTL 신호 (112) 의 상태들 S0 및 S1 동안에 순방향 및 반사된 전력들의 디지털 값들로부터 감마 값들을 계산한다. TTL 신호 (112) 의 각각의 상태에 대해, 감마 값은 DSP에 의해 결정된다. 예를 들어, TTL 신호 (112) 의 상태 S0 동안, 감마 값은 순방향 및 반사된 전력 사이의 관계, 예컨대, 송신 라인 (232) 상에서 감지된 반사된 전력 대 순방향 전력의 비율의 제곱근 등에 기초하여 DSP (150) 에 의해 결정되고, TTL 신호 (112) 의 상태 S1 동안, 감마 값은 순방향 및 반사된 전력 사이의 관계, 예컨대, 송신 라인 (232) (도 5) 상에서 감지된 반사된 전력 대 순방향 전력의 제곱근에 기초하여 DSP (150) 에 의해 결정된다.
동작 331에서, TTL 신호 (112) 의 상태 S1 동안에 측정된 감마 값이 제 1 임계치보다 큰지의 여부가 결정되고 TTL 신호 (112) 의 상태 S0 동안에 측정된 감마 값이 제 2 임계치보다 큰지의 여부가 결정된다. 예를 들어, AFT (118) 및 전력 제어기 (152) 는 DSP (150) 로부터 수신된 감마 값이 제 1 임계치보다 큰지의 여부를 결정하고, AFT (120) 및 전력 제어기 (154) 는 DSP (150) 로부터 수신된 감마 값이 제 2 임계치를 초과하는지의 여부 결정한다. 다른 예로서, DSP (150) 는 제 1 감마 값이 제 1 임계치보다 큰지의 여부와 제 2 감마 값이 제 2 임계치보다 크다고 결정한다.
감마 값이 제 1 임계치보다 크다는 결정 시, 동작 333에서, AFT (118) 는 주파수 값 F21을 60 MHz DA 시스템에 제공하고 전력 제어기 (152) 는 전력 값 P21을 60 MHz DA 시스템에 제공한다. 더구나, 감마 값이 제 2 임계치보다 크다는 결정 시, 동작 3353에서, AFT (120) 는 주파수 값 F20을 60 MHz DA 시스템에 제공하고 전력 제어기 (154) 는 전력 값 P20을 60 MHz DA 시스템에 제공한다. 방법 (321) 의 동작 327은 동작들 (333 및 335) 후에 반복된다.
비록 방법 (321) 이 60 MHz 발생기 (276) 에 관해 설명되었지만, 하나의 실시형태에서, 방법 (321) 은 27 MHz 또는 60 MHz와는 다른 주파수로 27 MHz 발생기 또는 발생기에 적용된다.
도 10은 IP RF 신호 펄싱 동안에 최적의 생산 시간 전력 공급을 위해 종속적 RF 발생기에 의한 RF 튜닝을 구현하기 위한 정규화된 RF 변수들 대 시간의 그래프 (400) 의 실시형태를 도시한다. 정규화된 RF 변수들의 예들은 순방향 전력 및 감마 값들을 포함한다. 도 10은 실시형태가 도 11에 도시된 방법 (500) 의 흐름도와 연계하여 학습되는 경우에 양호하게 이해될 수도 있다. 그 방법 (500) 은 펄싱 동안에 최적의 전력 공급을 위한 종속적 RF 발생기에 의한 주파수 튜닝을 구현하는 동작들에 관한 세부사항들을 제공한다.
포인트 402에서, 2 MHz IP RF 발생기는 하이로 펄싱되고 60 MHz 종속적 RF 발생기는 IDPC_Freq1의 그것의 이전 학습된 최적의 RF 주파수 (예컨대, 61.3 MHz) 로 설정되거나 또는 IDPC_Freq1의 이 최적의 RF 주파수로 자가 튜닝하는 것이 허용된다. 이는 도 11의 동작 504에서 알 수 있다. 그 후, 종속적 RF 발생기는 주파수 튜닝 모드에서 동작한다.
도 10의 예에서, 2 MHz IP RF 신호는 50% 듀티 사이클로 159.25 Hz의 펄싱 주파수에서 펄싱되며, 그것은 6 kW의 하이 전력 설정 포인트 및 요구사항이 아니고 영이 아닐 수 있는 0 kW의 로우 전력 설정 포인트 사이에서 원한다면 가변할 수도 있다. 60 MHz 종속적 RF 발생기는 900 W의 전력 설정 포인트에서 전력을 제공한다. 60 MHz 종속적 RF 발생기가 플라즈마 챔버 (102) 내의 플라즈마 부하에 전력을 공급하지만, 종속적 RF 발생기는 또한 도 11의 동작들 506 및 508에서 예시된 바와 같이 센서 (212) 를 통해 감마 값을 모니터링한다.
포인트 404에서, 2 MHz IP RF 신호는 포인트 409에 대해 로우로 펄싱한다. 이 하이-로우로의 전이 직후, 60 MHz 종속적 RF 발생기에 의해 측정된 감마 값은, 약 0.04에서부터 약 0.8로, 예컨대, 포인트 407에서부터 포인트 408로 급등 (spike) 한다. IDPC_Gamma1 임계치가, 예컨대, 0.7로 설정되면, 검출된 감마 값에 의한 익스커전 (excursion) (동작 508의 "예" 분기) 은 60 MHz RF 발생기가 IDPC_Freq1의 하나의 이전에 학습된 최적의 튜닝된 RF 주파수 값에서부터 IDPC_Freq2의 다른 이전에 학습된 최적의 튜닝된 RF 주파수 값으로의 플립핑하는 것을 용이하게 한다. 이는 도 11의 동작 510에서 알 수 있다. 2 MHz IP RF 신호의 하이-로우로의 전이에 응답하는, IDPC_Freq1에서부터 IDPC_Freq2 로의 이 60 MHz 종속적 RF 발생기의 튜닝은, 예컨대, 포인트 410에서부터 포인트 412로 0.05까지 내려간 측정된 감마 값을 달성한다.
포인트 415에서, 2 MHz IP RF 신호는 로우에서 하이로 펄싱하여, 예컨대, 포인트 417에 도달한다. 이 로우-하이로의 전이 직후, 감마 값은 약 0.05에서부터 약 0.78까지의 종속적 RF 발생기 스파이크들에 의해 동작들 512 및 514에서 측정된다. 그 스파이크는 포인트들 414 및 416 사이에 예시된다.
IDPC_Gamma2 임계치가, 예를 들어, 0.75로 이동하도록 설정되면, 검출된 감마 값, 예컨대, 도 11의 동작 514의 "예" 분기에 의한 익스커전은, 60 MHz RF 발생기가 이전에 학습된 최적의 튜닝된 RF 주파수 값 IDPC_Freq2에서부터 IDPC_Freq1의 다른 이전에 학습된 최적의 튜닝된 RF 주파수 값으로 플립핑하는 것을 용이하게 한다. 이는 도 11의 동작 504에서 알 수 있다. 2 MHz IP RF 신호의 로우-하이로의 전이에 응답하는, IDPC_Freq2에서부터 IDPC_Freq1로의 60 MHz 종속적 RF 발생기의 이 튜닝은, 측정된 감마 값을 0.04까지, 예컨대, 포인트 418에서 포인트 420로 내려가게 한다.
도 10의 시간 척도는 도 3의 그것과 비교하여 더 빠른 시간 척도를 반영함에 주의해야 한다. 도 10의 시간 척도는 생산 시간을 예시하고 도 3의 시간 척도는 학습 시간을 예시한다. 이는, 언급된 바와 같이, IP RF 펄스의 하이 지속시간 및 로우 지속시간이, 학습 목적으로 최적의 튜닝된 RF 주파수들로 종속적 RF 발생기가 자가 튜닝하는 것을 허용하는 학습 시간 동안에 인위적으로 연장되는 경우이다. 60 MHz 신호는 도 10에서 신호 460으로서 예시됨에 추가로 주의해야 한다.
하나의 실시형태에서, 생산 시간 동안, 이러한 자가 튜닝은 이용되지 않는데, 종속적 RF 발생기가 IP RF 신호의 하이-로우로의 전이 및 IP RF 신호의 로우-하이로의 전이를 검출할 때에 그 종속적 RF 발생기는 본래 상태 기계로서 동작하고 하나의 학습된 최적의 RF 주파수에서부터 다른 학습된 최적의 RF 주파수로 플립핑하기 때문이다. 하이-로우로의 전이는 측정된 감마 값을 IDPC_Gamma1 임계치와 비교함으로써 그리고 감마 익스커전의 검출 전에 IP RF 신호의 이전의 상태를 결정함으로써 검출된다. 더구나, 로우-하이로의 전이는 측정된 감마 값 대 IDPC_Gamma2 임계치를 비교함으로써 그리고 감마 익스커전의 검출 전에 IP RF 신호의 이전의 상태를 결정함으로써 검출된다.
위에서 설명된 실시형태들이 2 MHz RF 신호 및/또는 60 MHz 신호 및/또는 27 MHz 신호를 하부 전극 (104) 에 제공하고 상부 전극 (110) 을 접지시키는 것에 관련되지만, 여러 실시형태들에서, 2 MHz, 60 MHz, 및 27 MHz 신호들은 상부 전극 (110) 에 제공되는 한편 하부 전극 (104) 은 접지된다는 것에 주의해야 한다.
하나의 실시형태에서, 입력, 예컨대, 주파수 입력, 전력 입력 등, 또는 레벨, 예컨대, 전력 레벨, 주파수 레벨은, 다른 값의 한계, 예컨대, 표준 편차 등의 내에 있는 하나 이상의 값들을 포함한다는 것에 또한 주의한다. 예를 들어, 전력 레벨은 전력 값 P21과 전력 값 P21의 한계 내에 있는 다른 전력 값들을 포함한다. 이 예에서, 전력 레벨은 다른 상태에 대해 임의의 전력 값들을, 예컨대, 상태 S0에 대해 전력 값 P20을 배제시킨다. 다른 예로서, 주파수 입력은 주파수 값 F11과 주파수 값 F11의 한계 내에 있는 다른 주파수 값들을 포함한다. 이 예에서, 주파수 입력은 다른 상태에 대해 임의의 주파수 값들, 예컨대, 상태 S0에 대해 주파수 값 F10을 배제시킨다.
위에서 설명된 실시형태들이 평판 플라즈마 챔버를 참조하여 설명되었지만, 하나의 실시형태에서, 위에서 설명된 실시형태들은 플라즈마 챔버들의 다른 유형들, 예컨대, 유도 결합 플라즈마 (ICP) 반응기를 구비한 플라즈마 챔버, 전자-사이클로트론 공진 (ECR) 반응기 등에 적용된다는 것에 주의한다. 예를 들어, 2 MHz 및 60 MHz 전력 공급부들은 ICP 플라즈마 챔버 내의 인덕터에 커플링된다는 것에 주의한다.
더구나, 비록 위의 실시형태들의 일부가 감마 값들을 이용하여 설명되지만, 일 실시형태에서, 임피던스 차이 값들이 이용될 수도 있다. 예를 들어, TTL 신호 (112) 의 상태가 S1인 경우, DSP (150) 는 송신 라인 (232) 상의 반사된 전력으로부터 임피던스를 결정하고 또 송신 라인 (232) 상의 순방향 전력으로부터 임피던스를 결정한다. DSP (150) 는 임피던스들 사이의 제 1 차이가 제 1 한계를 초과하는지의 여부를 결정하고, 그렇게 결정할 시에, 그러함을 나타내고 또한 제 1 차이의 값을 나타내는 신호를 전송한다. 제 1 차이의 값을 나타내는 신호를 수신 시, AFT (118) 는 메모리 디바이스로부터 주파수 값 F21을 취출하고 전력 제어기 (152) 는 메모리 디바이스로부터 전력 값 P21을 취출한다. 그 값들 F21 및 P21은 그 다음에 60 MHz DA 시스템에 제공된다.
마찬가지로, TTL 신호 (112) 의 상태가 S0인 경우, DSP (150) 는 송신 라인 (232) 상의 반사된 전력으로부터 임피던스를 결정하고 또 송신 라인 (232) 상의 순방향 전력으로부터 임피던스를 결정한다. DSP (150) 는 임피던스들 사이의 제 2 차이가 제 12 한계를 초과하는지의 여부를 결정하고, 그렇게 결정할 시에, 그러함을 나타내고 또한 제 2 차이의 값을 나타내는 신호를 전송한다. 제 2 차이의 값을 나타내는 신호를 수신 시, AFT (120) 는 메모리 디바이스로부터 주파수 값 F20을 취출하고 전력 제어기 (154) 는 메모리 디바이스로부터 전력 값 P20을 취출한다. 그 값들 F20 및 P20은 그 다음에 60 MHz DA 시스템에 제공된다.
하나의 실시형태에서, 발생기 제어기의 AFT 및/또는 전력 제어기에 의해 수행된 동작들은 발생기 제어기의 DSP에 의해 수행된다. 예를 들어, AFT (118 및 120) 에 의해 수행된 바와 같은 본원에서 설명된 동작들은 DSP (150) 에 의해 수행된다.
일 실시형태에서, 용어들인 "드라이버 및 증폭기" 및 "DA 시스템"은 본원에서 교환적으로 이용된다.
본원에서 설명된 실시형태들은 핸드-헬드 디바이스들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램가능 소비자 가전기기들, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함한, 각종 컴퓨터 시스템 구성들로 실용화될 수도 있다. 실시형태들은 또한 태스크들이 네트워크를 통해 링크되는 원격 프로세싱 디바이스들에 의해 수행되는 분산형 컴퓨팅 환경들에서 실용화될 수 있다.
위의 실시형태들을 염두하여, 실시형태들이 컴퓨터 시스템들에 저장된 데이터에 관계한 갖가지 컴퓨터-구현된 동작들을 채용할 수 있다는 것이 이해되어야 한다. 이들 동작들은 물리 량들의 물리적 조작을 필요로 하는 것들이다. 실시형태들의 부분을 형성하는 본원에서 설명된 동작들 중 임의의 것은 유용한 머신 동작들이다. 실시형태들은 또한 이들 동작들을 수행하기 위한 디바이스 또는 장치에 관련된다. 장치는 특수 목적 컴퓨터를 위해 특별히 구성될 수도 있다. 특수 목적 컴퓨터로서 한정되는 경우, 그 컴퓨터는 또한 특수 목적의 부분이 아닐 수도 있지만 여전히 특수 목적을 위해 동작 가능한 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행할 수 있다. 대안으로, 동작들은 컴퓨터 메모리, 캐시에 저장되거나, 또는 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그 램들에 의해 선택적으로 활성화되거나 또는 구성되는 범용 컴퓨터에 의해 처리될 수도 있다. 데이터가 네트워크를 통해 획득되는 경우 그 데이터는 컴퓨팅 자원들의 네트워크, 예컨대, 클라우드 상의 다른 컴퓨터들에 의해 처리될 수도 있다.
하나 이상의 실시형태들은 또한 컴퓨터 판독가능 매체 상의 컴퓨터 판독가능 코드로서 제작될 수 있다. 비일시적 (non-transitory) 컴퓨터 판독가능 매체는 컴퓨터 시스템에 의해 그 후에 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 비일시적 컴퓨터 판독가능 매체의 예들은 하드 드라이브들, 네트워크 결부형 (attached) 스토리지 (NAS), ROM, RAM, 콤팩트 디스크 -ROM들 (CD-ROM들), CD-R들 (CD-recordables), CD-RW들 (CD-rewritables), 자기 테이프들 그리고 다른 광학적 및 비-광학적 데이터 저장 디바이스들을 포함한다. 비일시적 컴퓨터 판독가능 매체는 컴퓨터 판독가능 코드가 분산형태로 저장되고 실행되도록 네트워크-커플링된 컴퓨터 시스템 상에 분산된 컴퓨터 판독가능 유형의 (tangible) 매체를 구비할 수 있다.
비록 위의 흐름도들에서의 방법 동작들이 특정 순서로 설명되었지만, 오버레이 동작들의 프로세싱이 소망의 방식으로 수행되는 한, 다른 하우스키핑 (housekeeping) 동작들이 동작들 사이에서 수행될 수도 있거나, 또는 동작들은 약간 상이한 시간들에서 발생하도록 조절될 수도 있거나, 또는 프로세싱 동작들의 발생을 그 프로세싱과 연관된 갖가지 간격들로 허용하는 시스템에 분산될 수도 있다는 것이 이해되어야 한다.
임의의 실시형태로부터의 하나 이상의 특징들은 본 개시물에서 설명된 갖가지 실시형태들에서 설명되는 범위로부터 벗어남 없이 임의의 다른 실시형태의 하나 이상의 특징들과 조합될 수도 있다.
전술의 실시형태들이 이해의 명료함을 위해 다소 상세히 설명되었지만, 특정 변경들 및 변형들이 첨부의 청구항들의 범위 내에서 실용화될 수 있다는 것이 명백할 것이다. 따라서, 본 실시형태들은 예시적이지만 비제한적인 것으로 고려되는 것들이고, 그 실시형태들은 본원에서 주어진 상세내용들로 한정되는 것이 아니고, 첨부의 청구항들의 범위 및 동등물들 내에서 변형될 수도 있다.

Claims (20)

  1. 플라즈마를 포함하고 전극을 구비한 플라즈마 챔버;
    상기 플라즈마 챔버에 커플링되어 상기 전극에 무선 주파수 (RF) 신호를 제공하는 드라이버 및 증폭기로서, 송신 라인을 경유하여 상기 플라즈마 챔버에 커플링된, 상기 드라이버 및 증폭기;
    상기 드라이버 및 증폭기에 커플링된 선택기;
    상기 선택기에 커플링된 제 1 자동 주파수 제어부 (AFC); 및
    상기 선택기에 커플링된 제 2 AFC 를 포함하며,
    상기 선택기는 송신 라인 상에서 감지된 전류 및 전압의 값들에 기초하여 상기 제 1 AFC 또는 상기 제 2 AFC 를 선택하도록 구성되는, 시스템.
  2. 제 1 항에 있어서,
    상기 전류 및 전압의 값들은 하나 이상의 감마 값들을 발생하는데 이용되며, 상기 선택기는 상기 감마 값들 중 하나가 제 1 임계치보다 큰 경우에 상기 제 1 AFC 를 선택하도록 구성되고 상기 감마 값들 중 다른 하나가 제 2 임계치보다 큰 경우에 상기 제 2 AFC 를 선택하도록 구성되는, 시스템.
  3. 제 1 항에 있어서,
    상기 선택기는 멀티플렉서를 구비하는, 시스템.
  4. 전극에 커플링된 일차 발생기로서, 상기 일차 발생기는 상기 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 드라이버 및 증폭기를 구비하며, 상기 일차 발생기는 펄스형 신호가 제 1 상태에 있는 경우에 상기 일차 드라이버 및 증폭기에 제 1 일차 주파수 입력을 제공하는 일차 자동 주파수 튜너 (AFT) 를 더 구비하며, 상기 일차 AFT 는 상기 펄스형 신호가 제 2 상태에 있는 경우에 상기 일차 드라이버 및 증폭기에 제 2 일차 주파수 입력을 제공하도록 구성된, 상기 일차 발생기; 및
    상기 전극에 커플링된 이차 발생기로서, 상기 이차 발생기는 상기 전극에 이차 RF 신호를 공급하는 이차 드라이버 및 증폭기를 구비하며, 상기 이차 발생기는 상기 이차 드라이버 및 증폭기에 커플링된 제 1 이차 AFT를 더 구비하며, 상기 이차 발생기는 상기 이차 드라이버 및 증폭기에 커플링된 제 2 이차 AFT를 구비하며, 상기 이차 발생기는 프로세서를 구비하며, 상기 프로세서는 상기 제 1 이차 AFT 및 상기 제 2 이차 AFT에 커플링되며, 상기 이차 발생기는 상기 전극에 커플링된 하나 이상의 센서들을 더 구비하며, 상기 하나 이상의 센서들은 상기 제 1 및 제 2 상태들 동안에 상기 이차 발생기 및 상기 전극 간에 전송되는 전류 및 전압을 감지하며, 상기 프로세서는 상기 전류 및 전압에 기초하여 파라미터들을 발생하도록 구성되며, 상기 프로세서는 상기 제 1 상태에 대한 상기 파라미터들 중 제 1 파라미터가 제 1 바운더리를 초과하는지의 여부 및 상기 제 2 상태에 대한 상기 파라미터들 중 제 2 파라미터가 제 2 바운더리를 초과하는지의 여부를 결정하도록 구성되며, 상기 제 1 이차 AFT는 상기 제 1 파라미터가 상기 제 1 바운더리를 초과한다는 결정을 수신 시에 상기 이차 드라이버 및 증폭기에 제 1 이차 주파수 입력을 제공하도록 구성되며, 상기 제 2 이차 AFT는 상기 제 2 파라미터가 상기 제 2 바운더리를 초과한다는 결정을 수신 시에 상기 이차 드라이버 및 증폭기에 제 2 이차 주파수 입력을 제공하도록 구성된, 상기 이차 발생기를 포함하는, 시스템.
  5. 제 4 항에 있어서,
    상기 프로세서에 커플링되어 상기 제 1 이차 AFT 또는 상기 제 2 이차 AFT를 선택하는 선택기를 더 포함하며, 상기 선택기는 상기 이차 프로세서로부터 상기 제 1 파라미터가 상기 제 1 바운더리를 초과함을 나타내는 신호를 수신하는 것에 응답하여 상기 제 1 이차 AFT를 선택하며, 상기 선택기는 상기 이차 프로세서로부터 상기 제 2 파라미터가 상기 제 2 바운더리를 초과함을 나타내는 신호를 수신하는 것에 응답하여 상기 제 2 이차 AFT를 선택하는, 시스템.
  6. 제 4 항에 있어서,
    상기 전극은 플라즈마 챔버의 하부 전극을 포함하는, 시스템.
  7. 제 4 항에 있어서,
    상기 제 1 상태 동안, 상기 일차 드라이버 및 증폭기는 상기 이차 RF 신호의 주파수보다 낮은 주파수를 갖는 상기 일차 RF 신호를 발생하도록 구성되며, 상기 일차 RF 신호는 상기 이차 RF 신호보다 높은 전력량을 가지는, 시스템.
  8. 제 4 항에 있어서,
    상기 프로세서는 상기 펄스형 신호의 크기에 기초하여 상기 펄스형 신호가 상기 제 1 상태에 있는지 또는 상기 제 2 상태에 있는지를 결정하도록 구성되는, 시스템.
  9. 제 4 항에 있어서,
    상기 제 1 및 제 2 파라미터들의 각각은 감마 값 또는 임피던스 차이 값을 포함하는, 시스템.
  10. 펄스형 신호를 발생하는 디지털 펄싱 소스;
    일차 발생기; 및
    이차 발생기를 포함하고,
    상기 일차 발생기는,
    전극에 커플링되어 상기 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 드라이버 및 증폭기;
    상기 디지털 펄싱 소스에 커플링되어 펄스형 신호를 수신하는 하나 이상의 일차 프로세서들로서,
    펄스형 신호의 2 개의 상태들 중 제 1 상태 및 상기 2 개의 상태들 중 제 2 상태를 식별하며;
    상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 일차 드라이버 및 증폭기에 일차 전력 값을 제공할 것을 결정하며;
    상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 일차 RF 신호의 일차 주파수 값을 제공할 것을 결정하도록 구성된, 상기 하나 이상의 일차 프로세서들을 구비하고,
    상기 이차 발생기는,
    상기 전극에 커플링되어 상기 전극에 이차 RF 신호를 공급하는 이차 드라이버 및 증폭기;
    상기 디지털 펄싱 소스에 커플링되어 상기 펄스형 신호를 수신하는 하나 이상의 이차 프로세서들로서,
    상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 플라즈마와 연관된 파라미터가 제 1 바운더리를 초과하는지의 여부를 결정하며;
    상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 파라미터가 제 2 바운더리를 초과하는지의 여부를 결정하며;
    상기 파라미터가 상기 제 1 바운더리를 초과한다고 결정하는 것에 응답하여 상기 이차 드라이버 및 증폭기에 제 1 이차 전력 값을 제공할 것을 결정하며;
    상기 파라미터가 상기 제 2 바운더리를 초과한다고 결정하는 것에 응답하여 상기 이차 드라이버 및 증폭기에 제 2 이차 전력 값을 제공할 것을 결정하며;
    상기 파라미터가 상기 제 1 바운더리를 초과한다고 결정하는 것에 응답하여 상기 이차 드라이버 및 증폭기에 제 1 이차 주파수 값을 제공할 것을 결정하며;
    상기 파라미터가 상기 제 2 바운더리를 초과한다고 결정하는 것에 응답하여 상기 이차 드라이버 및 증폭기에 제 2 이차 주파수 값을 제공할 것을 결정하도록 구성된 상기 하나 이상의 이차 프로세서들을 구비하는, 시스템.
  11. 제 10 항에 있어서,
    상기 하나 이상의 이차 프로세서들에 커플링되어 상기 제 1 이차 주파수 값 또는 상기 제 2 이차 주파수 값을 선택하는 선택기를 더 포함하며, 상기 선택기는 상기 하나 이상의 이차 프로세서들로부터 상기 제 1 파라미터가 상기 제 1 바운더리를 초과함을 나타내는 신호를 수신하는 것에 응답하여 상기 제 1 이차 주파수 값을 선택하며, 상기 선택기는 상기 하나 이상의 이차 프로세서들로부터 상기 제 2 파라미터가 상기 제 2 바운더리를 초과함을 나타내는 신호를 수신하는 것에 응답하여 상기 제 2 이차 주파수 값을 선택하는, 시스템.
  12. 제 10 항에 있어서,
    상기 파라미터는 감마 값 또는 임피던스 차이 값을 포함하는, 시스템.
  13. 제 10 항에 있어서,
    상기 전극은 플라즈마 챔버의 하부 전극을 포함하는, 시스템.
  14. 제 10 항에 있어서,
    상기 제 1 상태 동안, 상기 일차 드라이버 및 증폭기는 상기 이차 RF 신호의 주파수보다 낮은 주파수를 갖는 상기 일차 RF 신호를 발생하도록 구성되며, 상기 일차 RF 신호는 상기 이차 RF 신호보다 높은 전력량을 가지는, 시스템.
  15. 제 10 항에 있어서,
    상기 일차 및 이차 주파수 값들의 각각은 튜닝되는, 시스템.
  16. 제 10 항에 있어서,
    상기 하나 이상의 일차 프로세서들은 상기 펄스형 신호의 크기에 기초하여 상기 펄스형 신호가 상기 제 1 상태에 있는지 또는 상기 제 2 상태에 있는지를 결정하는, 시스템.
  17. 디지털 펄싱 신호를 수신하는 단계로서, 상기 디지털 펄싱 신호는 2 개의 상태들을 갖는, 상기 수신하는 단계;
    전류 및 전압 값들을 수신하는 단계;
    상기 전류 및 전압 값들로부터 플라즈마 임피던스와 연관된 파라미터들을 계산하는 단계;
    상기 제 1 상태 동안에 상기 파라미터들 중 제 1 파라미터가 제 1 바운더리를 초과하는지의 여부를 결정하는 단계;
    상기 제 1 파라미터가 상기 제 1 바운더리를 초과한다는 결정 시에 무선 주파수 (RF) 드라이버 및 증폭기에 제 1 주파수 값 및 제 1 전력 값을 제공하는 단계;
    상기 제 2 상태 동안에 상기 파라미터들 중 제 2 파라미터가 제 2 바운더리를 초과하는지의 여부를 결정하는 단계; 및
    상기 제 2 파라미터가 상기 제 2 바운더리를 초과한다는 결정 시에 상기 RF 드라이버 및 증폭기에 제 2 주파수 값 및 제 2 전력 값을 제공하는 단계를 포함하는, 방법.
  18. 제 17 항에 있어서,
    상기 방법은 집적 회로들을 제조하기 위해 반도체 웨이퍼들을 처리하는데 사용되는, 방법.
  19. 제 17 항에 있어서,
    상기 파라미터들은 감마 값들 또는 임피던스 차이 값들을 포함하는, 방법.
  20. 제 17 항에 있어서,
    상기 제 1 주파수 값 및 상기 제 1 전력 값을 제공하는 것 또는 상기 제 2 주파수 값 및 상기 제 2 전력 값을 제공하는 것 간을 선택하는 단계를 더 포함하는, 방법.
KR1020130019482A 2012-02-22 2013-02-22 전력 및 주파수의 임피던스 기반 조절 KR20130096679A (ko)

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
US201261602040P 2012-02-22 2012-02-22
US201261602041P 2012-02-22 2012-02-22
US61/602,040 2012-02-22
US61/602,041 2012-02-22
US13/531,491 US9114666B2 (en) 2012-02-22 2012-06-22 Methods and apparatus for controlling plasma in a plasma processing system
US13/531,491 2012-06-22
US13/550,719 2012-07-17
US13/550,719 US9368329B2 (en) 2012-02-22 2012-07-17 Methods and apparatus for synchronizing RF pulses in a plasma processing system
US201261701560P 2012-09-14 2012-09-14
US61/701,560 2012-09-14
US13/666,912 2012-11-01
US13/666,912 US9171699B2 (en) 2012-02-22 2012-11-01 Impedance-based adjustment of power and frequency

Publications (1)

Publication Number Publication Date
KR20130096679A true KR20130096679A (ko) 2013-08-30

Family

ID=48981754

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130019482A KR20130096679A (ko) 2012-02-22 2013-02-22 전력 및 주파수의 임피던스 기반 조절

Country Status (6)

Country Link
US (3) US9171699B2 (ko)
JP (1) JP6400272B2 (ko)
KR (1) KR20130096679A (ko)
CN (2) CN103295866B (ko)
SG (1) SG193113A1 (ko)
TW (2) TWI612855B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170098840A (ko) * 2014-12-03 2017-08-30 코멧 아게 플라즈마 프로세스 내에서 rf 발생기의 주파수 튜닝방법

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US9171700B2 (en) * 2012-06-15 2015-10-27 COMET Technologies USA, Inc. Plasma pulse tracking system and method
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
JP5704772B1 (ja) 2014-02-04 2015-04-22 株式会社京三製作所 高周波電源装置およびプラズマ着火方法
US9544987B2 (en) * 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
EP3091559A1 (en) * 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
DE102015220847A1 (de) * 2015-10-26 2017-04-27 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Impedanzanpassung einer Last an die Ausgangsimpedanz eines Leistungsgenerators und Impedanzanpassungsanordnung
JP6378234B2 (ja) * 2016-03-22 2018-08-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9748076B1 (en) 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
US10009028B2 (en) 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
US10879044B2 (en) * 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
KR102257134B1 (ko) * 2017-06-27 2021-05-26 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
SG11201912567RA (en) * 2017-06-27 2020-01-30 Canon Anelva Corp Plasma processing apparatus
PL3648550T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
US10020168B1 (en) 2017-07-20 2018-07-10 Lam Research Corporation Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
CN110998820B (zh) * 2017-08-17 2023-10-20 东京毅力科创株式会社 用于实时感测工业制造设备中的属性的装置和方法
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US20190108976A1 (en) * 2017-10-11 2019-04-11 Advanced Energy Industries, Inc. Matched source impedance driving system and method of operating the same
US11290080B2 (en) 2017-11-29 2022-03-29 COMET Technologies USA, Inc. Retuning for impedance matching network control
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US10304660B1 (en) 2018-03-21 2019-05-28 Lam Research Corporation Multi-level pulsing of DC and RF signals
US10224183B1 (en) 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
EP3817517A4 (en) 2018-06-26 2022-03-16 Canon Anelva Corporation PLASMA PROCESSING DEVICE, PLASMA PROCESSING METHOD, PROGRAM, AND MEMORY MEDIA
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020036803A1 (en) * 2018-08-17 2020-02-20 Lam Research Corporation Direct frequency tuning for matchless plasma source in substrate processing systems
CN111293022B (zh) * 2018-12-07 2023-01-24 中微半导体设备(上海)股份有限公司 脉冲射频等离子体的阻抗匹配方法和装置
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11315757B2 (en) * 2019-08-13 2022-04-26 Mks Instruments, Inc. Method and apparatus to enhance sheath formation, evolution and pulse to pulse stability in RF powered plasma applications
US11545341B2 (en) 2019-10-02 2023-01-03 Samsung Electronics Co., Ltd. Plasma etching method and semiconductor device fabrication method including the same
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
TW202226319A (zh) * 2020-08-31 2022-07-01 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
WO2024006675A1 (en) * 2022-06-27 2024-01-04 Lam Research Corporation Systems and methods for calibrating rf generators in a simultaneous manner

Family Cites Families (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
EP0937192A4 (en) * 1996-11-08 2002-03-20 Internat Technology Corp GROUND WATER RECOVERY SYSTEM
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
JPH10312899A (ja) * 1997-05-15 1998-11-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
KR100677012B1 (ko) * 1997-09-17 2007-01-31 동경 엘렉트론 주식회사 전기 임피던스 매칭 시스템 및 방법
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
JP4340348B2 (ja) * 1998-01-22 2009-10-07 株式会社日立国際電気 プラズマ生成装置
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
EP1098359A4 (en) 1998-06-02 2003-11-19 Nikon Corp SCANNING ALIGNMENT MEMBER, MANUFACTURING METHOD THEREOF, AND DEVICE MANUFACTURING METHOD
US6406590B1 (en) * 1998-09-08 2002-06-18 Sharp Kaubushiki Kaisha Method and apparatus for surface treatment using plasma
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
WO2002075332A1 (en) * 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6459067B1 (en) * 2001-04-06 2002-10-01 Eni Technology, Inc. Pulsing intelligent RF modulation controller
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
KR100557842B1 (ko) 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
JP4455887B2 (ja) 2002-03-28 2010-04-21 東京エレクトロン株式会社 電気的特性を利用して、プラズマ反応炉内の膜の状態を判断するシステムおよび方法
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
JP2005531927A (ja) 2002-06-28 2005-10-20 東京エレクトロン株式会社 材料処理ツールおよびセンサデータを使用して処理性能を予測するための方法およびシステム
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) * 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
WO2004040629A1 (ja) * 2002-10-29 2004-05-13 Mitsubishi Heavy Industries, Ltd. プラズマ化学蒸着装置における高周波プラズマの大面積均一化方法及び装置
TWI391035B (zh) 2002-12-16 2013-03-21 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method (1)
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7244344B2 (en) 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
JP4739793B2 (ja) * 2005-03-31 2011-08-03 株式会社ダイヘン 高周波電源装置
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
JP4593413B2 (ja) * 2005-09-15 2010-12-08 株式会社日立ハイテクノロジーズ プラズマ処理方法及び処理装置
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5426811B2 (ja) * 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
PT2211916E (pt) 2007-11-06 2016-01-11 Creo Medical Ltd Sistema de esterilização por plasma de micro-ondas e respetivos aplicadores
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
WO2009140371A2 (en) 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
KR101522251B1 (ko) 2008-09-22 2015-05-21 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5141519B2 (ja) * 2008-12-02 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運転方法
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010258605A (ja) * 2009-04-22 2010-11-11 Japan Radio Co Ltd パルスディテクタ
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US9907908B2 (en) 2011-03-08 2018-03-06 Baxter International Inc. Non-invasive radio frequency medical fluid level and volume detection system and method
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
SG10202113236SA (en) 2012-10-30 2021-12-30 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170098840A (ko) * 2014-12-03 2017-08-30 코멧 아게 플라즈마 프로세스 내에서 rf 발생기의 주파수 튜닝방법

Also Published As

Publication number Publication date
US20170178864A1 (en) 2017-06-22
US9171699B2 (en) 2015-10-27
US20130214683A1 (en) 2013-08-22
TW201352076A (zh) 2013-12-16
CN105742151A (zh) 2016-07-06
US9607810B2 (en) 2017-03-28
TW201725940A (zh) 2017-07-16
CN105742151B (zh) 2019-02-22
JP6400272B2 (ja) 2018-10-03
JP2013179047A (ja) 2013-09-09
TWI593321B (zh) 2017-07-21
US9960015B2 (en) 2018-05-01
SG193113A1 (en) 2013-09-30
TWI612855B (zh) 2018-01-21
CN103295866A (zh) 2013-09-11
CN103295866B (zh) 2016-06-08
US20160005573A1 (en) 2016-01-07

Similar Documents

Publication Publication Date Title
US9960015B2 (en) Impedance-based adjustment of power and frequency
KR102130921B1 (ko) 전력 및 주파수의 상태 기반 조절
US11361942B2 (en) Adjustment of power and frequency based on three or more states
US9947513B2 (en) Edge ramping
KR102165741B1 (ko) 세 개 이상의 상태에 기초한 전력 및 주파수의 조절
JP2013179047A5 (ko)

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid