CN103295866A - 功率和频率的基于阻抗的调节 - Google Patents

功率和频率的基于阻抗的调节 Download PDF

Info

Publication number
CN103295866A
CN103295866A CN2013100578036A CN201310057803A CN103295866A CN 103295866 A CN103295866 A CN 103295866A CN 2013100578036 A CN2013100578036 A CN 2013100578036A CN 201310057803 A CN201310057803 A CN 201310057803A CN 103295866 A CN103295866 A CN 103295866A
Authority
CN
China
Prior art keywords
auxilliary
signal
value
frequency
state
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2013100578036A
Other languages
English (en)
Other versions
CN103295866B (zh
Inventor
约翰·C·小瓦尔考
布拉德福德·J·林达克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/531,491 external-priority patent/US9114666B2/en
Priority claimed from US13/550,719 external-priority patent/US9368329B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201610296729.7A priority Critical patent/CN105742151B/zh
Publication of CN103295866A publication Critical patent/CN103295866A/zh
Application granted granted Critical
Publication of CN103295866B publication Critical patent/CN103295866B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

本发明涉及用于功率和频率的基于阻抗的调节的系统和方法。系统包括用于收容等离子体的等离子体腔室。所述等离子体腔室包括电极。所述系统包括与等离子体腔室耦合以用于向所述电极提供射频(RF)信号的驱动器放大器。驱动器放大器经由传输线与等离子体腔室耦合。系统进一步包括与驱动器放大器耦合的选择器、与选择器耦合的第一自动频率控制器(AFC)以及与选择器耦合的第二AFC。该选择器被配置为基于在传输线上感测到的电流和电压的值来选择第一AFC或第二AFC。

Description

功率和频率的基于阻抗的调节
技术领域
本实施方案涉及改善对等离子体阻抗的变化的响应时间和/或提高稳定等离子体的精度,并且更特别地涉及用于功率和频率的基于阻抗的调节的装置、方法和计算机程序。
背景技术
在一些等离子体处理系统中,多个射频(RF)信号被提供给等离子体腔室内的一个或多个电极。RF信号帮助在等离子体腔室内产生等离子体。等离子体用于各种操作,例如,清洁置于下方电极上的基板,蚀刻基板,等等。
在产生射频(RF)信号的驱动器放大器系统(driver and amplifiersystem)与等离子体腔室之间,通常放置有阻抗匹配电路。阻抗匹配电路将负载(例如等离子体腔室内的等离子体)的阻抗与源(例如产生RF信号的驱动器放大器系统)的阻抗匹配。然而,在一些情形下,阻抗匹配不能足够迅速以响应于等离子体阻抗的变化。
而且,尽管一些系统足够迅速以响应于该变化,这些系统可能不能使得精确地调节功率和/或频率以稳定等离子体。
在该背景下,产生了本公开中所描述的实施方案。
发明内容
公开的实施方案提供了用于功率和频率的基于状态的调节的装置、方法和计算机程序。应当理解的是,本实施方案能够以多种方式来实施,例如,工艺、装置、系统、器件、或计算机可读介质上的方法。下文将描述多个实施方案。
在实施方案中,系统包括用于收容等离子体的等离子体腔室。所述等离子体腔室包括电极。该系统包括驱动器放大器(DA)系统,该驱动器放大器系统与等离子体腔室耦合以向电极提供射频(RF)信号。该DA系统经由传输线与等离子体腔室耦合。该系统进一步包括与DA系统耦合的选择器、与所述选择器耦合的第一自动频率控制器(AFC),以及与该选择器耦合的第二AFC。所述选择器被配置为基于在传输线上感测到电流和电压的值来选择第一AFC或第二AFC。
在一个实施方案中,系统包括与电极耦合的主生成器。所述主生成器包括用于向电极供应主射频(RF)信号的主驱动器放大器。所述主生成器进一步包括主自动调频器(AFT)以便当脉冲信号处于第一状态时向主驱动器放大器提供第一主频率输入。该主AFT被配置为当脉冲信号处于第二状态时向所述主驱动器放大器提供第二主频率输入。所述系统进一步包括与所述电极耦合的辅生成器。
在该实施方案中,所述辅生成器包括用于向所述电极供应辅RF信号的辅驱动器放大器。辅生成器进一步包括与所述辅驱动器放大器耦合的第一辅AFT。辅生成器包括与所述辅驱动器放大器耦合的第二辅AFT。该辅生成器还包括处理器,该处理器与第一辅AFT和第二辅AFT耦合。辅生成器进一步包括与所述电极耦合的传感器。所述传感器用于感测在第一状态和第二状态期间在辅生成器和电极之间传递的电流和电压。所述处理器被配置为基于电流和电压来生成参数并且被配置为判定对于第一状态的参数中的第一个是否超过第一界限以及对于第二状态的参数中的第二个是否超过第二界限。第一辅AFT被配置为在接收到第一参数超过第一界限的判定时向辅驱动器放大器提供第一辅频率输入,并且第二辅AFT被配置为在接收到第二参数超过第二界限的判定时向辅驱动器放大器提供第二辅频率输入。
在实施方案中,说明了包括用于生成脉冲信号的数字脉冲源的系统。该系统包括主生成器。所述主生成器包括与电极耦合的主驱动器放大器,用于向所述电极供应主射频(RF)信号。主生成器还包括一个或多个主处理器,所述主处理器与脉冲源耦合以用于接收脉冲信号。一个或多个主处理器被配置为识别脉冲信号的两种状态中的第一个以及两种状态中的第二个,判定为当脉冲信号处于第一状态时向主驱动器放大器提供主功率值,并且判定为当脉冲信号处于第一状态时提供主RF信号的主频率值。
在该实施方案中,所述系统进一步包括辅生成器,所述辅生成器包括辅驱动器放大器,所述辅驱动器放大器与所述电极耦合以用于向所述电极供应辅RF信号。辅生成器进一步包括一个或多个辅处理器,所述一个或多个辅处理器与脉冲源耦合以用于接收脉冲信号。一个或多个辅处理器被配置为:当脉冲信号处于第一状态时判定与等离子体相关联的参数是否超过第一界限,当脉冲信号处于第二状态时判定该参数是否超过第二界限,并且判定为响应于判定出该参数超过第一界限而向辅驱动器放大器提供第一辅功率值。一个或多个辅处理器被进一步配置为响应于判定出该参数超过第二界限而判定为向辅驱动器放大器提供第二辅功率值,响应于判定出该参数超过第一界限而判定为向辅驱动器放大器提供第一辅频率值,并且响应于判定出该参数超过第二界限而判定为向辅驱动器放大器提供第二辅频率值。
在实施方案中,一种方法包括接收数字脉冲信号,该数字脉冲信号具有两种状态。该方法包括:接收电流和电压值,由所述电流和电压功率值来计算与等离子体阻抗相关联的参数,并且在第一状态期间判定参数中的第一个是否超过第一界限。该方法还包括:在判定出第一参数超过第一界限时,向射频(RF)驱动器放大器提供第一频率值和第一功率值;在第二状态期间判定参数中的第二个是否超过第二界限;以及在判定出第二参数超过第二界限时向RF驱动器放大器提供第二频率值和第二功率值。
上述实施方案的一些优势包括:提供精确的功率和/或频率值以稳定等离子体,例如,减少例如RF驱动器放大器的源和例如等离子体的负载的阻抗之间的差值。当功率和/或频率值是基于等离子体阻抗的变化而生成时,频率和/或功率值是精确的。例如,正向传输的功率和反射的功率被测量并且用于生成伽玛值。判定伽玛值是否超过阈值,如果是,则改变功率和/或频率值以稳定等离子体。
实施方案的其它优势包括:减少时间量以实现等离子体的稳定性。训练例程用于确定待施加到驱动器放大器系统的频率和/或功率值。频率和/或功率值对应于也是在训练例程期间确定的伽玛值。训练例程节省生产期间的时间,例如,用于清洁基板的时间,用于蚀刻基板的时间,用于在基板上沉积材料的时间,等等。例如,在生产期间,当判定出伽玛值超过阈值时,功率和/或频率值应用至驱动器放大器系统,而无需调谐功率和/或频率值。
结合附图,其它方案将通过下面的详细说明变得显而易见。
附图说明
通过参照下面结合附图进行的说明可以最佳地理解实施方案。
图1为依照本公开所描述的实施方案的用于基于等离子体阻抗来改变状态的系统的实施方案的框图。
图2为示出依照本公开所描述的实施方案的基于伽玛值是否大于第一阈值或第二阈值的状态变化的表的实施方案。
图3示出了依照本公开中所描述的实施方案的曲线图的实施方案,该曲线图为在训练例程(training routine)期间两个RF信号的正向传输功率(forward power)对时间的绘制图。
图4为依照本公开中所描述的实施方案的训练例程的流程的实施方案。
图5为依照本公开中所描述的实施方案的用于基于等离子体阻抗来改变状态的系统的实施方案的图,其中功率控制器和/或频率调谐器不提供非零值。
图6A示出了依照本公开中所描述的实施方案的具有两个射频(RF)信号的曲线图,其中RF信号中的一个具有恒定值或变化值。
图6B示出了依照本公开中所描述的实施方案的具有两个RF信号的曲线图,其中两个RF信号均具有变化值。
图7A示出了依照本公开中所描述的实施方案的具有三个RF信号的曲线图,其中RF信号中的一个具有恒定值并且RF信号中的另一个具有恒定值或变化值。
图7B示出了依照本公开中所描述的实施方案的具有三个RF信号的曲线图,其中RF信号中的一个具有恒定值并且其余两个RF信号具有变化值。
图7C示出了依照本公开中所描述的实施方案的具有三个RF信号的曲线图,其中RF信号中的一个具有恒定值或变化值并且其余两个RF信号具有变化值。
图7D示出了依照本公开中所描述的实施方案的三个RF信号均具有变化值的曲线图。
图7E示出了依照本公开中所描述的实施方案的具有三个RF信号的曲线图,其中RF信号中的一个具有恒定值或变化值并且其余的RF信号具有变化值。
图7F示出了依照本公开中所描述的实施方案的三个RF信号均具有变化值的曲线图。
图8为依照本公开中所描述的实施方案的用于基于伽玛值是否大于第一阈值或第二阈值而在自动频率调谐器(AFT)之间进行选择的系统的实施方案的框图。
图9为依照本公开中所描述的实施方案的用于调节60MHz的驱动器放大器的频率和/或功率以实现60MHz生成器的状态S1或S0的方法的实施方案的流程图。
图10示出了依照本公开中所描述的实施方案的通过在独立(IP)RF信号脉冲期间用于最佳生产时间功率传递的相依RF生成器来实现RF调谐的标准化的RF变量与时间的曲线图的实施方案。
图11为依照本公开中所描述的实施方案的通过在脉冲期间用于最佳功率传递的相依RF生成器来实现频率调谐的方法的流程图的实施方案。
具体实施方式
下面的实施方案说明了用于功率和频率的基于阻抗的调节的系统和方法。显然,本实施方案可在不具有这些具体细节中的一些或全部的情况下实施。在其它情况下,为了不至于不必要地使本实施方案变得不清晰,未对公知的处理操作进行详细说明。
图1为用于基于等离子体阻抗来改变状态的系统180的实施方案的框图。2兆赫兹(MHz)的射频(RF)驱动器放大器(DA)系统经由阻抗匹配电路182向等离子体腔室102的下方电极104供应RF功率。类似地,60MHz的DA系统经由阻抗匹配电路186向下方电极104供应RF功率。应当注意的是,在一个实施方案中,不使用60MHz的源,而是使用27MHz的源来向下方电极104提供RF功率。而且,应当注意,值2MHz、27MHz和60MHz是作为实施例提供的,不是为了限制。例如,不是使用2MHz的DA系统,而是可以使用2.5MHz的DA系统,不使用60MHz的DA系统,而是可以使用65MHz的DA系统。在另一实施方案中,除了2MHz的源和60MHz的源以外,27MHz的源用于向下方电极104提供RF功率。
阻抗匹配电路包括电路部件,例如,电感器、电容器等,以将与阻抗匹配电路耦合的功率源的阻抗和与阻抗匹配电路耦合的负载的阻抗匹配。例如,阻抗匹配电路182将2MHz的DA系统的阻抗与在等离子体腔室102内生成的等离子体的阻抗匹配。作为另一实施例,阻抗匹配电路186将60MHz的DA系统的阻抗与在等离子体腔室102内生成的等离子体的阻抗匹配。作为又一实施例,阻抗匹配电路182将2MHz的DA系统的阻抗与等离子体腔室102的部分(例如等离子体和下方电极104)的阻抗匹配。在一个实施方案中,阻抗匹配电路被调谐以有利于与阻抗匹配电路耦合的RF DA系统的阻抗与负载的阻抗之间的匹配。功率源和负载之间的阻抗匹配减少了从负载朝向功率源反射的功率的变化。
等离子体腔室102包括下方电极104、上方电极110以及其它部件(未示出),例如围绕上方电极110的上方介电环、围绕上方介电环的上方电极延伸部、围绕下方电极的下方介电环、围绕下方介电环的下方电极延伸部、上方等离子体排除区(PEZ)环、下方PEZ环,等等。上方电极110被定位为与下方电极104相对且面向下方电极104。基板108,例如半导体晶片,支撑在下方电极104的上表面106上。在基板108上开发例如专用集成电路(ASIC)、可编程逻辑器件(PLD)等集成电路,并且该集成电路用于各种器件,例如、手机、输入板、智能电话、计算机、膝上型计算机、联网装备等。下方电极104由例如阳极化铝、铝合金等金属制成。而且,上方电极110由例如铝、铝合金等金属制成。
在一个实施方案中,上方电极110包括与中央气体馈送器(未示出)耦合的孔。中央气体馈送器(central gas feed)接收来自气体供给源(未示出)的一种或多种处理气体。处理气体的实施例包括含氧气体,诸如O2。处理气体的其它实施例包括含氟的气体,例如四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6),等等。上方电极110接地。下方电极104经由阻抗匹配电路182与2MHz的RF DA系统耦合,并且经由阻抗匹配电路186与60MHz的RF DA系统耦合。
当在上方电极110和下方电极104之间供应处理气体时并且当DA系统(例如,2MHz的DA系统和/或60MHz的DA系统)经由相应的阻抗匹配电路向下方电极104供应功率时,处理气体被点燃以在等离子体腔室102内生成等离子体。例如,2MHz的DA系统经由阻抗匹配电路182供应功率以点燃处理气体,从而生成等离子体。
在计算机(未示出)上的工具用户接口(UI)190用于生成作为数字脉冲信号的晶体管-晶体管逻辑(TTL)信号112。在一个实施方案中,计算机包括TTL电路。如本文所使用的,除了计算机外,可以使用处理器、控制器、ASIC或PLD,并且这些术语在本文中可互换地使用。TTL信号112包括状态S1和S0。TTL信号112具有50%的占空比(duty cycle)。在一个实施方案中,TTL信号112具有范围从5%到95%的占空比。状态S1的实施例包括导通状态、具有值1的状态或高状态。状态S0的实施例包括关断状态、具有值0的状态或低状态。高值大于低值。
在另一实施方案中,除了计算机外,例如晶体振荡器的时钟振荡器用于生成模拟时钟信号,通过模数转换器将模拟时钟信号转换为类似于TTL信号112的数字信号。例如,通过向在晶体振荡器附近或晶体振荡器上的电极施加电压,而使得晶体振荡器在电场中振荡。
TTL信号112被发送到数字信号处理器(DSP)140和另一DSP150。DSP140接收TTL信号112并且识别TTL信号112的状态S0和S1。例如,DSP140在状态S0和状态S1进行区分。作为另一实施例,DSP140判定出TTL信号112在第一组时间周期内具有第一量级并且在第二组时间周期内具有第二量级。DSP140判定出TTL信号112在第一组时间周期内具有状态S1并且在第二组时间周期内具有状态S0。作为又一实施例,DSP140将TTL信号112的量级与预存储值进行比较以判定出在第一组时间周期内TTL信号112的量级大于预存储值并且在第二组时间周期内TTL信号112的状态S0期间的量级不大于预存储值。在使用时钟振荡器的实施方案中,DSP140接收来自时钟振荡器的模拟时钟信号,将模拟信号转换为数字形式,然后识别两种状态S0和S1。
DSP140将识别的状态S0和S1存储在DSP内的一个或多个存储器设备的存储器位置上。存储器设备的实施例包括随机存取存储器(RAM)和只读存储器(ROM)。存储器设备可以为快擦写存储器、硬盘、存储设备、计算机可读介质,等等。
DSP140将识别的状态S1从相应的存储器位置提供给自动频率调谐器(AFT)114以及功率控制器(PWR)142。例如,DSP140指示AFT114和功率控制器142,在工作周期的时点t1和t2之间TTL信号112处于状态S1。术语调谐器和控制器在本文中可互换地使用。在美国专利6,020,794中提供了AFT的实施例,该专利的全部内容通过引用合并于本文中。
在一个实施方案中,除了控制器或调谐器外,可使用由处理器执行的控制逻辑块,例如,计算机程序。例如,生成器的每个AFT为由生成器的处理器执行的逻辑块。作为另一实施例,生成器的每个功率控制器为由生成器的处理器执行的逻辑块。计算机程序具体实现在非暂态性的计算机可读介质中,下文提供了其实施例。
AFT114基于TTL信号112的状态来判定频率值,并且功率控制器142基于TTL信号112的状态来判定功率值。例如,AFT114判定为当TTL信号112的状态为S1时将频率值F11提供给2MHz的DA系统,并且功率控制器142判定为当TTL信号112的状态为S1时将功率值P11提供给2MHz的DA系统。
当TTL信号112的状态为S1时,功率控制器142将功率值P11提供给2MHz的DA系统。在TTL信号112的状态S1期间,AFT114将频率值F11提供给2MHz的DA系统。
2MHz的DA系统在状态S1期间接收频率值F11和功率值P11。在接收到值F11和P11时,2MHz的DA系统生成具有频率F11的RF信号,并且该RF信号具有功率值P11。
在一个实施方案中,RF DA系统包括由放大器跟随的驱动器。放大器经由传输线向等离子体腔室102供应正向传输功率。例如,2MHz的DA系统的放大器经由传输线230和阻抗匹配电路182将具有与功率值P11成比例(例如与功率值P11相同或为功率值P11的多倍,等等)的功率值且具有频率值F11的正向传输功率提供给等离子体腔室102。
当TTL信号112从状态S1转变到状态S0时并且当2MHz的DA系统将具有与功率值P11成比例的功率值和具有频率值F11的正向传输功率供应给等离子体腔室102时,等离子体腔室102内的阻抗变化。当等离子体腔室102内的阻抗由于TTL信号112从状态S1转变为状态S0而变化时,60MHz的生成器276的传感器212测量传输线232上的正向传输功率和反射功率,反射功率为从等离子体腔室102的等离子体反射的RF功率。传感器212将正向传输功率和反射功率的测量值提供给模数(ADC)转换器222,ADC转换器222将测量值从模拟格式转换为数字格式。正向传输功率和反射功率的数字值提供给DSP150。在实施方案中,DSP包括ADC。应当进一步注意,在一个实施方案中,DSP150缺少TTL信号112的接收。相反,在该实施方案中,DSP150接收可不与TTL信号112同步的另一数字脉冲信号。在一个实施方案中,由DSP150接收到的另一数字脉冲信号与TTL信号112同步。
在TTL信号112的状态S1期间,例如,紧接着在状态从TTL信号112的S1转变为S0之后,DSP150计算在状态S1期间的关系,例如数字的反射功率信号和数字的正向传输功率信号的比的平方根、电压驻波比(VSWR)等,以生成第一伽玛值。伽玛值1指示源的阻抗和负载的阻抗之间的高度失配,伽玛值0指示源的阻抗和负载的阻抗之间的低度失配。如果伽玛值为零,则等离子体腔室102的功率传送被视为极高效。如果伽玛值为1,则功率传送被视为极低效。VSWR被计算为等于RC-1和RC+1的比,其中RC为反射系数。
DSP150判定第一伽玛值是否大于第一阈值。当DSP150判定出第一伽玛值大于第一阈值时,DSP150将其指示给AFT118以及功率控制器152。AFT118确定对应于第一伽玛值的频率值F21并且将频率值F21提供给60MHz的DA系统。而且,功率控制器152确定对应于第一伽玛值的功率值P21并且将对应于第一伽玛值的功率值P21提供给60MHz的DA系统。例如,AFT118将使第一伽玛值与频率值F21映射的表存储在存储器设备内,并且功率控制器152将功率值P21和第一伽玛值之间的映射存储在存储器设备内。
在一个实施方案中,AFT118将频率值F21和功率值P21中的每个确定为与第一阈值对应。例如,AFT118将使第一阈值与频率值F21映射的表存储在存储器设备内,并且功率控制器152将功率值P21和第一阈值之间的映射存储在存储器设备内。
在TTL信号112的状态S1期间,60MHz的DA系统接收频率值F21和功率值P21。在接收到值F21和P21时,60MHz的DA系统生成具有频率F21的RF信号,并且RF信号具有功率值P21。例如,60MHz的DA系统的放大器经由传输线232和阻抗匹配电路186将具有与功率值P21成比例(例如,与功率值P21相同、为功率值P21的多倍,等等)的功率值且具有频率值F21的正向传输功率供应给等离子体腔室102。
当TTL信号112的状态从S1变为S0时,没有功率值且没有频率值提供给2MHz的DA系统。在状态S0期间,没有频率值提供给2MHz的DA系统。在状态S0期间,2MHz的DA系统不接收任何频率值和功率值,例如,接收频率值0和功率值0。在未接收到功率值和频率值时,2MHz的DA系统生成零频率的RF功率和具有零功率值的RF功率。2MHz的DA系统的放大器不经由传输线230和阻抗匹配电路182将正向传输功率供应给等离子体腔室102,例如,不将具有零功率值且具有零频率值的正向传输功率供应给等离子体腔室102。
而且,当TTL信号112的状态从状态S1变为状态S0时,等离子体腔室102内的等离子体的阻抗变化。而且,在TTL信号112的状态S0期间,例如,紧接着在从TTL信号112的状态S1转变为状态S0之后,传感器212确定传输线232上的正向传输功率和反射功率并且将测量到的正向传输功率和反射功率提供给ADC222。ADC222将测量到的正向传输功率和反射功率从模拟格式转换为数字格式。DSP150接收来自ADC222的数字的正向传输功率和反射功率并且由所述正向传输功率和反射功率来计算第二伽玛值。
DSP150将第二伽玛值与第二阈值进行比较并且判定第二伽玛值是否大于第二阈值。当DSP150判定出第二伽玛值大于第二阈值时,DSP150将其指示给AFT118以及功率控制器152。AFT118确定与第二伽玛值对应的频率值F20并且将频率值F20提供给60MHz的DA系统。而且,功率控制器152确定与第二伽玛值对应的功率值P20并且将与第二伽玛值对应的功率值P20提供给60MHz的DA系统。例如,AFT118将使第二伽玛值与频率值F20映射的表存储在存储器设备内,并且功率控制器152将功率值P20和第二伽玛值之间的映射存储在存储器设备内。
在一个实施方案中,AFT118将频率值F20和功率值P20中的每个确定为与第二阈值对应。例如,AFT118将使第二阈值与频率值F20映射的表存储在存储器设备内,并且功率控制器152将功率值P20和第二阈值之间的映射存储在存储器设备内。
在TTL信号112的状态S0期间,60MHz的DA系统接收频率值F20和功率值P20。在接收到值F20和P20时,60MHz的DA系统生成具有频率F20的RF信号,并且RF信号具有功率值P20。例如,60MHz的DA系统的放大器经由传输线232和阻抗匹配电路186将具有与功率值P20成比例(例如与功率值P20相同或为功率值P20的多倍,等等)的功率值且具有频率值F20的正向传输功率供应给等离子体腔室102。
使用正向传输功率和反射功率的测量值来改变由60MHz的DA系统提供的RF功率能获得等离子体的稳定性。而且,等离子体稳定性是基于正向传输功率和反射功率的实时测量值。该实时测量值提供了稳定等离子体的精度。
在一个实施方案中,在状态S1和S0中的一个或两个期间,2MHz的生成器274的传感器210感测在传输线230上的从等离子体腔室102的等离子体反射的RF功率。而且,在状态S1和S0中的一个或两个期间,当正向传输功率经由传输线230从2MHz的RF DA系统发送到等离子体腔室102时,传感器210感测传输线230上的正向传输功率。类似地,在状态S1和S0中的一个或两个期间,传感器212感测从等离子体腔室102的等离子体反射的功率。由传感器212感测到的反射功率是在传输线232上从等离子体腔室102的等离子体反射的。而且,在TTL信号112的状态S1和S0中的一个或两个期间,当正向传输功率从60MHz的RF DA系统经由传输线232发送到等离子体腔室102时,传感器212感测传输线232上的正向传输功率。
在该实施方案中,模数转换器(ADC)220将由传感器210感测到的测量的反射功率和正向传输功率从模拟形式转换为数字形式,并且ADC222将由传感器212感测到的测量到的反射功率和正向传输功率从模拟形式转换为数字形式。在状态S1和S0中的一个或两个期间,DSP140接收由传感器210感测到的反射功率信号和正向传输功率信号的数字值,并且DSP150接收由传感器212感测到的反射功率信号和正向传输功率信号的数字值。
此外,在该实施方案中,在状态S1期间由传输线230上的正向传输功率和反射功率的数字值生成的伽玛值从DSP140发送到AFT114,并且在状态S1期间由传输线232上的正向传输功率和反射功率的数字值生成的伽玛值从DSP150发送到AFT118。在状态S1期间,AFT114基于从DSP140接收到的伽玛值来确定频率值,并且AFT118基于从DSP150接收到的伽玛值来确定频率值。在状态S1期间,AFT114基于由基于伽玛值生成的频率值来调节频率值F11并且将调节后的频率值提供给2MHz的DA系统。而且,在状态S1期间,AFT118基于由基于伽玛值生成的频率值来调节频率值F21并且将调节后的频率值提供给60MHz的DA系统。
而且,在同一实施方案中,在状态S1期间,功率控制器142基于从DSP140接收到的伽玛值来确定功率值,并且功率控制器152基于从DSP150接收到的伽玛值来确定功率值。在状态S1期间,功率控制器142基于由基于伽玛值生成的功率值来调节功率值P11并且将调节后的功率值提供给2MHz的DA系统。而且,在状态S1期间,功率控制器152基于由基于伽玛值生成的功率值来调节功率值P21并且将调节后的功率值提供给60MHz的DA系统。
此外,在该实施方案中,在状态S1期间,2MHz的DA系统生成具有从AFT114接收到的调节后的频率值且具有从功率控制器142接收到的调节后的功率值的功率信号,并且经由阻抗匹配电路182将功率信号供应给等离子体腔室102。类似地,在状态S1期间,60MHz的DA系统生成具有从AFT118接收到的调节后的频率值且具有从功率控制器152接收到的调节后的功率值的功率信号,并且经由阻抗匹配电路186将功率信号供应给等离子体腔室102。
此外,在同一实施方案中,在状态S0期间,不将功率值和频率值提供给2MHz的DA系统,并且不使用在状态S0期间生成的伽玛值来调节2MHz的DA系统的零频率和功率值。由在状态S0期间从传输线232上的正向传输功率和反射功率的数字值生成的伽玛值从DSP150发送到AFT120。AFT120基于从DSP150接收到的伽玛值来确定频率值。在状态S0期间,AFT120基于由伽玛值生成的频率值来调节频率值F20并且将调节后的频率值提供给60MHz的DA系统。而且,在状态S0期间,功率控制器154基于从DSP150接收到的伽玛值来确定功率值。在状态S0期间,功率控制器154基于由基于伽玛值生成的功率值来调节功率值P20并且将调节后的功率值提供给60MHz的DA系统。在状态S0期间,60MHz的DA系统生成具有从AFT120接收到的调节后的频率值且具有从功率控制器154接收到的调节后的功率值的功率信号,并且经由阻抗匹配电路186将功率信号供应给等离子体腔室102。
应当注意的,在该实施方案中,通过调节值(by adjusting avalue)而生成的调节后的值(adjusted value)和该值之间的差小于利用第一阈值或第二阈值生成的另一功率或频率值之间的差。例如,由功率值P21生成的调节后的功率值和功率值P21之间的差小于功率值P21和P20之间的差。作为另一实施例,由频率值F20生成的调节频率值和频率值F20之间的差小于频率值F21和F20之间的差。
功率控制器142、AFT114和DSP140为生成器控制器270的部件。生成器控制器270、ADC220、传感器210和2MHz的DA系统为2MHz的生成器274的部件。类似地,功率控制器152、功率控制器154、AFT118和120和DSP150为生成器控制器272的部件。生成器控制器272、ADC222、传感器212和60MHz的DA系统为60MHz的生成器276的部件。
在一个实施方案中,系统180排除了阻抗匹配电路182和/或186。在实施方案中,使用除功率控制器142和AFT114之外的单个控制器,使用除功率控制器152和AFT118之外的单个控制器,并且使用除功率控制器154和AFT120之外的单个控制器。
在除了使用2MHz的功率源和60MHz的功率源之外而使用27MHz的DA系统的实施方案中,27MHz的生成器类似于60MHz的生成器276,除了27MHz的生成器包括27MHz的DA系统而不是60MHz的DA系统之外。27MHz的生成器经由阻抗匹配电路(未示出)和传输线(未示出)与等离子体腔室102的下方电极104耦合。而且,27MHz的DA系统与除了工具UI112之外的数字脉冲信号源耦合,并且由数字脉冲信号源生成的数字脉冲信号可不与TTL信号112同步。数字脉冲信号源的实施例包括时钟振荡器或包括生成TTL信号的TTL电路的计算机。在一个实施方案中,由数字脉冲信号源生成的数字脉冲信号与TTL信号112同步。27MHz的生成器包括两个功率控制器、两个AFT、DSP、ADC、传感器和27MHz的DA系统。
在实施方案中,在训练例程(例如,学习过程)中生成第一阈值和第二阈值。在训练例程中,当2MHz的DA系统将其RF功率信号从低功率值变成高功率值时,在等离子体腔室102和60MHz的DA系统内的一个或多个部分(例如,等离子体等)之间存在阻抗失配。高功率值高于低功率值。当供应给2MHz的RFDA系统的TTL信号112或时钟信号的状态从S0变成S1时,2MHz的DA系统将其RF功率信号的状态从低功率值变成高功率值。在该情况下,当2MHz的DA系统开始供应高功率值的功率时,60MHz的DA系统使其频率和功率得以调谐。为了减少阻抗失配,60MHz的DA系统开始调谐(例如,聚合)为功率值和频率值。聚合可由DSP150基于标准偏差或另一技术来确定。为了允许60MHz的DA系统有更多的时间聚合到功率值和频率值,2MHz的DA系统对于比通常的时间段延长的时间段保持高功率值。通常的时间段为阻抗失配未减少(例如,去除)的时间量。通常的时间段的一个例子是等于TTL信号112的半个周期。当60MHz的DA系统聚合到功率值和频率值时,聚合的功率值被存储为功率控制器152内的功率值P21,并且聚合的频率值被存储为AFT118内的频率值F21。第一阈值是由训练例程期间的功率值P21生成的,并且第一伽玛值对应于频率值F21。例如,传感器212测量训练例程期间的正向传输功率值和反射功率值。当60MHz信号的频率为F21时,传感器212测量训练例程期间的正向传输功率值和反射功率值。ADC222将测量到的正向传输功率值和反射功率值从模拟格式转换为数字格式。DSP150接收来自ADC222的数字的正向传输功率值P21和数字的反射功率值并且由功率值P21和在训练例程期间测量到的数字的反射功率值生成第一阈值。
类似地,在训练例程期间,当2MHz的DA系统将其RF功率信号从高功率值变为低功率值时,生成功率值P20和频率值F20。功率值P20存储在功率控制器154中,并且频率值F20存储在AFT120中。而且,功率值P20用于以由功率值P21生成第一阈值的类似方式生成训练例程期间的第二阈值。第二阈值对应于频率值F20。例如,当60MHz信号的功率值被确定为P20时,60MHz信号的频率值为F20。
在实施方案中,AFT118和功率控制器152判定第一伽玛值是否大于第一阈值,而不是(instead of)DSP150。在该实施方案中,DSP150将第一伽玛值提供给AFT118和功率控制器152。当AFT118判定出第一伽玛值大于第一阈值时,AFT118确定与第一伽玛值对应的频率值F21并且将频率值F21提供给60MHz的DA系统。而且,当功率控制器152判定出第一伽玛值大于第一阈值时,功率控制器152确定与第一伽玛值对应的功率值P21并且将功率值P21提供给60MHz的DA系统。
而且,在该实施方案中,AFT120和功率控制器154判定第二伽玛值是否大于第二阈值,而不是(instead of)DSP150。在该实施方案中,DSP150将第二伽玛值提供给AFT120和功率控制器154。当AFT120判定出第二伽玛值大于第二阈值时,AFT120确定与第二伽玛值对应的频率值F20并且将频率值F20提供给60MHz的DA系统。而且,当功率控制器154判定出第二伽玛值大于第二阈值时,功率控制器154确定与第二伽玛值对应的功率值P20并且将功率值P20提供给60MHz的DA系统。
在实施方案中,复电压和电流被感测,而不是(instead of)传感器212感测正向传输功率和反射功率,并且由感测到的电压和电流值生成伽玛值。例如,一个或多个传感器(例如,电压传感器、电流传感器等)感测传输线232上的电流和电压,并且将感测到的电流和电压值作为复值提供给DSP150。DSP150根据感测到的电流和电压值来计算正向传输功率和反射功率,并且根据正向传输功率和反射功率来生成伽玛值。
在一个实施方案中,在TTL信号106的状态S1期间,第一比较器将在传输线232上反射的电压或电流进行比较,以判定电压或电流是否大于第一预定值,而不是(instead of)传感器212感测正向传输功率和反射功率。在TTL信号106的状态S1期间,当电压或电流大于第一预定值时,第一比较器将第一信号提供给DSP150,并且当电压或电流不大于第一预定值时,比较器将第二信号提供给DSP150。响应于接收到第一信号,DSP150识别出电压或电流大于第一预定值,并且响应于接收到第二信号,DSP150识别出电压或电流不超过第一预定值。当DSP150识别出电压或电流超过第一预定值时,DSP150确定与第一预定值对应的频率值F21并且将频率值F21提供给AFT118。而且,在接收到电压或电流超过第一预定值的指示时,DSP150确定与第一预定值对应的功率值P21并且将功率值P21提供给功率控制器152。比较器与DSP150耦合。
在该实施方案中,在TTL信号106的状态S0期间,比较器将在传输线232上反射的电压或电流进行比较,以判定电压或电流是否大于第二预定值。当电压或电流大于第二预定值时,比较器将第一信号提供给DSP150,并且当电压或电流不大于第二预定值时,比较器将第二信号提供给DSP150。响应于在TTL信号106的状态S0期间接收到第一信号,DSP150识别出电压或电流大于第二预定值,并且响应在TTL信号106的状态S0期间接收到第二信号,DSP150识别出电压或电流不超过第二预定值。当DSP150判定出电压或电流超过第二预定值时,DSP150确定与第二预定值对应的频率值F20并且将频率值F20提供给AFT120。而且,在接收到电压或电流超过第二预定值的指示时,DSP150确定与第二预定值对应的功率值P20并且将功率值P20提供给功率控制器154。在实施方案中,比较器包括模拟电路,例如,一个或多个运算放大器。
图2为示出基于伽玛值是否大于第一阈值或第二阈值的状态变化的表250的实施方案。如表250中所表示的,TTL信号112用于向DSP140(图1)提供数字脉冲信号(例如,时钟信号)。
当TTL信号112处于状态S1时,2MHz的信号具有高功率水平。在TTL信号112的状态S1期间,判定伽玛值是否超过第一阈值。响应于判定出伽玛值超过第一阈值,60MHz的信号的功率值从功率值P21变成功率值P20,并且60MHz的信号的频率值从频率值F20变成频率值F21以实现状态S1。
而且,当TTL信号112处于状态S0时,2MHz的信号具有低功率水平。在TTL信号112的状态S0期间,判定伽玛值是否超过第二阈值。响应于判定出伽玛值超过第二阈值,60MHz的信号的功率值从功率值P20变成功率值P21,并且60MHz的信号的频率值从频率值F21变成频率值F20以实现状态S0。
图3示出了曲线图111的实施方案,该曲线图为在训练例程期间两个RF信号,即2MHz的信号和60MHz的信号的正向传输功率对时间的绘制图。在实施方案中,训练例程被执行一次以确定调谐后的RF值,例如功率值P20和P21、频率值F20和F21、阈值等,或者在时间段内被执行一次以解释(account for)例如等离子体阻抗。在该实施例中,2MHz的信号为独立脉冲调制(IP)的RF信号,并且60MHz的信号表示相依RF信号(dependent RF signal),该相依RF信号调谐其RF频率以便当2MHz的RF信号进行脉冲调制时使功率传送最优化。尽管结合图3仅对一个相依RF生成器(例如,60MHz)进行了讨论,应当理解的是,可存在多个相依RF生成器,每个相依RF生成器均可经过类似的训练例程以便当IP RF信号进行脉冲调制时确定其自身最优的调谐后的RF频率和阈值。
当结合参照图3进行说明的方法113的流程图(参照图4对其进行了描述)的实施方案进行研究时可以更好地理解图3。方法113为训练例程的实施例。
在点115处,对IP RF生成器(例如,2MHz的生成器)的IP RF信号119被高脉冲调制至高功率设定点。在图1的实施例中,对于2MHz的IP RF生成器而言,高功率设定点为6千瓦(kW)。这也示于图4的操作117中。
此外,相依RF生成器(例如,60MHz的生成器)被设定为其频率自调谐模式以允许相依RF生成器聚合到当IP RF信号119被高脉冲调制时功率传送的最优RF频率。这在图4的操作117中也示出了。简言之,独立或相依RF生成器监控与等离子体腔室102相关联的许多变量并且调节其自身变量以使得向等离子体腔室102的功率传送最大化。然后,独立或相依RF生成器调谐其RF信号频率以使伽玛最小化,从而使功率传送效率最大化。
在点115和121之间的时间段中,对2MHz的IP RF信号进行高脉冲调制。IP RF信号的该高脉冲持续时间在训练时间期间相对于在用于基板108的处理的生产时间内采用的IP RF信号高脉冲持续时间大幅延长,例如,从十分之几秒上至几秒。可处理基板108以蚀刻基板108,将一层或多层沉积到基板108上,清洁基板108,等等。该人为延长的高脉冲持续时间给予相依RF生成器足够的时间来最优地调谐其频率以对于当IP RF信号被高脉冲调制时存在的等离子体阻抗条件使功率传送效率最大化。
当2MHz的IP RF信号进行高脉冲调制时,对于0.04的伽玛值,相依RF生成器调谐至61.3MHz的频率值。对于相依RF生成器该最优调谐的RF频率61.3MHz(例如,IDPC_Freq1)随后被记录在AFT118(图1)内,如操作123中所示出的,并且被设定为IDPC_Freq1,如图4的操作125中所示出的。IDPC_Freq1为频率值F21的实施例。在频率IDPC_Freq1处由传感器212感测到的正向传输功率(例如,6kW等)为功率值P21的实施例。该61.3MHz的值表示当2MHz的IP RF信号进行高脉冲调制时对于60MHz的相依RF信号的最优RF频率。0.04的伽玛值验证了在对于相依RF生成器的该最优调谐的RF频率处功率传送是高效的。
相依RF生成器随后以固定频率模式工作,从而不允许对其RF频率进行调谐。相反,迫使相依RF生成器在前述61.3MHz的最优调谐的RF频率处工作,并且2MHz的IP RF信号从其高功率设定点转变为其低功率设定点(从121到127)。这可见于图4的操作131中。尽管在图2的实施例中2MHz的RF信号的低功率设定点为零,在实施方案中,低功率设定点可以为低于6kW的高功率设定点的任何功率水平设定。
在IP RF信号进行低脉冲调制之后(在点127之后),先前最优调谐的RF频率61.3MHz不再是通过相依RF生成器进行功率传送的高效的RF频率。这是因为,当2MHz的IP RF信号进行低脉冲调制以将低量的RF功率传送到等离子体腔室102内的等离子体时,等离子体阻抗已经改变。低效性反应在0.8的伽玛值上,该值是通过相依RF生成器的传感器212检测到的。该0.8的伽玛值被记录在图4的操作133中,并且可被设定为图4的操作135中的IDPC_Gamma1阈值。IDPC_Gamma1阈值为第二阈值的实施例。IDPC_Gamma2阈值被存储在DSP150的存储器设备、AFT120的存储器设备和/或功率控制器154的存储器设备内(图1)。
在生产时间内,由于IP RF信号被高脉冲调制并且60MHz的RF信号为61.3MHz且随后遇到IDPC_Gamma1阈值,相依RF生成器判定出2MHz的IP RF信号刚刚从高转变为低。
在一个或多个实施方案中,为了灵敏度,可由Threshold1_Adjust值调节IDPC_Gamma1阈值。例如,期望的是在操作135中将IDPC_Gamma1阈值设定为0.7而不是0.8,例如,略低于由于2MHz的IP RF信号的高到低的转变而存在的伽玛值,以提高传感器212的高到低的检测灵敏度。在该实施例中,Threshold1_Adjust值为-0.1,并且0.7的IDPC_Gamma1阈值为0.8的伽玛值和-0.1的Threshold1_Adjust值之和。
一旦获得IDPC_Gamma1阈值,60MHz的相依RF生成器在操作139中被设定为频率自调谐模式以使60MHz的相依RF生成器能够确定当2MHz的IP RF信号进行低脉冲调制时对于功率传送最优调谐的RF频率。另外,2MHz的IP RF信号的低脉冲在图3的点127和137之间被人为地延长,以使得既能确定IDPC_Gamma1阈值又能容许60MHz的相依RF生成器自调谐至在2MHz的IP RF信号的低脉冲期间的功率传送的最优RF频率。
一旦相依RF生成器调谐至在2MHz的IP RF信号的低脉冲期间的功率传送的最优RF频率(例如,60.5MHz),相依RF生成器的最优调谐的RF频率被记录在操作141中并且在操作143中被设定为IDPC_Freq2。
在相依RF生成器已经调谐至其对于2MHz的IP RF信号的低脉冲最优的RF频率值(例如,60.5MHz等)之后,相依RF生成器在操作145中被设定为在固定频率模式下在IDPC_Freq2处工作,并且允许2MHz的IP RF生成器进行高脉冲调制,例如,从点137转变到点147。IDPC_Freq2为频率值F20的实施例。由传感器212在频率IDPC_Freq2处感测到的正向传输功率是功率值P20的实施例。这可见于图4的操作145中。
在2MHz的IP RF信号进行高脉冲调制之后,例如,在点137之后,先前的最优调谐的RF频率IDPC_Freq2不再是对于由60MHz的RF生成器进行功率传送的高效的RF频率。这是因为,当2MHz的独立脉冲调制的RF信号进行高脉冲调制以将高量的RF功率传送到等离子体腔室102内的等离子体时,等离子体阻抗已经改变。该低效性反映在0.78的伽玛值上,该伽玛值是由传感器212检测到的。该0.78的伽玛值被记录在操作151中并且可在操作153中被设定为IDPC_Gamma2阈值。IDPC_Gamma2阈值为第一阈值的实施例。IDPC_Gamma2阈值被存储在DSP150的存储器设备、AFT118的存储器设备和/或功率控制器152的存储器设备内。
在生产时间内由于IP RF信号被进行低脉冲调制并且60MHz的RF信号处于60.5MHz(其为当IP RF信号被进行低脉冲调制时对于60MHz的RF生成器为最优调谐的RF频率),并且随后遇到IDPC_Gamma2阈值,所以相依RF生成器判定出2MHz的IP RF信号刚刚从低转变为高。
在一个或多个实施方案中,为了灵敏度,能够由Threshold2A_djust值调节IDPC_Gamma2阈值。例如,期望的是在图4的操作153中将IDPC_Gamma2阈值设定为0.75而不是0.78,例如略低于由于2MHz的IP RF信号的低到高的转变而存在的真实伽玛值,以提高传感器212的低到高的检测灵敏度。在该实施例中,Threshold2A_djust值为-0.03,并且0.75的IDPC_Gamma2阈值为0.78的伽玛值和-0.03的Threshold2_Adjust值之和。
当传感器212检测到伽玛值已超过阈值时,两个最优调谐的RF频率值(例如,61.3MHz和60.5MHz)以及两个伽玛阈值(例如,IDPC_Gamma1阈值和IDPC_Gamma2阈值)随后在允许2MHz进行脉冲调制并且60MHz的相依RF生成器在两个先前学习的最优调谐的RF频率之间前后翻转(flips back and forth)时的生产时间内被采用。在图3中60MHz的信号被图示为信号155。
图5是用于基于等离子体阻抗来改变状态的系统262的实施方案的图,其中功率控制器和/或频率调谐器不提供非零值。除了系统262包括功率控制器172和AFT264(其中每个均提供非零值)之外,系统262类似于图1的系统180。
DSP140将识别出的状态S0从相应的存储器位置提供给AFT264以及功率控制器172。作为实施例,DSP140指示AFT264和功率控制器172,TTL信号112在工作周期的时点t2和t3之间处于状态S0。AFT264基于TTL信号112的状态来确定频率值,并且功率控制器172基于TTL信号112的状态来确定功率值。例如,当TTL信号112的状态为S0时,AFT264确定将频率值F10提供给2MHz的DA系统,并且当TTL信号112的状态为S0时,功率控制器172确定将功率值P10提供给2MHz的DA系统。在一个实施方案中,值F10和P10为正值。
频率值F10被存储在AFT264中,并且功率值P10被存储在功率控制器172中。当TTL信号112的状态为S0时,功率控制器172将功率值P10提供给2MHz的DA系统,并且AFT264将频率值F10提供给2MHz的DA系统。
2MHz的DA系统接收状态S0期间的频率值F10和功率值P10。在接收到值F10和P10时,2MHz的DA系统在频率F10处生成RF功率,并且RF功率具有功率值P10。2MHz的DA系统的放大器经由传输线230和阻抗匹配电路182将具有与功率值P10成比例的功率值且具有频率值F10的正向传输功率供应给等离子体腔室102。
在实施方案中,在TTL信号112的状态S0期间,AFT264基于从DSP140接收到的伽玛值来确定频率值。在状态S0期间,AFT264基于由伽玛值生成的频率值来调节频率值F10并且将调节后的频率值提供给2MHz的DA系统。而且,在状态S0期间,功率控制器172基于从DSP140接收到的伽玛值来确定功率值。在状态S0期间,功率控制器172基于由基于伽玛值生成的功率值来调节功率值P10并且将调节后的功率值提供给2MHz的DA系统。而且,在状态S0期间,2MHz的DA系统生成具有从AFT264接收到的调节后的频率值且具有从功率控制器172接收到的调节后的功率值的功率信号,并且经由阻抗匹配电路182将该功率信号供应给等离子体腔室102。
功率控制器142和172、AFT114和264以及DSP140为生成器控制器290的部件。生成器控制器290、ADC220、传感器210和2MHz的DA系统为2MHz生成器292的部件。
在一个实施方案中,除了每个DSP140或150外,使用任何数量的处理器可被用于执行由DSP执行的功能。
图6A示出了曲线图302、304、306和308的实施方案。各个曲线图302、304、306和308绘制作为时间t的函数的功率值(单位为千瓦(kW)。如曲线图302中所示,2MHz的功率信号(其为由2MHz的DA系统供应的功率信号)在状态S1期间具有功率值a1且在状态S0期间具有功率值0。功率值a1为功率值P11的实施例。而且,60MHz的功率信号(其为由60MHz的DA系统供应的功率信号)在状态S1期间具有功率值a2且在状态S0期间具有功率值a3。功率值a2为功率值P21的实施例,功率值a3为功率值P20的实施例。
如曲线图304中所示,60MHz的功率信号在状态S1和S0期间具有功率值a2。而且,如曲线图306中所示,2MHz的信号在状态S0期间具有功率值a4。功率值a4为功率值P10的实施例。如曲线图308所示,当2MHz的信号具有功率值a4时,60MHz的信号具有功率值a2。
图6B示出了曲线图310、312、314和316的实施方案。各个曲线图310、312、314和316绘制了作为时间t的函数的功率值(单位为千瓦)。如曲线图310所示,并不是60MHz的信号从功率值a2转变为功率值a3(图6A),而是60MHz的信号从功率值a2转变为零功率值。
而且,如曲线图312所示,60MHz的信号从功率值a2转变为功率值a5,功率值a5为功率值P20的实施例。如曲线图314中所示,当2MHz的信号具有非零功率值a4时,60MHz的信号在状态S0期间具有零功率值。如曲线图316所示,当2MHz的信号具有非零功率值a4时,60MHz的功率信号在状态S0期间具有非零功率值a5。
图7A示出了曲线图318、320、322和324的实施方案。每个曲线图318、320、322和324绘制了作为时间t的函数的功率值(单位为千瓦)。除了曲线图318、320、322和324包括27MHz信号的绘制图之外,曲线图318类似于曲线图302(图6A),曲线图320类似于曲线图304(图6A),曲线图322类似于曲线图306(图6A),并且曲线图324类似于曲线图308(图6A)。27MHz信号是由27MHz的生成器的27MHz的DA系统(未示出)生成的。27MHz信号为在状态S1和S0期间具有功率值a6的RF信号。
图7B示出了曲线图326、328、330和332的实施方案。每个曲线图326、328、330和332绘制了作为时间t的函数的功率值(单位为千瓦)。除了曲线图326、328、330和332包括具有功率值a6的27MHz信号的绘制图之外,曲线图326类似于曲线图310(图6B),曲线图328类似于曲线图312(图6B),曲线图330类似于曲线图314(图6B),并且曲线图332类似于曲线图316(图6B)。
图7C示出了曲线图334、336、338和340的实施方案。每个曲线图334、336、338和340绘制了作为时间t的函数的功率值(单位为千瓦)。除了曲线图334、336、338和340包括27MHz信号的绘制图之外,曲线图334类似于曲线图302(图6A),曲线图336类似于曲线图304(图6A),曲线图338类似于曲线图306(图6A),并且曲线图340类似于曲线图308(图6A)。27MHz信号从在状态S1期间具有功率值a7转变为在状态S0期间具有功率值a8。功率值a7小于功率值a8。
图7D示出了曲线图342、344、346和348的实施方案。各个曲线图342、344、346和348绘制作为时间t的函数的功率值(单位为千瓦)。除了曲线图342、344、346和348包括具有功率值a7和a8的27MHz信号的绘制图之外,曲线图342类似于曲线图310(图6B),曲线图344类似于曲线图312(图6B),曲线图346类似于曲线图314(图6B),并且曲线图348类似于曲线图316(图6B)。
图7E示出了曲线图350、352、354和356的实施方案。各曲线图350、352、354和356绘制作为时间t的函数的功率值(单位为千瓦)。除了曲线图350、352、354和356包括27MHz信号的绘制图之外,曲线图350类似于曲线图302(图6A),曲线图352类似于曲线图304(图6A),曲线图354类似于曲线图306(图6A),并且曲线图356类似于曲线图308(图6A)。27MHz的信号从在状态S1期间具有功率值a9转变为在状态S0期间具有功率值a10。功率值a9大于功率值a10。
图7F示出了曲线图358、360、362和364的实施方案。各曲线图358、360、362和364绘制了作为时间t的函数的功率值(单位为千瓦)。除了曲线图358、360、362和364包括具有功率值a9和a10的27MHz信号的绘制图之外,曲线图358类似于曲线图310(图6B),曲线图360类似于曲线图312(图6B),曲线图362类似于曲线图314(图6B),并且曲线图364类似于曲线图316(图6B)。
应当注意,在上文所示的曲线图302、304、306、308、310、312、314、316、318、320、322、324、326、328、330、332、334、336、338、340、342、344、346、348、350、352、354、356和358中,2MHz的信号以实线示出,60MHz的信号以虚线示出,并且27MHz的信号以点线(dotted line)示出。
图8为基于伽玛值是否大于第一阈值或第二阈值而在AFT118和120(图1和图3)之间进行选择的系统310的实施方案的框图。当TTL信号112处于状态S1并且在状态S1期间测量到的伽玛值超过第一阈值时,系统310的作为选择器的实施例的选择逻辑128选择AFT118,并且当TTL信号112处于状态S0并且在状态S0期间测量到的伽玛值超过第二阈值时,选择逻辑128选择AFT120。选择逻辑128的实施例包括多路复用器。当选择逻辑128包括多路复用器时,在多路复用器的选择输入处接收到指示在TTL信号112的状态S1期间测量到的伽玛值大于第一阈值的信号或者指示在TTL信号112的状态S0期间测量到的伽玛值大于第二阈值的信号。当TTL信号112具有状态S1时,DSP150生成指示在TTL信号112的状态S1期间测量到的伽玛值大于第一阈值的信号并且将该信号提供给多路复用器。当TTL信号112具有状态S0时,DSP150生成指示在TTL信号112的状态S0期间测量到的伽玛值大于第二阈值的信号并且将该信号提供给多路复用器。
在一个实施方案中,选择逻辑128包括处理器。在实施方案中,选择逻辑128实现在DSP140内。
当选择AFT118时,AFT118将频率值F21提供给60MHz的DA系统。类似地,当选择AFT120时,AFT120将频率值F20提供给60MHz的DA系统。
60MHz的DA系统生成与从时钟源312接收到的时钟信号同步的2MHz的信号。在一个实施方案中,时钟源312的时钟信号与TTL信号112不同步。在实施方案中,时钟源312的时钟信号与TTL信号112同步。
在一个实施方案中,选择逻辑128在功率控制器152和154(图5)之间进行选择,而不是在AFT118和120之间进行选择。当在TTL信号112的状态S1期间选择功率控制器152时,功率控制器152将功率值P21提供给60MHz的DA系统,并且当在TTL信号112的状态S0期间选择功率控制器154时,功率控制器154将功率值P20提供给60MHz的DA系统。
在一个实施方案中,选择逻辑128以与选择逻辑128实现在60MHz生成器276(图1和图3)内类似的方式实现在27MHz的生成器内。
选择逻辑128基于状态S1或S0将伽玛值传递给AFT118或120。例如,当状态为S1时,DSP150将第一伽玛值提供给选择逻辑128。在该实施例中,已经在状态S1期间选择AFT118的选择逻辑128将从DSP150接收到的第一伽玛值传递给AFT118。作为另一实施例,当状态为S0时,DSP150将第二伽玛值提供给选择逻辑128。在该实施例中,已经在状态S0期间选择AFT120的选择逻辑128将从DSP150接收到的第二伽玛值传递给AFT120。
类似地,在使用功率控制器152和154(图5)的实施方案中,选择逻辑128在状态S1期间将从DSP150接收到的第一伽玛值传递给功率控制器152并且将从DSP150接收到的第二伽玛值传递给功率控制器154。
此外,在选择逻辑128实现在27MHz的生成器内并且与27MHz的生成器的功率控制器耦合的实施方案中,选择逻辑128在状态S1期间将从27MHz生成器的DSP接收到的第三伽玛值传递给功率控制器中的一个并且在状态S0期间将从DSP接收到的第四伽玛值传递给功率控制器中的另一个。在该实施方案中,第三伽玛值是基于与27MHz生成器耦合的传输线上的正向传输功率和反射功率而生成的。而且,在该实施方案中,正向传输功率和反射功率两者均由27MHz生成器的传感器感测。在该实施方案中,第四伽玛值是基于与27MHz生成器耦合的传输线上的正向传输功率和反射功率而生成的。
而且,在选择逻辑128实现在27MHz生成器内并且与27MHz生成器的AFT耦合的实施方案中,选择逻辑128在状态S1期间将从27MHz生成器的DSP接收到的第三伽玛值传递给AFT中的一个并且在状态S0期间将从DSP接收到的第四伽玛值传递给AFT中的另一个。
图9为用于调节60MHz的DA系统的频率和/或功率以实现60MHz生成器276的状态S1和S0(图1和图3)的方法321的实施方案的流程图。在操作325中,在等离子体腔室102(图1)内等离子体被撞击(例如,生成)。
在操作327中,在TTL信号112的两种状态期间,通过传感器212来测量传输线232上的正向传输功率和反射功率(图5)。所测量到的正向传输功率和反射功率从模拟格式转换为数字格式。
在操作329中,DSP140和150根据在TTL信号112的状态S0和S1期间测量到的正向传输功率和反射功率的数字值来计算伽玛值。对于TTL信号112的每种状态,由DSP来确定伽玛值。例如,在TTL信号112的状态S0期间,DSP150基于正向传输功率和反射功率之间的关系(例如,在传输线232上感测到的反射功率与正向传输功率的比的平方根)等来确定伽玛值,并且在TTL信号112的状态S1期间,DSP150基于正向传输功率和反射功率之间的关系(例如,在传输线232(图5)上感测到的反射功率与正向传输功率的比的平方根)来确定伽玛值。
在操作331中,判定在TTL信号112的状态S1期间测量到的伽玛值是否大于第一阈值并且判定在TTL信号112的状态S0期间测量到的伽玛值是否大于第二阈值。例如,AFT118和功率控制器152判定从DSP150接收到的伽玛值是否大于第一阈值并且AFT120和功率控制器154判定从DSP150接收到的伽玛值是否超过第二阈值。作为另一实施例,DSP150判定第一伽玛值是否大于第一阈值或者第二伽玛值是否大于第二阈值。
在判定出伽玛值大于第一阈值时,在操作333中,AFT118将频率值F21提供给60MHz的DA系统,并且功率控制器152将功率值P21提供给60MHz的DA系统。而且,在判定出伽玛值大于第二阈值时,在操作335中,AFT120将频率值F20提供给60MHz的DA系统,并且功率控制器154将功率值P20提供给60MHz的DA系统。方法321的操作327在操作333和335之后重复。
尽管针对60MHz生成器27对方法321进行了说明,在一个实施方案中,方法321适应于27MHz生成器或具有除了27MHz或60MHz以外的频率的生成器。
图10示出了用于通过相依RF生成器实现RF调谐以在IP RF信号脉冲调制期间获得最优的生产时间功率传送的标准化的RF变量对时间的曲线图400的实施方案。标准化的RF变量的实施例包括正向传输功率和伽玛值。当结合方法500的流程图来研究时,可更好地理解图10,方法500的实施方案示于图11中。方法500提供了与用于通过相依RF生成器实现频率调谐以在脉冲调制期间获得最优功率传送有关的操作的细节。
在点402处,2MHz的IP RF生成器被进行高脉冲调制并且60MHz的相依RF生成器被设定为其先前学习的最优RF频率IDPC_Freq1(例如,61.3MHz)或被允许进行自调谐至该最优RF频率IDPC_Freq1。这可见于图11的操作504中。此后,相依RF生成器在频率调谐模式下工作。
在图10的实施例中,2MHz的IP RF信号在占空比为50%的情况下在159.25Hz的脉冲调制频率下进行脉冲调制,该脉冲调制频率可根据需要在6kW的高功率设定点和0kW的低功率设定点(不是必需的,可以为非零)之间变化。60MHz的相依RF生成器提供在900W的功率设定点处的功率。尽管60MHz的相依RF生成器将功率传送给等离子体腔室102内的等离子体负载,但是相依RF生成器还经由传感器212来监控伽玛值,如图11的操作506和508中所示。
在点404处,2MHz的IP RF信号进行低脉冲调制至点409。紧接着在该高到低的转变之后,通过60MHz的相依RF生成器测量到的伽玛值从大约0.04跳跃到大约0.8,例如,从点407到点408。如果IDPC_Gamma1阈值被设定为例如0.7,则检测到的伽玛值的偏移(操作508中的分支(YES))有利于60MHz的RF生成器从一个先前学习的最优调谐的RF频率值IDPC_Freq1翻转到另一先前学习的最优调谐的RF频率值IDPC_Freq2。这可见于图11的操作510中。60MHz的相依RF生成器响应于2MHz的IPRF信号从高到低的转变而从IDPC_Freq1调谐到IDPC_Freq2实现了测量到的伽玛值减至0.05,例如,从点410到点412。
在点415处,2MHz的IP RF信号进行从低到高的脉冲调制,例如到达点417。紧接着在该从低到高的转变之后,通过相依RF生成器在操作512和514中测量到的伽玛值从大约0.05跳跃到大约0.78。该跳跃示于点414和416之间。
如果IDPC_Gamma2阈值被设定以跳变(例如设定为0.75),则检测到的伽玛值的偏移(例如,图11的操作514的YES分支)有利于60MHz的RF生成器从先前学习的最优调谐的RF频率值IDPC_Freq2翻转到另一先前学习的最优调谐的RF频率值IDPC_Freq1。这可见于图11的操作504中。60MHz的相依RF生成器响应于2MHz的IP RF信号从低到高的转变而从IDPC_Freq2调谐到IDPC_Freq1使得测量到的伽玛值减至0.04,例如,从点418到点420。
应当注意,图10的时间标度反映了比图3较快的时间标度。图10的时间标度示出了生产时间并且图3的时间标度示出了学习时间。当如上所述IP RF脉冲的高持续时间和低持续时间在学习时间内被人为地延长以容许相依RF生成器进行自调谐至用于学习目的的最优调谐的RF频率时,情况也是如此。应当进一步注意的是,60MHz的信号被示为图10中的信号460。
在一个实施方案中,在生产时间内,不使用这种自调谐,因为相依RF生成器大致作为状态机工作并且在其检测到IP RF信号的从高到低的转变以及IP RF信号的从低到高的转变时从一种学习的最优RF频率翻转到另一学习的最优RF频率。通过将测量到的伽玛值与IDPC_Gamma1阈值进行比较并且通过确定在伽玛偏移的检测之前IP RF信号的先前状态,来检测从高到低的转变。而且,通过将测量到的伽玛值与IDPC_Gamma2阈值进行比较并且通过确定伽玛漂移的检测之前IP RF信号的先前状态,来检测从低到高的转变。
应当注意的是,尽管上述实施方案涉及将2MHz的RF信号和/或60MHz的信号和/或27MHz的信号提供给下方电极104并且使上方电极110接地,在多个实施方案中,在下方电极104接地的同时,2MHz、60MHz和27MHz的信号被提供给上方电极110。
还应注意的是,在一个实施方案中,例如频率输入、功率输入等的输入或者例如功率水平、频率水平的水平包括在另一值的限值(例如,标准偏差)内的一个或多个值。例如,功率水平包括功率值P21以及在功率值P21的限值内的其它功率值。在该实施例中,功率水平排除了用于另一状态的任何功率值,例如用于状态S0的功率值P20。作为另一实施例,频率输入包括频率值F11以及在频率值F11的限值内的其它频率值。在该实施例中,频率输入排除了用于另一状态的任何频率值,例如,用于状态S0的频率值F10。
应当注意,尽管参照平行板式的等离子体腔室对上述实施方案进行了说明,在一个实施方案中,上述实施方案适用于其它类型的等离子体腔室,例如包括电感耦合等离子体(ICP)反应器的等离子体腔室、包括电子回旋加速器谐振(ECR)反应器的等离子体腔室等。例如,2MHz和60MHz的功率源与ICP等离子体腔室内的电感器耦合。
而且,尽管使用伽玛值对上述实施方案的一些实施方案进行了说明,在实施方案中,可以使用阻抗差值。例如,当TTL信号112的状态为S1时,DSP150根据传输线232上的反射功率来确定阻抗并且也根据传输线232上的正向传输功率来确定阻抗。DSP150判定阻抗之间的第一差值是否超过第一限值,并且在判定出阻抗之间的第一差值超过第一限值时,发送指示阻抗之间的第一差值超过第一限值并且还指示第一差值的值的信号。在接收到指示第一差值的值的信号时,AFT118从存储器设备中取回频率值F21,并且功率控制器152从存储器设备中取回功率值P21。然后,将值F21和P21提供给60MHz的DA系统。
类似地,当TTL信号112的状态为S0时,DSP150根据传输线232上的反射功率来确定阻抗并且也根据传输线232上的正向传输功率来确定阻抗。DSP150判定阻抗之间的第二差值是否超过第二限值,并且在判定出阻抗之间的第二差值超过第二限值时,发送指示阻抗之间的第二差值超过第二限值并且还指示第二差值的值的信号。在接收到指示第二差值的值的信号时,AFT120从存储器设备中取回频率值F20,并且功率控制器154从存储器设备中取回功率值P20。然后,将值F20和P20提供给60MHz的DA系统。
在一个实施方案中,通过生成器控制器的DSP来执行由生成器控制器的AFT和/或功率控制器执行的操作。例如,通过DSP150来执行本文所描述的由AFT118和120执行的操作。
在实施方案中,术语“驱动器放大器”和“DA系统”在本文中可互换地使用。
可通过包括手持式设备、微处理器系统、基于微处理器或可编程的消费电子产品、微型计算机、主计算机等各种计算机系统配置来实施本文所描述的实施方案。还能够在通过网络链接的远程处理设备执行任务的分布式计算环境中实施实施方案。
通过上述实施方案,应当理解的是,实施方案能够采用涉及在计算机系统中存储的数据的各种计算机实现的操作。这些操作是那些要求物理量的物理操纵的操作。构成实施方案的部分的本文所述的任何操作是有用的机器操作。实施方案还涉及用于执行这些操作的设备或装置。装置可进行特别地构造以用于专用型计算机。当被限定为专用型计算机时,计算机还能够执行不作为专用用途的一部分的其它处理、程序执行或例程,同时仍能够操作以用于专用用途。可选地,操作可通过通用型计算机来处理,该通用型计算机通过存储在计算机内存、超高速缓冲存储器内或者在网络上获得的一种或多种计算机程序来选择性地激活或配置。当在网络上获得数据时,数据可通过网络上的其它计算机进行处理,例如,云计算资源。
一个或多个实施方案还能够被制造为非暂态性计算机可读介质上的计算机可读代码。非暂态性计算机可读介质是能够存储数据的任何数据存储设备,数据随后可通过计算机系统读取。非暂态性计算机可读介质的实施例包括硬盘设备、网络附接的存储装置(NAS)、ROM、RAM、高容量只读ROM(CD-ROM)、CD记录设备(CD-R)、CD可重写设备(CD-RW)、磁带或其它光学和非光学的数据存储设备。非暂态性计算机可读介质可以包括在网络联接的计算机系统上分布的计算机可读有形介质,从而以分布式的方式存储和执行计算机可读代码。
尽管以具体次序对上文的流程图中的方法操作进行了说明,应当理解的是,可以在操作之间执行其它内务处理操作,或者可以调节操作以使它们发生于略微不同的时间,或者这些操作可分布于允许在与处理相关联的各个间隔处发生处理操作的系统中,只要重复占位操作的处理是按照所期望的方式执行即可。
来自任何实施方案的一个或多个特征可与任何其它实施方案的一个或多个特征进行组合,而不偏离在本公开中描述的各个实施方案中所说明的范围。
尽管为了清楚理解的目的而在一些细节上说明了前面的实施方案,显然,可以在所附的权利要求的范围内实施一些变化和改进。因此,本实施方案应被视为示例性的而非限制性的,并且实施方案不限于本文给出的细节,而是可以在随附的权利要求的范围和等同范围内进行改进。

Claims (20)

1.一种系统,包括:
等离子体腔室,其用于收容等离子体,所述等离子体腔室包括电极;
驱动器放大器,其与所述等离子体腔室耦合,用于向所述电极提供射频(RF)信号,所述驱动器放大器经由传输线与所述等离子体腔室耦合;
选择器,其与所述驱动器放大器耦合;
第一自动频率控制器(AFC),其与所述选择器耦合;
第二AFC,其与所述选择器耦合;
其中所述选择器被配置为基于在所述传输线上感测到的电流和电压的值来选择所述第一AFC或所述第二AFC。
2.如权利要求1所述的系统,其中,所述电流和电压的值用于生成一个或多个伽玛值,其中所述选择器被配置为当所述伽玛值中的一个大于第一阈值时选择所述第一AFC,并且被配置为当所述伽玛值中的另一个大于第二阈值时选择所述第二AFC。
3.如权利要求1所述的系统,其中,所述选择器包括多路复用器。
4.一种系统,包括:
主生成器,其与电极耦合,所述主生成器包括用于向所述电极供应主射频(RF)信号的主驱动器放大器,所述主生成器进一步包括主自动频率调谐器(AFT)以便当脉冲信号处于第一状态时向所述主驱动器放大器提供第一主频率输入,所述主AFT被配置为当所述脉冲信号处于第二状态时向所述主驱动器放大器提供第二主频率输入;以及
辅生成器,其与所述电极耦合,所述辅生成器包括用于向所述电极供应辅RF信号的辅驱动器放大器,所述辅生成器进一步包括与所述辅驱动器放大器耦合的第一辅AFT,所述辅生成器包括与所述辅驱动器放大器耦合的第二辅AFT,所述辅生成器包括处理器,所述处理器与所述第一辅AFT和所述第二辅AFT耦合,所述辅生成器进一步包括与所述电极耦合的一个或多个传感器,所述一个或多个传感器用于感测在所述第一状态和所述第二状态期间在所述辅生成器和所述电极之间传递的电流和电压,所述处理器被配置为基于所述电流和电压来生成参数,所述处理器被配置为判定对于所述第一状态的所述参数中的第一个是否超过第一界限以及对于所述第二状态的所述参数中的第二个是否超过第二界限,所述第一辅AFT被配置为在接收到所述第一参数超过所述第一界限的判定时向所述辅驱动器放大器提供第一辅频率输入,所述第二辅AFT被配置为在接收到所述第二参数超过所述第二界限的判定时向所述辅驱动器放大器提供第二辅频率输入。
5.如权利要求4所述的系统,进一步包括与所述处理器耦合以用于选择所述第一辅AFT或所述第二辅AFT的选择器,所述选择器响应于接收到来自所述辅处理器的指示所述第一参数超过所述第一界限的信号而选择所述第一辅AFT,所述选择器响应于接收到来自所述辅处理器的指示所述第二参数超过所述第二界限的信号而选择所述第二辅AFT。
6.如权利要求4所述的系统,其中,所述电极包括等离子体腔室的下方电极。
7.如权利要求4所述的系统,其中,在所述第一状态期间,所述主驱动器放大器被配置为生成具有比所述辅RF信号低的频率的所述主RF信号,其中所述主RF信号具有比所述辅RF信号高的功率量。
8.如权利要求4所述的系统,其中,所述处理器被配置为基于脉冲信号的量级来判定所述脉冲信号是否处于所述第一状态或所述第二状态。
9.如权利要求4所述的系统,其中,所述第一参数和所述第二参数中的每一个均包括伽玛值或阻抗差值。
10.一种系统,包括:
数字脉冲源,其用于生成脉冲信号;
主生成器,其包括:
主驱动器放大器,其与电极耦合以用于向所述电极供应主射频(RF)信号;
一个或多个主处理器,其与所述脉冲源耦合以用于接收所述脉冲信号,
所述一个或多个主处理器被配置为:
识别所述脉冲信号的两种状态中的第一个和所述两种状态中的第二个;
当所述脉冲信号处于所述第一状态时,判定为向所述主驱动器放大器提供主功率值;以及
当所述脉冲信号处于所述第一状态时,判定为提供所述主RF信号的主频率值;以及
辅生成器包括:
辅驱动器放大器,其与所述电极耦合以用于向所述电极供应辅RF信号;
一个或多个辅处理器,其与所述脉冲源耦合以用于接收所述脉冲信号,
所述一个或多个辅处理器被配置为:
当所述脉冲信号处于所述第一状态时,判定与等离子体相关联的参数是否超过第一界限;
当所述脉冲信号处于所述第二状态时,判定所述参数是否超过第二界限;
响应于判定出所述参数超过所述第一界限而判定为向所述辅驱动器放大器提供第一辅功率值;
响应于判定出所述参数超过所述第二界限而判定为向所述辅驱动器放大器提供第二辅功率值;
响应于判定出所述参数超过所述第一界限而判定为向所述辅驱动器放大器提供第一辅频率值;以及
响应于判定出所述参数超过所述第二界限而判定为向所述辅驱动器放大器提供第二辅频率值。
11.如权利要求10所述的系统,进一步包括与所述一个或多个辅处理器耦合以用于选择所述第一辅频率值或所述第二辅频率值的选择器,所述选择器响应于接收到来自所述一个或多个辅处理器的指示所述第一参数超过所述第一界限的信号而选择所述第一辅频率值,所述选择器响应于接收到来自所述一个或多个辅处理器的指示所述第二参数超过所述第二界限的信号而选择所述第二辅频率值。
12.如权利要求10所述的系统,其中,所述参数包括伽玛值或阻抗差值。
13.如权利要求10所述的系统,其中,所述电极包括等离子体腔室的下方电极。
14.如权利要求10所述的系统,其中,在所述第一状态期间,所述主驱动器放大器被配置为生成具有比所述辅RF信号低的频率的所述主RF信号,其中所述主RF信号具有比所述辅RF信号高的功率量。
15.如权利要求10所述的系统,其中,所述主频率值和所述辅频率值中的每一个被调谐。
16.如权利要求10所述的系统,其中,所述一个或多个主处理器基于所述脉冲信号的量级来判定所述脉冲信号是否处于所述第一状态或所述第二状态。
17.一种方法,包括:
接收数字脉冲信号,所述数字脉冲信号具有两种状态;
接收电流和电压值;
由所述电流和电压值来计算与等离子体阻抗相关联的参数;
判定在所述第一状态期间所述参数中的第一个是否超过第一界限;
在判定出所述第一参数超过所述第一界限时,向射频(RF)驱动器放大器提供第一频率值和第一功率值;
判定在所述第二状态期间所述参数中的第二个是否超过第二界限;以及
在判定出所述第二参数超过所述第二界限时,向所述RF驱动器放大器提供第二频率值和第二功率值。
18.如权利要求17所述的方法,其中,所述方法用于处理半导体晶片以制成集成电路。
19.如权利要求17所述的方法,其中,所述参数包括伽玛值或阻抗差值。
20.如权利要求17所述的方法,进一步包括:在提供所述第一频率值和所述第一功率值或者所述第二频率值和所述第二功率值之间进行选择。
CN201310057803.6A 2012-02-22 2013-02-22 功率和频率的基于阻抗的调节 Active CN103295866B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610296729.7A CN105742151B (zh) 2012-02-22 2013-02-22 功率和频率的基于阻抗的调节

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
US201261602041P 2012-02-22 2012-02-22
US201261602040P 2012-02-22 2012-02-22
US61/602,040 2012-02-22
US61/602,041 2012-02-22
US13/531,491 US9114666B2 (en) 2012-02-22 2012-06-22 Methods and apparatus for controlling plasma in a plasma processing system
US13/531,491 2012-06-22
US13/550,719 US9368329B2 (en) 2012-02-22 2012-07-17 Methods and apparatus for synchronizing RF pulses in a plasma processing system
US13/550,719 2012-07-17
US201261701560P 2012-09-14 2012-09-14
US61/701,560 2012-09-14
US13/666,912 2012-11-01
US13/666,912 US9171699B2 (en) 2012-02-22 2012-11-01 Impedance-based adjustment of power and frequency

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201610296729.7A Division CN105742151B (zh) 2012-02-22 2013-02-22 功率和频率的基于阻抗的调节

Publications (2)

Publication Number Publication Date
CN103295866A true CN103295866A (zh) 2013-09-11
CN103295866B CN103295866B (zh) 2016-06-08

Family

ID=48981754

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310057803.6A Active CN103295866B (zh) 2012-02-22 2013-02-22 功率和频率的基于阻抗的调节
CN201610296729.7A Active CN105742151B (zh) 2012-02-22 2013-02-22 功率和频率的基于阻抗的调节

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201610296729.7A Active CN105742151B (zh) 2012-02-22 2013-02-22 功率和频率的基于阻抗的调节

Country Status (6)

Country Link
US (3) US9171699B2 (zh)
JP (1) JP6400272B2 (zh)
KR (1) KR20130096679A (zh)
CN (2) CN103295866B (zh)
SG (1) SG193113A1 (zh)
TW (2) TWI612855B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105389289A (zh) * 2014-08-29 2016-03-09 朗姆研究公司 使用光学数据监测射频发生器操作的系统、方法和设备
CN106937476A (zh) * 2012-09-14 2017-07-07 朗姆研究公司 边沿缓变
CN110326083A (zh) * 2017-02-22 2019-10-11 朗姆研究公司 用于调谐以减小多个状态下的反射功率的系统和方法
CN111433883A (zh) * 2017-10-11 2020-07-17 先进能源工业公司 匹配的源阻抗驱动系统以及对其进行操作的方法
CN114424317A (zh) * 2019-08-13 2022-04-29 Mks仪器有限公司 应用于射频驱动等离子体以提高鞘层形成、演化与脉冲间稳定的方法及装置

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US9171700B2 (en) * 2012-06-15 2015-10-27 COMET Technologies USA, Inc. Plasma pulse tracking system and method
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
JP5704772B1 (ja) 2014-02-04 2015-04-22 株式会社京三製作所 高周波電源装置およびプラズマ着火方法
US9544987B2 (en) * 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
EP3029711B1 (en) 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
EP3091559A1 (en) * 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
DE102015220847A1 (de) * 2015-10-26 2017-04-27 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Impedanzanpassung einer Last an die Ausgangsimpedanz eines Leistungsgenerators und Impedanzanpassungsanordnung
JP6378234B2 (ja) * 2016-03-22 2018-08-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9748076B1 (en) 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
US10009028B2 (en) 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10879044B2 (en) * 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
CN110800376B (zh) 2017-06-27 2022-04-01 佳能安内华股份有限公司 等离子体处理装置
JP6457707B1 (ja) * 2017-06-27 2019-01-23 キヤノンアネルバ株式会社 プラズマ処理装置
TWI745813B (zh) * 2017-06-27 2021-11-11 日商佳能安內華股份有限公司 電漿處理裝置
KR102457976B1 (ko) * 2017-06-27 2022-10-25 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
US10020168B1 (en) * 2017-07-20 2018-07-10 Lam Research Corporation Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
TWI782072B (zh) * 2017-08-17 2022-11-01 日商東京威力科創股份有限公司 工業製造設備中特性的即時感測裝置和方法
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
WO2020112108A1 (en) 2017-11-29 2020-06-04 COMET Technologies USA, Inc. Retuning for impedance matching network control
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US10304660B1 (en) 2018-03-21 2019-05-28 Lam Research Corporation Multi-level pulsing of DC and RF signals
US10224183B1 (en) 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
EP3817517A4 (en) 2018-06-26 2022-03-16 Canon Anelva Corporation PLASMA PROCESSING DEVICE, PLASMA PROCESSING METHOD, PROGRAM, AND MEMORY MEDIA
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US11728137B2 (en) 2018-08-17 2023-08-15 Lam Research Corporation Direct frequency tuning for matchless plasma source in substrate processing systems
CN111293022B (zh) * 2018-12-07 2023-01-24 中微半导体设备(上海)股份有限公司 脉冲射频等离子体的阻抗匹配方法和装置
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US12002611B2 (en) 2019-08-28 2024-06-04 COMET Technologies USA, Inc. High power low frequency coils
US11545341B2 (en) 2019-10-02 2023-01-03 Samsung Electronics Co., Ltd. Plasma etching method and semiconductor device fabrication method including the same
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
TW202226319A (zh) * 2020-08-31 2022-07-01 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
WO2024006675A1 (en) * 2022-06-27 2024-01-04 Lam Research Corporation Systems and methods for calibrating rf generators in a simultaneous manner
WO2024091857A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Systems and methods for fast control of impedance associated with an output of a plasma source

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1270711A (zh) * 1997-09-17 2000-10-18 东京电子株式会社 电抗匹配系统及方法
WO2002075332A1 (en) * 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US20030111180A1 (en) * 1998-11-27 2003-06-19 Kazunori Nagahata Plasma etching apparatus
JP2004335594A (ja) * 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
CN101866807A (zh) * 2004-05-28 2010-10-20 拉姆研究有限公司 具有响应多个rf频率的等离子体处理器

Family Cites Families (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
EP0937192A4 (en) * 1996-11-08 2002-03-20 Internat Technology Corp GROUND WATER RECOVERY SYSTEM
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
JPH10312899A (ja) * 1997-05-15 1998-11-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
EP1018088A4 (en) 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
JP4340348B2 (ja) * 1998-01-22 2009-10-07 株式会社日立国際電気 プラズマ生成装置
US6020794A (en) * 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
US6406590B1 (en) * 1998-09-08 2002-06-18 Sharp Kaubushiki Kaisha Method and apparatus for surface treatment using plasma
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6459067B1 (en) * 2001-04-06 2002-10-01 Eni Technology, Inc. Pulsing intelligent RF modulation controller
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
AU2002354459A1 (en) 2001-12-10 2003-07-09 Tokyo Electron Limited High-frequency power source and its control method, and plasma processor
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
AU2003224727A1 (en) 2002-03-28 2003-10-13 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
TWI259546B (en) 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
AU2002344594B2 (en) * 2002-10-29 2005-06-09 Mitsubishi Heavy Industries, Ltd. Method and device for generating uniform high-frequency plasma over large surface area used for plasma chemical vapor deposition apparatus
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
JP4739793B2 (ja) * 2005-03-31 2011-08-03 株式会社ダイヘン 高周波電源装置
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
JP4593413B2 (ja) * 2005-09-15 2010-12-08 株式会社日立ハイテクノロジーズ プラズマ処理方法及び処理装置
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5426811B2 (ja) * 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
PT2599506T (pt) 2007-11-06 2018-10-22 Creo Medical Ltd Aplicador para sistema de esterilização por plasma de micro-ondas
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
WO2009140371A2 (en) 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
US20100099266A1 (en) 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5141519B2 (ja) * 2008-12-02 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運転方法
US8040068B2 (en) * 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US8368308B2 (en) 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010258605A (ja) * 2009-04-22 2010-11-11 Japan Radio Co Ltd パルスディテクタ
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
KR101888324B1 (ko) 2009-11-19 2018-09-06 램 리써치 코포레이션 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
SG11201503321XA (en) 2012-10-30 2015-05-28 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1270711A (zh) * 1997-09-17 2000-10-18 东京电子株式会社 电抗匹配系统及方法
US20030111180A1 (en) * 1998-11-27 2003-06-19 Kazunori Nagahata Plasma etching apparatus
WO2002075332A1 (en) * 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
JP2004335594A (ja) * 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
CN101866807A (zh) * 2004-05-28 2010-10-20 拉姆研究有限公司 具有响应多个rf频率的等离子体处理器

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106937476A (zh) * 2012-09-14 2017-07-07 朗姆研究公司 边沿缓变
CN106937476B (zh) * 2012-09-14 2019-06-11 朗姆研究公司 边沿缓变
CN105389289A (zh) * 2014-08-29 2016-03-09 朗姆研究公司 使用光学数据监测射频发生器操作的系统、方法和设备
CN105389289B (zh) * 2014-08-29 2020-09-08 朗姆研究公司 使用光学数据监测射频发生器操作的系统、方法和设备
CN110326083A (zh) * 2017-02-22 2019-10-11 朗姆研究公司 用于调谐以减小多个状态下的反射功率的系统和方法
CN110326083B (zh) * 2017-02-22 2023-07-18 朗姆研究公司 用于调谐以减小多个状态下的反射功率的系统和方法
CN111433883A (zh) * 2017-10-11 2020-07-17 先进能源工业公司 匹配的源阻抗驱动系统以及对其进行操作的方法
CN111433883B (zh) * 2017-10-11 2022-12-06 先进能源工业公司 匹配的源阻抗驱动系统以及对其进行操作的方法
CN114424317A (zh) * 2019-08-13 2022-04-29 Mks仪器有限公司 应用于射频驱动等离子体以提高鞘层形成、演化与脉冲间稳定的方法及装置

Also Published As

Publication number Publication date
US20170178864A1 (en) 2017-06-22
US9171699B2 (en) 2015-10-27
US9960015B2 (en) 2018-05-01
US9607810B2 (en) 2017-03-28
JP2013179047A (ja) 2013-09-09
SG193113A1 (en) 2013-09-30
TW201725940A (zh) 2017-07-16
US20130214683A1 (en) 2013-08-22
TWI612855B (zh) 2018-01-21
CN105742151A (zh) 2016-07-06
US20160005573A1 (en) 2016-01-07
JP6400272B2 (ja) 2018-10-03
TW201352076A (zh) 2013-12-16
CN103295866B (zh) 2016-06-08
CN105742151B (zh) 2019-02-22
KR20130096679A (ko) 2013-08-30
TWI593321B (zh) 2017-07-21

Similar Documents

Publication Publication Date Title
CN103295866A (zh) 功率和频率的基于阻抗的调节
CN103298235B (zh) 基于状态的功率和频率调节
US20220277934A1 (en) Adjustment of power and frequency based on three or more states
KR102165741B1 (ko) 세 개 이상의 상태에 기초한 전력 및 주파수의 조절
JP2013179047A5 (zh)
TWI414990B (zh) 偵測頻率與頻率變化的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant