TWI612855B - 利用基於阻抗之電力及頻率調整的電漿處理方法 - Google Patents

利用基於阻抗之電力及頻率調整的電漿處理方法 Download PDF

Info

Publication number
TWI612855B
TWI612855B TW106113387A TW106113387A TWI612855B TW I612855 B TWI612855 B TW I612855B TW 106113387 A TW106113387 A TW 106113387A TW 106113387 A TW106113387 A TW 106113387A TW I612855 B TWI612855 B TW I612855B
Authority
TW
Taiwan
Prior art keywords
power
mhz
value
signal
threshold
Prior art date
Application number
TW106113387A
Other languages
English (en)
Other versions
TW201725940A (zh
Inventor
微寇爾小約翰C
琳戴克布萊佛J
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/531,491 external-priority patent/US9114666B2/en
Priority claimed from US13/550,719 external-priority patent/US9368329B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201725940A publication Critical patent/TW201725940A/zh
Application granted granted Critical
Publication of TWI612855B publication Critical patent/TWI612855B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

描述電力及頻率之基於阻抗調整的系統和方法。一種系統,包含一電漿腔室,用於容納電漿。該電漿腔室包含一電極。該系統包含一驅動放大器,連接至該電漿腔室,用以提供一射頻(RF)訊號至該電極。該驅動放大器經由一傳輸線連接至該電漿腔室。該系統更包含:一選擇器,連接至該驅動放大器;一第一自動頻率控制器(AFC),連接至該選擇器;及一第二AFC,連接至該選擇器。該選擇器建構成用以基於在該傳輸線上所感測的電流和電壓值選擇該第一AFC或該第二AFC。

Description

利用基於阻抗之電力及頻率調整的電漿處理方法
本發明係關於改進對於電漿阻抗變化的響應時間及/或改善穩定化電漿的準確性,且更具體而言,係關於基於阻抗調整電力及頻率之設備、方法、和電腦程式。
在若干電漿處理系統中,將多個射頻(RF)訊號提供至電漿腔室之內的一個以上電極。RF訊號有助於在該電漿腔室之內產生電漿。該電漿係用於多種的操作,例如清潔置於一下電極之上的基板、蝕刻該基板等等。
在產生射頻(RF)訊號的驅動放大器(driver and amplifier)系統與電漿腔室之間,通常設置一阻抗匹配電路。該阻抗匹配電路將負載(例如電漿腔室內的電漿)的阻抗與來源(例如產生RF訊號的驅動放大器系統)的阻抗匹配。然而,在一些狀況中,阻抗匹配無法快到足以響應電漿阻抗的變化。
此外,雖然若干系統快到足以響應該變化,這些系統可能無法達成準確地調整電力及/或頻率,以穩定化電漿。
在此背景下產生本揭露內容中所述的實施例。
此揭露內容的實施例提供電力及頻率之基於狀態調整的設備、方法、及電腦程式。應了解的是,本實施例可以多種方式加以實施,例如製程、設備、系統、裝置、或一電腦可讀媒體上的方法。以下描述一些實施例。
在一個實施例中,一種系統,包含一電漿腔室,用於容納電漿。該電漿腔室包含一電極。該系統包含一驅動放大器(DA)系統,連接至該電漿腔室,用以提供一射頻(RF)訊號至該電極。該DA系統經由一傳輸線連接至該電漿腔室。該系統更包含:一選擇器,連接至該DA系統;一第一自動頻率控制器(AFC),連接至該選擇器;及一第二AFC,連接至該選擇器。該選擇器建構成用以基於在該傳輸線上所感測的電流和電壓值選擇該第一AFC或該第二AFC。
在一實施例中,一個系統包含一個主產生器,連接至一電極。該主產生器包括用於供給一個主射頻(RF)訊號至該電極的一主驅動放大器。該主產生器更包括用以在一脈衝訊號在一第一狀態時將一第一主頻率輸入提供至該主驅動放大器的一個主自動頻率調諧器(AFT)。該主AFT建構成當該脈衝訊號係在一第二狀態時將一第二主頻率輸入提供至該主驅動放大器。該系統更包含一副產生器,連接至該電極。
在此實施例中,該副產生器包含用於供給一個副RF訊號至該電極的一個副驅動放大器。該副產生器更包括連接至該副驅動放大器的一第一副AFT。該副產生器包含連接至該副驅動放大器的一第二副AFT。該副產生器亦包含一處理器,該處理器連接至該第一副AFT和該第二副AFT。該副產生器更包含連接至該電極的一個感測器。該感測器係用於在該第一和第二狀態期間感測傳輸於該副產生器和該電極之間的電流和電壓。該處理器建構成基於該電流和電壓產生參數,且建構成判定對於該第一狀態的該等參數的一第一者是否超過一第一邊界,以及判定對於該第二狀態的該等參數的一第二者是否超過一第二邊界。該第一副AFT建構成在接收到判定該第一參數超過該第一邊界時將一第一副頻率輸入提供至該副驅動放大器,且該第二副AFT建構成在接收到判定該第二參數超過該第二邊界時將一第二副頻率輸入提供至該副驅動放大器。
在一個實施例中,描述一個系統,包含一數位脈衝源,用於產生一脈衝訊號。該系統包含一個主產生器。該主產生器包含一個主驅動放大器,連接至一電極,以將一個主射頻(RF)訊號供給至該電極。該主產生器亦包含一個以上主處理器,連接至該脈衝源,以接收該脈衝訊號。該一個以上主處理器建構成:辨識該脈衝訊號的二個狀態的一第一者及該二個狀態的一第二者;當該脈衝訊號係在該第一狀態時,判定將一主功率值提供至該主驅動放大器;及當該脈衝訊號係在該第一狀態時,判定提供該主RF訊號的一主頻率值。
在此實施例中,該系統更包含一個副產生器,其包含一個副驅動放大器,連接至該電極,以將一個副RF訊號供應至該電極。該副產生器更包含一個以上副處理器,連接至該脈衝源,以接收該脈衝訊號。該一個以上副處理器建構成:當該脈衝訊號係在該第一狀態時,判定與電漿相關聯的一參數是否超過一第一邊界;當該脈衝訊號係在該第二狀態時,判定該參數是否超過一第二邊界;及對判定該參數超過該第一邊界響應,判定將一第一副功率值提供至該副驅動放大器。該一個以上處理器更建構成:對判定該參數超過該第一邊界響應,判定將一第一副頻率值提供至該副驅動放大器;及對判定該參數超過該第二邊界響應,判定將一第二副頻率值提供至該副驅動放大器。
在一個實施例中,一種方法包含接收一數位脈衝訊號,該數位脈衝訊號具有二個狀態。該方法包含:接收電流和電壓值;由該電流和電壓電力值,計算與電漿阻抗相關聯的參數;及判定在第一狀態期間該等參數的一第一者是否超過一第一邊界。該方法亦包含:在判定該第一參數超過該第一邊界時,將一第一頻率值和一第一功率值提供至一射頻(RF)驅動放大器;判定在第二狀態期間該等參數的一第二者是否超過一第二邊界;及在判定該第二參數超過該第二邊界時,將一第二頻率值和一第二功率值提供至該射頻(RF)驅動放大器。
上述實施例的若干優點,包含提供一精確的功率及/或頻率值以穩定電漿,舉例來說,將例如RF驅動放大器之來源阻抗與例如電漿之負載阻抗之間的差異。當基於電漿阻抗的變化產生功率及/或頻率值之時,該頻率及/或功率值係準確的。舉例來說,量測和利用前向功率及反射功率以產生一Γ值。判定該Γ值是否超過一閾值,且若如此,將功率及/或頻率值改變以穩定電漿。
實施例的其他優點包含降低達到電漿穩定的時間量。利用一訓練程序判定頻率及/或功率值,以施加至一驅動放大器系統。該功率及/或頻率值對應一Γ值,其亦在訓練程序期間加以決定。該訓練程序節省生產期間的時間,例如用於清潔基板的時間、用於蝕刻基板的時間、用於將材料沉積於基板上的時間等等。舉例來說,在生產期間,當判定該Γ值超過一閾值之時,將該功率及/或頻率值施加至該驅動放大器,而不需要調整該功率及/或頻率值。
藉由以下詳細說明以及隨附圖式,其他實施態樣將更為明白。
以下實施例描述用於基於阻抗調整電力及頻率的系統和方法。將顯而易見的是,本實施例可在沒有若干或全部這些特定細節的狀況下實施。另一方面,眾所周知的製程操作不再詳細描述,以避免不必要地混淆本實施例。
圖1係系統180實施例的方塊圖,系統180係用於基於電漿阻抗改變狀態。一個2百萬赫茲(MHz)射頻(RF)驅動放大器(DA)系統將RF功率經由阻抗匹配電路182供應至電漿腔室102的下電極104。類似地,一個60 MHz DA系統將RF功率經由阻抗匹配電路186供應至該下電極104。應注意的是,在一實施例中,使用27 MHz來源而非60 MHz來源,提供RF功率至下電極104。此外,應注意的是,數值2 MHz、27 MHz、及60 MHz係提供作為範例,且係非限定性的。舉例來說,可使用2.5 MHz DA系統替代2 MHz DA系統,並且可使用65 MHz DA系統替代60 MHz來源。在另一實施例中,除了2 MHz來源和60 MHz來源之外,使用27 MHz來源提供RF功率至下電極104。
一阻抗匹配電路包含電路元件,例如電感器、電容器等等,以將耦合至阻抗匹配電路的電源阻抗與耦合至阻抗匹配電路的負載阻抗匹配。舉例來說,阻抗匹配電路182將2 MHz DA系統的阻抗與電漿腔室102之內所產生電漿的阻抗匹配。作為另一範例,阻抗匹配電路186將60 MHz DA系統的阻抗與電漿腔室102之內所產生電漿的阻抗匹配。作為又另一範例,阻抗匹配電路182將2 MHz DA系統的阻抗與電漿腔室102一部分(例如電漿和下電極104)的阻抗匹配。在一個實施例中,將阻抗匹配電路調整,以促進耦合至阻抗匹配電路的RF DA系統的阻抗與一負載的阻抗之間的匹配。電源和負載之間的阻抗匹配,降低功率自負載朝向電源反射的機率。
電漿腔室102包含下電極104、上電極110、及其他構件(未顯示),例如圍繞上電極110的上介電環、圍繞上介電環的下電極延伸部、圍繞下電極的下介電環、圍繞下介電環的下電極延伸部、上電漿排除區域(PEZ, plasma exclusion zone)環、下PEZ環等等。上電極110係配置成與下電極104為相反側且面向下電極104。例如半導體晶圓的基板108係由下電極104的上表面106所支撐。例如特定用途積體電路(ASIC)、可程式邏輯元件(PLD)等等之積體電路在基板108之上產生,且該積體電路係使用於各種裝置,例如手機、平板電腦、智慧型手機、電腦、膝上型電腦、網路設備等等。下電極104係由金屬所構成,例如陽極化鋁(anodized aluminum)、鋁合金等等。此外,上電極110係由金屬所構成,例如鋁、鋁合金等等。
在一個實施例中,上電極110包含連接至中央氣體饋入部(未顯示)的一孔。中央氣體饋入部自氣體供應器(未顯示)接收一種以上製程氣體。製程氣體的例子包含含氧氣體,例如O2 。製程氣體的其他範例包含含氟氣體,例如四氟甲烷(CF4 )、六氟化硫(SF6 )、六氟乙烷(C2 F6 )等等。上電極110係接地。下電極104係經由阻抗匹配電路182連接至2 MHz RF DA系統,且經由阻抗匹配電路186連接至60 MHz RF DA系統。
當將製程氣體供應至上電極110及下電極104之間,且當例如2 MHz DA系統及/或60 MHz DA系統之DA系統經由一對應的阻抗匹配電路供應功率至下電極104,製程氣體被點燃而在電漿腔室102之內產生電漿。舉例來說,2 MHz DA系統經由阻抗匹配電路182供應功率,以點燃製程氣體而產生電漿。
在一電腦(未顯示)上的工具使用者介面(UI)190係用以產生一電晶體-電晶體邏輯(TTL)訊號112,其係一數位脈衝訊號。在一個實施例中,電腦包含一TTL電路。當使用於此處,替代電腦,可使用處理器、控制器、ASIC、或PLD,且這些術語於此處係可互換使用的。TTL訊號112包含狀態S1及S0。TTL訊號112具有50%的工作週期(duty cycle)。在一個實施例中,TTL訊號112具有5%至95%之範圍的工作週期。狀態S1的範例包含一開啟狀態、具有值1的狀態、或高狀態。狀態S0的範例包含一關閉狀態、具有值0的狀態、或低狀態。高值係大於低值。
在另一實施例中,替代電腦,使用例如晶體振盪器的時脈振盪器以產生類比時脈訊號,其係藉由一類比至數位轉換器轉換為類似TTL訊號112的數位訊號。舉例來說,晶體振盪器係藉由施加一電壓至接近晶體振盪器或在晶體振盪器上的電極而在一電場中振盪。
TTL訊號112係傳送至數位訊號處理器(DSP)140及另一DSP 150。DSP 140接收TTL訊號112且辨識TTL訊號112的狀態S0及S1。舉例來說,DSP 140分辨狀態S0和S1。作為另一範例,DSP 140判定TTL訊號112在第一組時間週期中具有第一量值,且在一第二組時間週期中具有一第二量值。DSP 140判定TTL訊號112在第一組時間週期中具有狀態S1,且在第二組時間週期中具有狀態S0。作為又另一範例,DSP 140將TTL訊號112的量值與一預儲存數值比較,以判定TTL訊112的量值在第一組時間週期中大於該預儲存數值以及在TTL訊號112的狀態S0期間的該量值在第二組時間週期期間不大於該預儲存數值。在使用時脈振盪器的實施例中,DSP 140自時脈振盪器接收一類比時脈訊號、將該類比訊號轉換為數位型式、且接著辨識二個狀態S0及S1。
DSP 140將經辨識的狀態S0及S1儲存於DSP之內的一個以上記憶體裝置的記憶體位置之中。記憶體裝置的範例包含隨機存取記憶體(RAM)及唯讀記憶體(ROM)。記憶體裝置可為快取記憶體、硬碟、儲存裝置、電腦可讀媒體等等。
DSP 140將來自對應記憶體位置的經辨識的狀態S1,提供至一自動頻率調諧器(AFT)114以及一電源控制器142。舉例來說,DSP 140指示AFT 114及電源控制器142 TTL訊號112在工作週期的時間t1及t2之間係在狀態S1。術語調諧器和控制器此處係可互換地使用。AFT的範例係提供於美國專利第6,020,794號,其藉由參照全部包含於此處作為揭示內容的一部分。
在一實施例中,取代一控制器或一調諧器,使用由一處理器所執行的一控制邏輯塊,例如一電腦程式。舉例來說,一產生器的AFT每一者係由該產生器的一處理器所執行的一邏輯塊。作為另一範例,一產生器的電源控制器每一者係由該產生器的一處理器所執行的一邏輯塊。一電腦程式係在一非暫時性電腦可讀媒體中具體化,以下提供其範例。
AFT 114基於TTL訊號112的狀態判定一頻率值,且電源控制器142基於TTL訊號112的狀態判定一功率值。舉例來說,當TTL訊號112的狀態係S1時AFT 114判定提供頻率值F11至2 MHz DA系統,並且當TTL訊號112的狀態係S1時電源控制器142判定提供功率值P11至2 MHz DA系統。
當TTL訊號112的狀態係S1時,電源控制器142提供功率值P11至2 MHz DA系統。在TTL訊號112的狀態S1期間,AFT 114提供頻率值F11至2 MHz DA系統。
在狀態S1期間該2 MHz DA系統接收頻率值F11和功率值P11。在接收值F11和P11之後,2 MHz DA系統隨即產生具有頻率F11的RF訊號,且該RF訊號具有功率值P11。
在一個實施例中,RF DA系統包含一驅動器,其之後有一放大器。該放大器提供經由一傳輸線而至電漿腔室102之前向功率。舉例來說,2 MHz DA系統的放大器提供經由傳輸線230及阻抗匹配電路182而至電漿腔室102的前向功率,該前向功率具有與功率值P11成正比(例如相同、倍數等等)的功率值,且具有頻率值F11。
當TTL訊號112自狀態S0轉變至狀態S1,且當2 MHz DA系統將具有與功率值P11成正比的功率值且具有頻率值F11的前向功率供應至電漿腔室102之時,電漿腔室102之內的阻抗改變。當電漿腔室102之內的阻抗由於TTL訊號112自狀態S0轉變至狀態S1而改變,60 MHz產生器276的感測器212量測在傳輸線232之上的前向功率和反射功率,該反射功率係自電漿腔室102的電漿反射的RF功率。感測器212將前向及反射功率的量測提供到類比至數位(ADC)轉換器222,其將量測由類比格式轉換為數位格式。前向和反射功率的數位值係提供至DSP 150。在一實施例中,一DSP包含一ADC。應更注意到的是,在一實施例中,DSP 150沒有接收TTL訊號112。而是,在此實施例中,DSP 150接收可能未與TTL訊號112同步的另一脈衝訊號。在一個實施例中,由DSP 150所接收的其他數位脈衝訊號係與TTL訊號112同步。
在TTL訊號112的狀態S0期間,例如直接在TTL訊號由S0至S1的狀態轉變之後,DSP 150計算在狀態S1期間的一關係,例如數位反射功率訊號和數位前向功率訊號之比例的平方根、電壓駐波比(VSWR)等等,以產生第一Γ值。Γ值1表示來源和負載的阻抗之間高程度的不匹配,且Γ值0表示來源和負載的阻抗之間低程度的不匹配。若Γ值為零,對電漿腔室102的功率傳輸係被視為高度有效率。若Γ值係1,功率傳輸係被視為高度無效率的。VSWR係計算為等於RC-1和RC+1的比例,其中RC係反射係數。
DSP 150判定第一Γ值是否大於第一閾值。當DSP 150判定第一Γ值大於第一閾值,DSP 150將此判定指示AFT 118及電源控制器152。AFT 118判定對應該第一Γ值的頻率值F21,且提供該頻率值F21到60 MHz DA系統。此外,電源控制器152判定對應該第一Γ值的功率值P21,且提供對應該第一Γ值的該功率值P21到60 MHz DA系統。舉例來說,AFT 118在一記憶體裝置之內儲存一表,其將第一Γ值與頻率值F21對映,並且電源控制器152在一記憶體裝置之內儲存功率值P21和第一Γ值的對映。
在一個實施例中,AFT 118對應第一閾值判定頻率值F21及功率值P21每一者。舉例來說,AFT 118在一記憶體裝置之內儲存一表,其將第一閾值與頻率值F21對映,並且電源控制器152在一記憶體裝置之內儲存功率值P21和第一閾值的對映。
在TTL訊號112的狀態S1期間,60 MHz DA系統接收頻率值F21和功率值P21。在接收值F21及P21時,60 MHz DA系統隨即產生具有頻率F21的RF訊號且該RF訊號具有功率值P21。舉例來說,60 MHz DA系統的放大器供應前向功率經由傳輸線232和阻抗匹配電路186而至電漿腔室102,該前向功率具有與功率值P21成正比(例如相同、倍數等等)的功率值且具有頻率值F21。
當TTL訊號112的狀態由S1改變至S0,不提供功率值和頻率值到2 MHz DA系統。在狀態S0期間,沒有頻率值提供至2 MHz DA系統。在狀態S0期間2 MHz DA系統沒有收到任何頻率和功率值,例如收到頻率值0和功率值0。在未收到功率和頻率值時,2 MHz DA系統產生頻率零的RF功率及具有功率值零的RF功率。2 MHz DA系統的放大器不會供應前向功率經由傳輸線230和阻抗匹配電路182到電漿腔室102,例如供應具有零功率值和具有零頻率值的前向功率。
此外,當TTL訊號112的狀態由狀態S1變化至狀態S0時,在電漿腔室102之內的電漿的阻抗改變。再次,在TTL訊號112的狀態S0期間,例如直接在TTL訊號112由狀態S1轉變至狀態S0之後,感測器212判定在傳輸線232之上的前向和反射功率,且提供所測得的前向和反射功率至ADC 222。ADC 222將所測得的前向和反射功率由類比格式轉換為數位格式。DSP 150自ADC 222接收該數位前向和反射功率,且由該前向和反射功率計算一第二Γ值。
DSP 150比較第二Γ值和一第二閾值,且判定第二Γ值是否大於第二閾值。當DSP 150判定第二Γ值大於第二閾值,DSP 150將此判定指示AFT 118及電源控制器152。AFT 118判定對應該第二Γ值的頻率值F20,且提供該頻率值F20到60 MHz DA系統。此外,電源控制器152判定對應該第二Γ值的功率值P20,且提供對應該第二Γ值的該功率值P20到60 MHz DA系統。舉例來說,AFT 118在一記憶體裝置之內儲存一表,其將第二Γ值與頻率值F20對映,並且電源控制器152在一記憶體裝置之內儲存功率值P20和第二Γ值的對映。
在一個實施例中,AFT 118對應第二閾值判定頻率值F20及功率值P20每一者。舉例來說,AFT 118在一記憶體裝置之內儲存一表,其將第二閾值與頻率值F20對映,並且電源控制器152在一記憶體裝置之內儲存功率值P20和第二閾值的對映。
在TTL訊號112的狀態S0期間,60 MHz DA系統接收頻率值F20和功率值P20。在接收值F20及P20時,60 MHz DA系統隨即產生具有頻率F20的RF訊號且該RF訊號具有功率值P20。舉例來說,60 MHz DA系統的放大器供應前向功率經由傳輸線232和阻抗匹配電路186而至電漿腔室102,該前向功率具有與功率值P20成正比(例如相同、倍數等等)的功率值且具有頻率值F20。
利用量測前向和反射功率來改變由60 MHz DA系統所提供的RF功率造就電漿穩定性。此外,該電漿穩定性係基於前向和反射功率的即時量測。此即時量測提供將電漿穩定化的準確性。
在一個實施例中,在狀態S1和S0一者或二者期間,2 MHz產生器274的感測器210感測在傳輸線230之上反射自電漿腔室102的電漿的RF功率。此外,在狀態S1和S0一者或二者期間,當前向功率自2 MHz RF DA系統經由傳輸線230傳送至電漿腔室102之時,感測器210感測在傳輸線230之上的前向功率。類似地,在狀態S1和S0一者或二者期間,感測器212感測自電漿腔室102的電漿所反射的功率。由感測器212所感測的反射功率係在傳輸線232之上自電漿腔室102的電漿所反射。此外,在TTL訊號112的狀態S1和S0一者或二者期間,當前向功率係自60 MHz RF DA系統經由傳輸線232傳送至電漿腔室102之時,感測器212感測在傳輸線232之上的前向功率。
在此實施例中,類比至數位轉換器(ADC)220將由感測器210所感測之測得的反射功率和前向功率自類比型式轉換為數位形式,並且ADC 222將感測器212所感測之測得的反射功率和前向功率自類比型式轉換為數位形式。在狀態S1和S0其中一者或二者期間,DSP 140接收由感測器210所感測的反射功率訊號和前向功率訊號的數位值,並且DSP 150接收由感測器212所感測的反射功率訊號和前向功率訊號的數位值。
此外,在此實施例中,由在狀態S1期間在傳輸線230之上的前向和反射功率的數位值所產生的一Γ值,係由DSP 140傳送至AFT 114,並且由在狀態S1期間在傳輸線232之上的前向和反射功率的數位值所產生的一Γ值,係自DSP 150傳送至AFT 118。在狀態S1期間,AFT 114基於接收自DSP 140的Γ值判定一頻率值,並且AFT 118基於接收自DSP 150的Γ值判定一頻率值。在狀態S1期間,根據基於該Γ值所產生的頻率值,AFT 114調整頻率值F11,且提供經調整的頻率值到2 MHz DA系統。此外,在狀態S1期間,根據基於該Γ值所產生的頻率值,AFT 118調整頻率值F21,且提供經調整的頻率值到60 MHz DA系統。
此外,在相同的實施例中,在狀態S1期間,基於接收自DSP 140的Γ值,電源控制器142判定一功率值,且基於接收自DSP 150的Γ值,電源控制器152判定一功率值。在狀態S1期間,根據基於該Γ值所產生的功率值,電源控制器142調整功率值P11,且提供經調整的功率值到2 MHz DA系統。此外,在狀態S1期間,根據基於該Γ值所產生的功率值,電源控制器152調整功率值P21,且提供經調整的功率值到60 MHz DA系統。
此外,在此實施例中,在狀態S1期間,2 MHz DA系統產生具有接收自AFT 114之經調整的頻率值且具有接收自電源控制器142之經調整功率值的一功率訊號,且將該功率訊號經由阻抗匹配電路182供應至電漿腔室102。類似地,在狀態S1期間,60 MHz DA系統產生具有接收自AFT 118之經調整頻率值且具有接收自電源控制器152之經調整功率值的一功率訊號,且將該功率訊號經由阻抗匹配電路186供應至電漿腔室102。
此外,在相同的實施例中,在狀態S0期間,沒有提供功率和頻率值到2 MHz DA系統,且沒有使用在狀態S0期間所產生的Γ值來調整2 MHz DA系統的零頻率和功率值。由在狀態S0期間在傳輸線232之上的前向和反射功率之數位值所產生的Γ值,係自DSP 150傳送至AFT 120。基於接收自DSP 150的Γ值,AFT 120判定一頻率值。在狀態S0期間,基於自該Γ值所產生的頻率值,AFT 120調整頻率值F20,且將經調整的頻率值提供至60 MHz DA系統。此外,在狀態S0期間,基於接收自DSP 150的Γ值,電源控制器154判定一功率值。在狀態S0期間,根據基於Γ值所產生的功率值,電源控制器154調整功率值P20,且將經調整的功率值提供至60 MHz DA系統。在狀態S0期間,60 MHz DA系統產生具有接收自AFT 120的經調整頻率值且具有接收自電源控制器154的經調整的功率值的一個功率訊號,且將該功率訊號經由阻抗匹配電路186供應至電漿腔室102。
應注意到的是,在此實施例中,由調整一數值所產生的經調整數值和該數值之間的差異,係小於藉由第一或第二閾值所產生的另一功率或頻率值之間的差異。舉例來說,由功率值P21所產生的經調整功率值和該功率值P21之間的差異,係小於功率值P21和P20的差異。作為另一範例,由頻率值F20所產生之經調整頻率值和該頻率值F20之間的差異,係小於頻率值F21和F20之間的差異。
電源控制器142、AFT 114、及DSP 140係產生器控制器270的部件。產生器控制器270、ADC 220、感測器210、及2 MHz DA系統係2 MHz產生器274的部件。類似地,電源控制器152、電源控制器154、AFT 118和120、及DSP 150係產生器控制器272的部件。產生器控制器272、ADC 222、感測器212、及60 MHz DA系統係60 MHz產生器276的部件。
在一個實施例中,系統180不包括阻抗匹配電路182及/或186。在一個實施例中,使用一單一控制器替代電源控制器142及AFT 114,使用一單一控制器替代電源控制器152及AFT 118,且使用一單一控制器替代電源控制器154及AFT 120。
在一實施例中除了使用2及60 MHz DA系統外亦使用27 MHz DA系統,27 MHz產生器係類似於60 MHz產生器276,除了27 MHz產生器包含27 MHz DA系統而非60 MHz DA系統。該27 MHz產生器係經由一阻抗匹配電路(未顯示)及傳輸線(未顯示)而連接至電漿腔室102的下電極104。此外,27 MHz DA系統係連接至不同於工具UI 190的數位脈衝訊號源,且該數位脈衝訊號源所產生的數位脈衝訊號可能不與TTL訊號112同步。一數位脈衝訊號源的例子包含包括產生TTL訊號之TTL電路的一電腦或一時脈振盪器。在一個實施例中,由該數位脈衝訊號源所產生的該數位脈衝訊號係與TTL訊號112同步。27 MHz產生器包含二個電源控制器、二個AFT、一個DSP、一個ADC、一個感測器、及27 MHz DA系統。
在一個實施例中,第一閾值和第二閾值係在例如一學習程序的一訓練程序(training routine)期間加以產生。在訓練程序期間,當2 MHz DA系統將其RF功率訊號自一低功率值改變至一高功率值,在電漿腔室102內的一個以上部分(例如電漿等等)及60 MHz DA系統之間存在阻抗不匹配。該高功率值係高於該低功率值。當供應至2 MHz RF DA系統的TTL訊號112的狀態或一時脈訊號的狀態自S0改變至S1,該2 MHz DA系統改變其RF功率訊號自該低功率值至該高功率值。在這個實例中,當2 MHz DA系統開始於高功率值供應功率時,60 MHz DA系統調整其頻率及功率。為了降低阻抗不匹配,60 MHz DA系統開始調整(例如收斂)至一功率值和一頻率值。該收斂可藉由DSP 150基於標準差或另外技術而加以判定。為了給予60 MHz DA系統更多時間來收斂至該功率值及頻率值,2 MHz DA系統係以與通常時間週期相較延長的時間週期維持於該高功率值。該通常時間週期係其中未降低(例如消除)阻抗不匹配的時間量。通常時間週期的範例係等於TTL訊號112的半個循環。當60 MHz DA系統收斂至該功率值及頻率值,該收斂的功率值係在電源控制器152之內儲存為功率值P21,且該收斂的頻率值係在AFT 118內儲存為頻率值F21。第一閾值係在訓練程序期間產生自功率值P21,且第一Γ值對應頻率值F21。舉例來說,感測器212在訓練程序期間量測前向功率值和反射功率值。當60 MHz訊號的頻率係F21之時,感測器212在訓練程序期間量測該前向和反射功率值。ADC 222將所測得的前向和反射值自一類比格式轉換為一數位格式。DSP 150自ADC 222接收數位前向功率值P21和數位反射功率值,且自該功率值P21和在訓練程序期間所測得的該數位反射功率值產生該第一閾值。
類似地,在訓練程序期間,當2 MHz DA系統將其RF功率訊號由高功率值改變到低功率值之時,產生功率值P20和頻率值F20。功率值P20係儲存於電源控制器154之中,且頻率值F20係儲存於AFT 120之中。此外,功率值P20係用於以類似於自功率值P21產生第一閾值的方式在訓練程序期間產生第二閾值。該第二閾值對應頻率值F20。舉例來說,當60 MHz訊號的功率值係判定為P20,60 MHz訊號的頻率值係F20。
在一實施例中,替代DSP 150,AFT 118及電源控制器152判定第一Γ值是否大於第一閾值。在此實施例中,DSP 150將第一Γ值提供至AFT 118和電源控制器152。當AFT 118判定第一Γ值大於第一閾值之時,AFT 118判定對應第一Γ值的頻率值F21,且提供該頻率值F21至60 MHz DA系統。此外,當電源控制器152判定第一Γ值大於第一閾值之時,電源控制器152判定對應第一Γ值的功率值P21,且提供該功率值P21至60 MHz DA系統。
此外,在此實施例中,替代DSP 150,AFT 120及電源控制器154判定第二Γ值是否大於第二閾值。在此實施例中,DSP 150提供第二Γ值到AFT 120及電源控制器154。當AFT 120判定第二Γ值大於第二閾值之時,AFT 120判定對應第二Γ值的頻率值F20,且提供該頻率值F20至60 MHz DA系統。此外,當電源控制器154判定第二Γ值大於第二閾值之時,電源控制器154判定對應第二Γ值的功率值P20,且提供該功率值P20至60 MHz DA系統。
在一個實施例中,替代感測前向和反射功率的感測器212,感測複合(complex)電壓和電流,且Γ值係產生自所感測的電壓及電流值。舉例來說,一個以上感測器,例如電壓感測器、電流感測器等等,感測在傳輸線232之上的電流和電壓,且將經感測的電流和電壓值作為複合值提供至DSP 150。DSP 150由所感測的電流和電壓值計算前向和反射功率,且自該前向和反射功率產生Γ值。
在一個實施例中,替代感測前向和反射功率的感測器212,在TTL訊號112的狀態S1期間,一第一比較器比較在傳輸線232之上被反射的電壓或電流,以判定該電壓或電流是否大於一第一預定值。在TTL訊號112的狀態S1期間,當該電壓或電流係大於該第一預定值之時,該第一比較器提供一第一訊號至DSP 150,並且當該電壓或電流不大於該第一預定值時,該比較器提供一第二訊號至DSP 150。對接收該第一訊號響應,DSP 150辨識該電壓或電流大於該第一預定值,而對接收該第二訊號響應,DSP 150辨識該電壓或電流未超過該第一預定值。當DSP 150辨識該電壓或電流超過該第一預定值時,DSP 150判定對應該第一預定值的頻率值F21,且提供該頻率值F21至AFT 118。此外,在接收到電壓或電流超過該第一預定值的指示時,DSP 150判定對應該第一預定值的功率值P21,且提供該功率值P21至電源控制器152。該比較器係連接至DSP 150。
在此實施例中,在TTL訊號112的狀態S0期間,該比較器比較在傳輸線232之上被反射的電壓或電流,以判定該電壓或電流是否大於一第二預定值。當該電壓或電流係大於該第二預定值之時,該比較器提供第一訊號至DSP 150,並且當該電壓或電流不大於該第二預定值時,該比較器提供第二訊號至DSP 150。對在TTL訊號112的狀態S0期間接收該第一訊號響應,DSP 150辨識該電壓或電流大於該第二預定值,而對在TTL訊號112的狀態S0期間接收該第二訊號響應,DSP 150辨識該電壓或電流未超過該第二預定值。當DSP 150判定該電壓或電流超過該第二預定值時,DSP 150判定對應該第二預定值的頻率值F20,且提供該頻率值F20至AFT 120。此外,在接收到電壓或電流超過該第二預定值的指示時,DSP 150判定對應該第二預定值的功率值P20,且提供該功率值P20至電源控制器154。在一實施例中,一比較器包含類比電路,例如一個以上操作放大器。
圖2係一實施例,其中表250顯示基於一Γ值是否大於第一閾值或第二閾值之在狀態上的改變。如表250所顯示,TTL訊號112係用以提供例如時脈訊號之數位脈衝訊號至DSP 140(圖1)。
當TTL訊號112係在狀態S1時,2 MHz訊號具有高功率準位。在TTL訊號112的狀態S1期間,判定一Γ值是否超過第一閾值。對判定Γ值超過第一閾值響應,60 MHz訊號的功率值係由功率值P21改變至功率值P20,且60 MHz訊號的頻率值係由頻率值F20改變至頻率值F21,俾以達成狀態S1。
此外,當TTL訊號112係在狀態S0時,2 MHz訊號具有低功率準位。在TTL訊號112的狀態S0期間,判定一Γ值是否超過第二閾值。對判定Γ值超過第二閾值響應,60 MHz訊號的功率值係由功率值P20改變至功率值P21,且60 MHz訊號的頻率值係由頻率值F21改變至頻率值F20,俾以達成狀態S0。
圖3顯示一實施例圖表111,其係二個RF訊號之前向功率對於時間的圖示,該二個RF訊號係在訓練程序期間之2 MHz訊號及60 MHz訊號。在一個實施例中,執行訓練程序一次以判定調整的RF值,例如功率值P20和P21、頻率值F20和F21、閾值等等,或在一時間週期期間執行一次以響應例如電漿阻抗。在此範例中,2 MHz訊號係獨立脈衝(IP)RF訊號,且60 MHz訊號代表一從屬RF訊號,該從屬RF訊號在2 MHz RF訊號脈衝時調整RF頻率以最佳化功率傳輸。雖然參照圖3僅探討一個從屬RF產生器(例如60 MHz),應理解的是可有多個從屬RF產生器,其每一者可接受類似的訓練程序,以在IP RF訊號脈衝時,確定自身的最佳調整RF頻率及閾值。
當參照一實施例之方法113的流程圖研讀,可較佳理解圖3,方法113係參照圖4描述。方法113係一訓練程序的範例。
在點115處,IP RF產生器(例如2 MHz產生器)的一IP RF訊號119脈衝到高而至一高功率設定點。在圖3的範例中,2 MHz IP RF產生器的高功率設定點係6千瓦(kW)。這亦顯示於圖4的操作117。
此外,將從屬RF產生器(例如60 MHz產生器)設定至其頻率自我調整模式,以使該從屬RF產生器能夠在IP RF訊號119脈衝到高之時收斂至功率傳輸之最佳RF頻率值。這亦顯示於圖4的操作117。更詳細地來說,獨立或從屬RF產生器監控與電漿腔室102相關聯的許多變數,且調整其自身的變數以使對電漿腔室102的功率傳輸最大化。該獨立或從屬RF產生器接著調整其RF訊號頻率以最小化Γ值,藉此最大化功率傳輸效率。
在點115和121之間的週期期間,2 MHz的IP RF訊號係脈衝到高。IP RF訊號的此高脈衝持續時間在訓練時間期間係大幅延長,例如相對於用於處理基板108的生產時間期間所使用的IP RF訊號高脈衝持續時間由十分之一秒上升至數秒。基板108可加以處理,以蝕刻基板108、在基板108之上沉積一或多層、清潔基板108等等。此人為延長的高脈衝持續時間提供從屬RF產生器足夠時間來最佳地調整其頻率,俾以對於當IP RF訊號脈衝到高之時存在的電漿阻抗條件將功率傳輸效率最大化。
當2 MHz RF訊號脈衝到高時,從屬RF產生器針對Γ值0.04調整至頻率值61.3 MHz。從屬RF產生器的此最佳調整RF頻率61.3 MHz(例如IDPC_Freq1),接著如操作123所述被記錄於AFT 118(圖1)之內,且如圖4的操作125所述被設定為IDPC_Freq1。IDPC_Freq1係頻率值F21的例子。於頻率IDPC_Freq1之由感測器212所感測的前向功率(例如6 kW等等)係功率值P21的例子。此61.3 MHz數值代表當2 MHz IP RF訊號脈衝到高之時60 MHz從屬RF訊號的最佳RF頻率。Γ值0.04證實在從屬RF產生器的這個經最佳調整RF頻率,功率傳輸係有效率的。
從屬RF產生器接著操作於固定頻率模式,藉此使其RF頻率不能夠調整。替代地,使從屬RF產生器操作於前述的61.3 MHz最接調整RF頻率,且2 MHz IP RF訊號從其高功率設定點變換至其低功率設定點(自121到127)。這可見於圖4的操作131。雖然2 MHz RF訊號的低功率設定點在圖2的例子中係零,在一個實施例中,低功率設定點可為低於6 kW的高功率設定點6 kW之任何功率準位設定。
在IP RF訊號脈衝到低之後(點127之後),對於從屬RF產生器的功率傳輸,先前的最佳調整RF頻率61.3 MHz不再是有效率的RF頻率。這是因為當2 MHz IP RF訊號脈衝到低以傳輸較低量的RF功率至電漿腔室102之內的電漿之時,電漿阻抗已經改變。此無效率反映在由從屬RF產生器的感測器212所偵測的Γ值0.8。Γ值0.8在圖4的操作133之中加以記錄,且可在圖4的操作135之中設定為IDPC_Gamma1閾值。IDPC_Gamma1閾值係第二閾值的例子。IDPC_Gamma1閾值係儲存於DSP 150的記憶體裝置、AFT 120的記憶體裝置、及/或電源控制器154的記憶體裝置(圖1)之內。
在生產時間期間,當IP RF訊號脈衝到高且60 MHz RF訊號係於61.3 MHz並且之後遇到IDPC_Gamma1閾值之時,從屬RF產生器判定2 MHz IP RF訊號已剛從高變換至低。
在一個以上實施例中,IDPC_Gamma1閾值可藉由一閾值1調整數值而對敏感度加以調整。舉例來說,可能期望在操作135之中將IDPC_Gamma1閾值設定於0.7而非0.8,稍微低於由於2 MHz IP RF訊號的高至低變換而存在的一Γ值,以增加藉由感測器212的高至低偵測敏感度。在此範例中,閾值1調整數值係-0.1,且IDPC_Gamma1閾值0.7係Γ值0.8及閾值1調整數值-0.1的和。
一旦取得IDPC_Gamma1閾值,在操作139之中將60 MHz從屬RF產生器設定至自我調整模式,以使60 MHz從屬RF產生器能夠在2 MHz IP RF訊號脈衝到低之時判定功率傳輸的最佳調整RF頻率。再次,將2 MHz IP RF訊號的低脈衝在圖3的點127和137之間人為延長,以能夠確定IDPC_Gamma1閾值以及使60 MHz從屬RF產生器在2 MHz IP RF訊號的低脈衝期間能夠自我調整至功率傳輸的最佳RF頻率。
一旦從屬RF產生器在2 MHz IP RF訊號的低脈衝期間調整至功率傳輸的最佳RF頻率,例如60.5 MHz,該從屬RF產生器的最佳調整RF頻率在操作141之中被記錄,且在操作143之中被設定為IDPC_Freq2。
在從屬RF產生器對於2 MHz IP RF訊號的低脈衝已調整至其最佳RF頻率值(例如60.5 MHz)之後,在操作145之中將從屬RF產生器設定成操作於在IDPC_Freq2之固定頻率模式,並且允許2 MHz IP RF產生器脈衝到高,例如由點137轉變至點147。IDPC_Freq2係頻率值F20的例子。於頻率IDPC_Freq2之由感測器212所感測的前向功率係功率值P20的例子。這亦可見於圖4的操作145。
在2 MHz IP RF訊號脈衝到高之後,例如點137之後,前述最佳調整RF頻率IDPC_Freq2對於60 MHz RF產生器之功率傳輸不再是有效率的RF頻率。這是因為當2 MHz獨立脈衝RF訊號脈衝到高以傳輸較高量的RF功率至電漿腔室102之內的電漿之時,電漿阻抗已經改變。此無效率反映於由感測器212所偵測的Γ值0.78。這個Γ值0.78在操作151之中加以記錄,且在操作153之中可設定為IDPC_Gamma2閾值。IDPC_Gamma2閾值係第一閾值的例子。IDPC_Gamma2閾值係儲存於DSP 150的記憶體裝置、AFT 118的記憶體裝置、及/或電源控制器152的記憶體裝置之內。
在生產期間當IP RF訊號脈衝到低且60 MHz RF訊號係在60.5 MHz(其係當IP RF訊號脈衝到低時60 MHz RF產生器的最佳調整RF頻率)並且之後遇到IDPC_Gamma2閾值之時,從屬RF產生器判定2 MHz IP RF訊號已經由低轉變至高。
在一個以上實施例中,IDPC_Gamma2閾值可藉由閾值2調整數值而對敏感度加以調整。舉例來說,可能期望在圖4的操作153之中將IDPC_Gamma2閾值設定於0.75而非0.78,稍微低於由於2 MHz IP RF訊號的低至高變換而存在的真實Γ值,以增加藉由感測器212的低至高偵測敏感度。在此範例中,閾值2調整數值係-0.03,且IDPC_Gamma2閾值0.75係Γ值0.78及閾值2調整數值-0.03的和。
此二個最佳調整RF頻率值(例如61.3 MHz和60.5 MHz)以及二個Γ閾值(例如IDPC_Gamma1閾值和IDPC_Gamma2閾值),接著在允許2 MHz脈衝之時於生產期間被加以使用,並且60 MHz從屬RF產生器在感測器212偵測到Γ值已超過該等閾值時在二個先前所學習的最佳調整RF頻率之間來回跳動。60 MHz訊號係在圖3描述為訊號155。
圖5係系統262的實施例的示圖,系統262係用於基於電漿阻抗調整狀態,其中電源控制器及/或頻率調諧器提供非零值。系統262類似於圖1的系統180,除了系統262包含電源控制器172及AFT 264之外,電源控制器172及AFT 264每一者提供非零值。
DSP 140自一對應的記憶體位置提供經辨識的狀態S0至AFT 264以及至電源控制器172。舉例來說,DSP 140指示AFT 264及電源控制器172在工作週期的時間t2和t3之間TTL訊號112係在狀態S0。AFT 264基於TTL訊號 112的狀態判定頻率值,且功率控制器172基於TTL訊號112的狀態判定功率值。舉例來說,AFT 264判定當TTL訊號112狀態係S0時將頻率值F10提供至2 MHz DA系統,並且電源控制器172判定當TTL訊號112狀態係S0時將功率值P10提供至2 MHz DA系統。在一個實施例中,數值F10和P10係正值。
頻率值F10係儲存於AFT 264之中,且功率值P10係儲存於電源控制器172之中。當TTL訊號112的狀態係S0之時,電源控制器172提供功率值P10至2 MHz DA系統且AFT 264提供頻率值F10至2 MHz DA系統。
在狀態S0期間2 MHz DA系統接收頻率值F10及功率值P10。在接收到值F10及P10,2 MHz DA系統產生頻率F10的RF功率且該RF功率具有功率值P10。2 MHz DA系統的放大器供應具有與功率值P10成正比的功率值及具有頻率值F10的前向功率,其經由傳輸線230及阻抗匹配電路182而至電漿腔室102。
在一個實施例中,在TTL訊號112的狀態S0期間,AFT 264基於接收自DSP 140的Γ值判定一頻率值。在狀態S0期間,AFT 264基於產生自該Γ值的頻率值調整頻率值F10,且提供經調整的頻率值至2 MHz DA系統。此外,在狀態S0期間,電源控制器172基於接收自DSP 140的Γ值判定一功率值。在狀態S0期間,電源控制器172基於依據Γ值產生的功率值調整功率值P10,且提供經調整的功率值至2 MHz DA系統。此外,在狀態S0期間,2 MHz DA系統產生具有接收自AFT 264的經調整的頻率值及具有接收自電源控制器172的經調整的功率值之功率訊號,且提供該功率訊號經由阻抗匹配電路182而至電漿腔室102。
電源控制器142和172、AFT 114和264、及DSP 140係產生器控制器290的部件。產生器控制器290、ADC 220、感測器210、及2 MHz DA系統係2 MHz產生器292的部件。
在一個實施例中,替代DSP 140或150每一者,使用任何數量的處理器以執行由DSP所執行的功能。
圖6A顯示實施例圖表302、304、306、及308。圖表302、304、306、及308每一者將以千瓦(kW)為單位的功率值描繪為時間t的函數。如圖表302所示,2 MHz功率訊號,其為2 MHz DA系統供應之功率訊號,在狀態S1期間具有功率值a1,以及在狀態S0期間具有功率值0。功率值a1係功率值P11的例子。此外,60 MHz功率訊號,其為由60 MHz DA系統所供應的功率訊號,在狀態S1期間具有功率值a2且在狀態S0期間具有功率值a3。功率值a2係功率值P21的例子,且功率值a3係功率值P20的例子。
如圖表304所示,60 MHz功率訊號在狀態S1和S0期間具有功率值a2。此外,如圖表306所示,2 MHz訊號在狀態S0期間具有功率值a4。功率值a4係功率值P10的範例。如圖表308所示,當2 MHz訊號具有功率值a4時,60 MHz訊號具有功率值a2。
圖6B顯示實施例圖表310、312、314、及316。圖表310、312、314、及316每一者將以千瓦為單位的功率值描繪為時間t的函數。如圖表310所顯示,60 MHz訊號係自功率值a2轉變為功率值零,而非自功率值a2轉變為功率值a3(圖6A)。
此外,如圖表312所顯示,60 MHz訊號自功率值a2轉變至功率值a5,功率值a5係功率值P20的例子。如圖表314所顯示,在狀態S0期間,當2 MHz訊號具有非零功率值a4時,60 MHz訊號具有功率值零。如圖表316所顯示,在狀態S0期間,當2 MHz訊號具有非零功率值a4時,60 MHz功率訊號具有非零功率值a5。
圖7A顯示實施例圖表318、320、322、及324。圖表318、320、322、及324每一者將以千瓦為單位的功率值描繪為時間t的函數。圖表318係類似於圖表302(圖6A),圖表320係類似於圖表304(圖6A),圖表320係類似於圖表306(圖6A),且圖表322係類似於圖表308(圖6A),除了圖表318、320、322、及324包含27 MHz訊號的圖形。27 MHz訊號係產生自27 MHz產生器的27 MHz DA系統(未顯示)。27 MHz訊號在狀態S1及S0二者期間係具有功率值a6的RF訊號。
圖7B顯示實施例圖表326、328、330、及332。圖表326、328、330、及332每一者將以千瓦為單位的功率值描繪為時間t的函數。圖表326係類似於圖表310(圖6B),圖表328係類似於圖表312(圖6B),圖表330係類似於圖表314(圖6B),且圖表332係類似於圖表316(圖6B),除了圖表326、328、330、及332包含具有功率值a6的27 MHz訊號的圖形。
圖7C顯示實施例圖表334、336、338、及340。圖表334、336、338、及340每一者將以千瓦為單位的功率值描繪為時間t的函數。圖表334係類似於圖表302(圖6A),圖表336係類似於圖表304(圖6A),圖表338係類似於圖表306(圖6A),且圖表340係類似於圖表308(圖6A),除了圖表334、336、338、及340包含27 MHz訊號的圖形。該27 MHz訊號係自在狀態S1期間具有功率值a7轉變至在狀態S0期間具有功率值a8。功率值a7係小於功率值a8。
圖7D顯示實施例圖表342、344、346、及348。圖表342、344、346、及348每一者將以千瓦為單位的功率值描繪為時間t的函數。圖表342係類似於圖表310(圖6B),圖表344係類似於圖表312(圖6B),圖表346係類似於圖表314(圖6B),且圖表348係類似於圖表316(圖6B),除了圖表342、344、346、及348包含具有功率值a7及a8的27 MHz訊號的圖形。
圖7E顯示實施例圖表350、352、354、及356。圖表350、352、354、及356每一者將以千瓦為單位的功率值描繪為時間t的函數。圖表350係類似於圖表302(圖6A),圖表352係類似於圖表304(圖6A),圖表354係類似於圖表306(圖6A),且圖表356係類似於圖表308(圖6A),除了圖表350、352、354、及356包含27 MHz訊號的圖形。該27 MHz訊號從在狀態S1期間具有功率值a9轉變至在狀態S0期間具有功率值a10。功率值a9係大於功率值a10。
圖7F顯示實施例圖表358、360、362、及364。圖表358、360、362、及364每一者將以千瓦為單位的功率值描繪為時間t的函數。圖表358係類似於圖表310(圖6B),圖表360係類似於圖表312(圖6B),圖表362係類似於圖表314(圖6B),且圖表364係類似於圖表316(圖6B),除了圖表358、360、362、及364包含具有功率值a9及a10的27 MHz訊號的圖形之外。
應注意的是,在以上顯示的圖表302、304、306、308、310、312、314、316、318、320、322、324、326、328、330、332、334、336、338、340、342、344、346、348、350、352、354、356、及358之中,將2 MHz訊號顯示為實線,將60 MHz訊號顯示為虛線,且將27 MHz訊號顯示為點線。
圖8係系統311實施例的方塊圖,系統311係用於基於Γ值是否大於第一閾值或第二閾值而選擇於AFT 118及120(圖1及3)之間。當TTL訊號112係在狀態S1且在狀態S1期間所測得的Γ值超過第一閾值,系統311的一選擇邏輯128(其為一選擇器的例子)選擇AFT 118,而當TTL訊號112係在狀態S0且在該狀態S0所測得的Γ值超過第二閾值之時,選擇邏輯128選擇AFT 120。選擇邏輯128的範例包含一多工器。當選擇邏輯128包含多工器,在該多工器的一選擇輸入處接收指示在TTL訊號112的狀態S1期間所測得Γ值大於該第一閾值的一訊號、或指示在TTL訊號112的狀態S0期間所測得Γ值大於該第二閾值的一訊號。當TTL訊號112具有狀態S1之時,DSP 150產生指示在TTL訊號112的狀態S1期間所測得Γ值大於該第一閾值的一訊號,且提供該訊號至該多工器。當TTL訊號112具有狀態S0之時,DSP 150產生指示在TTL訊號112的狀態S0期間所測得Γ值大於該第二閾值的一訊號,且提供該訊號至該多工器。
在一個實施例中,選擇邏輯128包含一處理器。在一實施例中,將選擇邏輯128實現於DSP 140之內。
當選擇AFT 118之時,AFT 118提供頻率值F21至60 MHz DA系統。類似地,當選擇AFT 120之時,AFT 120提供頻率值F20至60 MHz DA系統。
60 MHz DA系統產生與接收自時脈源313的時脈訊號同步的60 MHz訊號。在一個實施例中,時脈源313的時脈訊號係與TTL訊號112不同步。在一個實施例中,時脈源313的時脈訊號與TTL訊號112同步。
在一個實施例中,選擇邏輯128係在電源控制器152及154(圖5)之間選擇,而非AFT 118及120之間。當電源控制器152在TTL訊號112的狀態S1期間被選擇,電源控制器152提供功率值P21至60 MHz DA系統,並且當電源控制器154在TTL訊號112的狀態S0期間被選擇之時,電源控制器154提供功率值P20至60 MHz DA系統。
在一個實施例中,以類似於在60 MHz產生器276(圖1及3)之內實現選擇邏輯128之方式,將選擇邏輯128實現於27 MHz產生器之內。
將一個Γ值基於狀態S1或S0藉由選擇邏輯128傳送至AFT 118或120。舉例來說,當狀態係S1時,DSP 150提供一第一Γ值至選擇邏輯128。在這個例子中,在狀態S1期間已選擇AFT 118的選擇邏輯128將接收自DSP 150的第一Γ值傳送至AFT 118。作為另一範例,當狀態係S0時,DSP 150提供第二Γ值至選擇邏輯128。在這個例子中,在狀態S0期間已選擇AFT 120的選擇邏輯128將接收自DSP 150的第二Γ值傳送至AFT 120。
類似地,在其中使用電源控制器152及154(圖5)的實施例中,選擇邏輯128在狀態S1期間將接收自DSP 150的第一Γ值傳送至電源控制器152,且將接收自DSP 150的第二Γ值傳送至電源控制器154。
此外,在一個實施例中,其中將選擇邏輯128實現於27 MHz產生器之內且連接至27 MHz產生器的電源控制器,選擇邏輯128在狀態S1期間將自27 MHz產生器的一DSP所接收的第三Γ值傳送至電源控制器其中一者,且在狀態S0期間將接收自該DSP的第四Γ值傳送至該等電源控制器的另一者。在此實施例中,基於連接至27 MHz產生器之傳輸線之上的前向和反射功率產生該第三Γ值。並且,在此實施例中,前向和反射功率二者係藉由27 MHz產生器的一感測器加以感測。在此實施例中,基於連接至27 MHz產生器的傳輸線之上的前向和反射功率,產生第四Γ值。
此外,在其中將選擇邏輯128實現於27 MHz產生器之內且連接至27 MHz產生器的AFT之實施例中,選擇邏輯128在狀態S1期間將自27 MHz產生器的DSP所接收的第三Γ值傳送至AFT其中一者,且在狀態S0期間將接收自該DSP的第四Γ值傳送至該等AFT的另一者。
圖9係方法321的實施例的流程圖,該方法用於調整60 MHz DA系統的頻率及/或功率,以達成60 MHz產生器276(圖1及3)的狀態S1或S0。在操作325之中,在電漿腔室102(圖1)之內將電漿點燃,例如產生。
在操作327中,在TTL訊號112的狀態二者期間,藉由感測器212(圖5)來量測在傳輸線232之上的前向和反射功率。所測得的前向和反射功率由類比格式轉換成數位格式。
在操作329之中,DSP 140及150由在TTL訊號112的狀態S0及S1期間所測得的前向和反射功率的數位值計算Γ值。對於各個TTL訊號112的狀態,藉由一DSP判定Γ值。舉例來說,在TTL訊號112的狀態S0期間,基於前向和反射功率之間的關係,例如在傳輸線232之上所感測反射功率對前向功率比例的平方根等等,藉由DSP 150判定一Γ值,以及在TTL訊號112的狀態S1期間,基於前向和反射功率之間的關係,例如在傳輸線232之上所感測反射功率對前向功率比例的平方根(圖5)等等,藉由DSP 150判定一Γ值。
在操作331中,判定在TTL訊號112的狀態S1期間所測得的Γ值是否大於第一閾值,並且判定在TTL訊號112的狀態S0期間所測得的Γ值是否大於第二閾值。舉例來說,AFT 118和電源控制器152判定接收自DSP 150的Γ值是否大於第一閾值,且AFT 120和電源控制器154判定接收自DSP 150的Γ值是否超過第二閾值。作為另一範例,DSP 150判定第一Γ值是否大於第一閾值或者第二Γ值是否大於第二閾值。
當判定Γ值大於第一閾值之時,在操作333之中,AFT 118提供頻率值F21至60 MHz DA系統,且電源控制器152提供功率值P21至60 MHz DA系統。此外,當判定Γ值大於第二閾值時,在操作335之中,AFT 120提供頻率值F20至60 MHz DA系統,且電源控制器154提供功率值P20至60 MHz DA系統。方法321的操作327在操作333及335之後重複。
雖然方法321係相關於60 MHz產生器276而加以描述,在一個實施例中,方法321適用於27 MHz產生器或具有不同於27 MHz或60 MHz之頻率的一產生器。
圖10顯示歸一化RF變數相對於一時間的實施例圖表400,該時間係用於藉由從屬RF產生器實行RF調整以達IP RF訊號脈衝期間最佳生產時間功率傳輸。歸一化RF變數的例子包含前向功率和Γ值。當參照方法500的流程圖而研讀時,可較佳理解圖10,其一實施例係顯示於圖11。方法500提供關於藉由從屬RF產生器實施頻率調整以達在脈衝期間的最佳功率傳輸之操作的細節。
在點402處,2 MHz IP RF產生器脈衝到高,且60 MHz從屬RF產生器係被設定至其先前學習的最佳RF頻率IDPC_Freq1(例如61.3 MHz),或被允許自我調整至這個最佳RF頻率IDPC_Freq1。這顯示於圖11的操作504。之後,從屬RF產生器操作於頻率調整模式。
在圖10的範例中,2 MHz IP RF訊號在具有50%工作週期的脈衝頻率159.25 Hz(其可依需求變化)於高功率設定點6 kW和低功率設定點0 kW(其並非必要且可非零)之間脈衝。60 MHz從屬RF產生器提供於功率設定點900 W的功率。當60 MHz從屬RF產生器傳輸功率至電漿腔室102之內的電漿負載之時,從屬RF產生器亦透過感測器212監測Γ值,如圖11操作506及508所述。
在點404處,2 MHz IP RF訊號脈衝到低至一點409處。在此高至低轉變之後不久,由60 MHz從屬RF產生器所量測的Γ值自約0.04至約0.8而呈尖波,例如從點407至點408。若IDPC_Gamma1閾值係設定於例如0.7,所偵測Γ值的偏移(操作508的分支「是」)促成60 MHz RF產生器由一個先前學習的最佳調整RF頻率值IDPC_Freq1跳動至另一先前學習的最佳調整RF頻率值IDPC_Freq2。這係顯示於圖11的操作510。響應2 MHz IP RF訊號高至低轉變之60 MHz從屬RF產生器自IDPC_Freq1至IDPC_Freq2的調整,達成將所測得Γ值下降至0.05,例如自點410至點412。
在點415處,2 MHz IP RF訊號由低至高脈衝,例如達到點417。在此低至高轉變之後不久,在操作512和514中藉由RF產生器量測的一Γ值自約0.05至約0.78而呈尖波。該尖波係描述於點414和點416之間。
若將IDPC_Gamma2閾值設定於例如0.75觸動,所偵測的Γ值的偏移(例如圖11的操作514的「是」分支)促成60 MHz RF產生器由先前學習的最佳調整RF頻率值IDPC_Freq2跳動至另一先前學習的最佳調整RF頻率值IDPC_Freq1。這顯示於圖11的操作504之中。響應2 MHz IP RF 訊號的低至高轉變之60 MHz從屬RF產生器自IDPC_Freq2至IDPC_Freq1的這個調整,將所測得Γ值下降至0.04,例如由點418至點420。
應注意的是,圖10的時間尺度反映與圖3的時間尺度相較較快的時間尺度。圖10的時間尺度描述生產時間,而圖3的時間尺度描述學習時間。這是先前所提及在學習時間期間將IP RF脈衝的高持續時間和低持續時間人為延長以容許從屬RF產生器為學習目的而自我調整至最佳RF頻率的實例。更應注意的是,60 MHz訊號係描述為圖10的訊號406。
在一個實施例中,在生產時間期間,不使用此自我調整,這是由於從屬RF產生器實質上運作成狀態機,且當偵測到IP RF訊號的高至低轉變與IP RF訊號的低至高轉變時由一個所學習最佳RF頻率跳動至另一所學習的最佳RF頻率。偵測高至低轉變,係藉由將測得的Γ值與IDPC_Gamma1閾值比較,以及藉由判定偵測Γ值偏移之前的IP RF訊號的先前狀態。此外,偵測低至高轉變,係藉由比較測得的Γ值和IDPC_Gamma2閾值,以及藉由判定偵測Γ值偏移之前的IP RF訊號的先前狀態。
應注意的是,雖然上述實施例係相關於將2 MHz RF訊號、及/或60 MHz訊號、及/或27 MHz訊號提供至下電極104且將上電極110接地,在數個實施例中,將2 MHz、60 MHz、及27 MHz訊號提供至上電極110且將下電極104接地。
吾人亦注意到在一個實施例中,例如頻率輸入、功率輸入等等之輸入,或例如功率準位、頻率準位之準位,係包含在另一數值的限制(例如標準差等等)之內的一個以上數值。舉例來說,一功率準位包含功率值P21及在功率值P21的限制之內的其他功率值。在此範例中,該功率準位不包含另一狀態之任何功率值,例如狀態S0的功率值P20。作為另一範例,一頻率輸入包含頻率值F11和該頻率值F11的限制之內的其他頻率值。在此範例中,頻率輸入不包含另一狀態之任何頻率值,例如狀態S0的頻率值F10。
吾人注意到雖然參照平行板電漿腔室描述上述實施例,在一個實施例中,上述實施例係適用於其他類型的電漿腔室,例如包含電感耦合電漿(ICP)反應器之電漿腔室、包含電子迴旋共振(ECR)反應器之電漿腔室等等。舉例來說,2 MHz及60 MHz電源供應器係耦合至在ICP電漿腔室之內的電感器。
此外,雖然上述實施例其中若干係描述成利用Γ值,在一個實施例中,可利用阻抗差值。舉例來說,當TTL訊號112的狀態係S1,DSP 150自傳輸線232之上的反射功率判定阻抗,且亦由傳輸線232之上的前向功率判定阻抗。DSP 150判定阻抗之間的一第一差值是否超過一第一限制,並且在判定如此狀況時傳送指示此狀況且亦指示該第一差值的一個訊號。在接收指示該第一差值的訊號之時,AFT 118自一記憶體裝置檢索頻率值F21且電源控制器152自一記憶體裝置檢索功率值P21。數值F21和P21接著提供至60 MHz DA系統。
類似地,當TTL訊號112的狀態係S0之時,DSP 150由在傳輸線232之上的反射功率判定一阻抗,其亦由傳輸線232之上的前向功率判定一阻抗。DSP 150判定該等阻抗之間的第二差值是否超過一第二限制,且在判定如此狀況時,傳送指示此狀況且亦指示第二差值的一個訊號。在接收到指示第二差值的訊號時,AFT 120自一記憶體裝置檢索頻率值F20,且電源控制器154自一記憶體裝置檢索功率值P20。數值F20和P20接著提供至60 MHz DA系統。
在一個實施例中,藉由產生器控制器的DSP執行產生器控制器的AFT及/或電源控制器所執行的操作。舉例來說,此處所述為由AFT 118及120所執行的操作係藉由DSP 150加以執行。
在一個實施例中,術語「驅動放大器」與「DA系統」此處係可互換地使用。
此處所述實施例可利用各種電腦系統配置加以實施,該各種電腦系統配置包含手持裝置、微處理器系統、基於微處理器或可程式消費性電子裝置、迷你電腦、大型電腦等等。該等實施例亦可實施於分散式計算環境,其中藉由透過網路連結的遠端處理裝置執行工作。
基於上述實施例,應理解的是該等實施例可利用各種電腦實施操作,其涉及儲存於電腦系統中的的資料。這些操作係需要物理量的物理性處理者。形成部分之實施例的此處所述的任何操作係有用的機械操作。該等實施例亦關於執行這些操作的裝置或設備。該設備可特別建構用於特殊用途電腦。當定義為特殊用途電腦,該電腦亦可執行非該特殊用途的其他處理、程式執行或常式,而仍能夠用於該特殊用途操作。或者是,可藉由利用儲存於電腦記憶體、快取記憶體、或由網路取得的一個以上電腦程式選擇性啟動或設定的通用電腦,處理該等操作。當資料係在網路上取得,該資料可藉由網路上的其他電腦(例如雲端計算資源)加以處理。
一個以上實施例亦可製作為非暫時性電腦可讀媒體上的電腦可讀碼。非暫時性電腦可讀媒體係可儲存資料的任何資料儲存裝置,該資料之後可由電腦系統讀出。非暫時性電腦可讀媒體的範例包含硬碟、網路附接儲存器(NAS)、ROM、RAM、光碟唯讀記憶體(CD-ROM)、可錄CD(CD-R)、可重寫CD(CD-RW)、磁帶、及其他光學和非光學資料儲存裝置。非暫時性電腦可讀媒體可包含分布於網路連接電腦系統上的電腦可讀有形媒體,使得電腦可讀碼被分散式地儲存和執行。
雖然上述流程圖中的方法操作以特定的順序描述,應理解的是其他內務處理操作可在該等操作之間加以執行,或者可調整操作,使得該等操作在些許不同的時間發生,或者可分布於一系統之中,該系統允許處理操作發生在各種與處理相關聯的時間間隔,只要重疊操作的處理係以所欲的方式執行。
來自任何實施例的一個以上特徵,可與任何其他實施例的一個以上特徵結合,而不偏離本揭露內容之各種實施例所述之範疇。
雖然前述實施例以理解清楚為目的而相當程度詳細地加以描述,顯而易見的是,在隨附申請專利範圍的範疇之內可實施某些變化和修改。因此,本實施例係視為例示性而非限制性,且該等實施例係不限定於此處所提供的細節,而是可在隨附申請專利範圍的範疇和均等物之內加以修改。
102‧‧‧電漿腔室
104‧‧‧下電極
106‧‧‧上表面
108‧‧‧基板
110‧‧‧上電極
111‧‧‧圖表
112‧‧‧TTL訊號
113‧‧‧方法
114‧‧‧自動頻率調諧器(AFT)
115‧‧‧點
117‧‧‧操作
118‧‧‧AFT
119‧‧‧IP RF訊號
120‧‧‧AFT
121‧‧‧點
123‧‧‧操作
125‧‧‧操作
127‧‧‧點
128‧‧‧選擇邏輯
131‧‧‧操作
133‧‧‧操作
135‧‧‧操作
137‧‧‧點
139‧‧‧操作
140‧‧‧DSP
141‧‧‧操作
142‧‧‧電源控制器
143‧‧‧操作
145‧‧‧操作
147‧‧‧點
150‧‧‧DSP
155‧‧‧訊號
151‧‧‧操作
152‧‧‧電源控制器
153‧‧‧操作
154‧‧‧電源控制器
172‧‧‧電源控制器
180‧‧‧系統
182‧‧‧阻抗匹配電路
186‧‧‧阻抗匹配電路
190‧‧‧工具使用者介面(UI)
210‧‧‧感測器
212‧‧‧感測器
220‧‧‧類比至數位轉換器(ADC)
222‧‧‧ADC
230‧‧‧傳輸線
232‧‧‧傳輸線
250‧‧‧表
262‧‧‧系統
264‧‧‧AFT
270‧‧‧產生器控制器
272‧‧‧產生器控制器
274‧‧‧2 MHz產生器
276‧‧‧60 MHz產生器
290‧‧‧產生器控制器
292‧‧‧2 MHz產生器
302、304、306、308‧‧‧圖表
310、312、314、316‧‧‧圖表
318、320、322、324‧‧‧圖表
326、328、330、332‧‧‧圖表
334、336、338、340‧‧‧圖表
342、344、346、348‧‧‧圖表
350、352、354、356‧‧‧圖表
358、360、362、364‧‧‧圖表
321‧‧‧方法
325‧‧‧操作
327‧‧‧操作
329‧‧‧操作
331‧‧‧操作
333‧‧‧操作
335‧‧‧操作
402‧‧‧點
404‧‧‧點
407‧‧‧點
408‧‧‧點
410‧‧‧點
412‧‧‧點
414‧‧‧點
415‧‧‧點
416‧‧‧點
417‧‧‧點
418‧‧‧點
420‧‧‧點
500‧‧‧方法
504‧‧‧操作
506‧‧‧操作
508‧‧‧操作
510‧‧‧操作
512‧‧‧操作
514‧‧‧操作
藉由參照以下發明說明以及隨附圖式,可最佳地理解實施例。
根據本揭露內容所述實施例,圖1係一系統實施例的方塊圖,用於基於電漿阻抗改變狀態。
根據本揭露內容所述實施例,圖2係一實施例,其中一表顯示基於一Γ值是否大於一第一閾值或一第二閾值而於狀態之改變。
根據本揭露內容所述實施例,圖3顯示一實施例圖表,其係在一訓練程序期間二個RF訊號之前向功率對於時間的圖示。
根據本揭露內容所述實施例,圖4係一實施例之訓練程序的流程圖。
根據本揭露內容所述實施例,圖5係一系統的實施例的示圖,該系統用於基於電漿阻抗改變狀態,其中電源控制器及/或頻率調諧器提供非零值。
根據本揭露內容所述實施例,圖6A顯示具有二個射頻(RF)訊號的圖表,其中該等RF訊號其中一者具有一固定值或變化的值。
根據本揭露內容所述實施例,圖6B顯示具有二個RF訊號的圖表,其中該等RF訊號二者具有變化的值。
根據本揭露內容所述實施例,圖7A顯示具有三個RF訊號的圖表,其中該等RF訊號其中一者具有一固定值,且該等RF訊號的另一者具有一固定值或變化的值。
根據本揭露內容所述實施例,圖7B顯示具有三個RF訊號的圖表,其中該等RF訊號其中一者具有一固定值,且其餘二個RF訊號具有變化的值。
根據本揭露內容所述實施例,圖7C顯示具有三個RF訊號的圖表,其中該等RF訊號其中一者具有一固定值或變化的值,且其餘二個RF訊號具有變化的值。
根據本揭露內容所述實施例,圖7D顯示圖表,其中三個RF訊號皆具有變化的值。
根據本揭露內容所述實施例,圖7E顯示具有三個RF訊號的圖表,其中該等RF訊號其中一者具有一固定值或變化的值,且其餘的RF訊號具有變化的值。
根據本揭露內容所述實施例,圖7F顯示圖表,其中三個RF訊號皆具有變化的值。
根據本揭露內容所述實施例,圖8係一系統的實施例的方塊圖,該系統用於基於一Γ值是否大於一第一閾值或一第二閾值而在自動頻率調諧器(AFT)之間選擇。
根據本揭露內容所述實施例,圖9係一方法之實施例的流程圖,用於調整60 MHz驅動放大器的頻率及/或功率,以達成60 MHz產生器的狀態S1或S0。
根據本揭露內容所述實施例,圖10顯示歸一化RF變數相對於一時間的實施例圖表,該時間係用於藉由從屬RF產生器實行RF調整以達獨立(IP)RF訊號脈衝期間最佳生產時間功率傳輸。
根據本揭露內容所述實施例,圖11係一實施例之方法的流程圖,用於藉由從屬RF產生器實行頻率調整以達脈衝期間最佳功率傳輸。
102‧‧‧電漿腔室
104‧‧‧下電極
106‧‧‧上表面
108‧‧‧基板
110‧‧‧上電極
112‧‧‧TTL訊號
114‧‧‧自動頻率調諧器(AFT)
118‧‧‧AFT
120‧‧‧AFT
140‧‧‧DSP
142‧‧‧電源控制器
150‧‧‧DSP
152‧‧‧電源控制器
154‧‧‧電源控制器
180‧‧‧系統
182‧‧‧阻抗匹配電路
186‧‧‧阻抗匹配電路
190‧‧‧工具使用者介面(UI)
210‧‧‧感測器
212‧‧‧感測器
220‧‧‧類比至數位轉換器(ADC)
222‧‧‧ADC
230‧‧‧傳輸線
232‧‧‧傳輸線
270‧‧‧產生器控制器
272‧‧‧產生器控制器
274‧‧‧2MHz產生器
276‧‧‧60MHz產生器

Claims (20)

  1. 一種電漿處理方法,包含: 判定在一數位脈衝訊號之一第一狀態期間,與電漿阻抗相關聯的一參數是否大於一第一邊界; 在判定該參數大於該第一邊界時,控制一射頻(RF)產生器,以產生具有一第一功率值之RF訊號; 判定在該數位脈衝訊號之一第二狀態期間,與電漿阻抗相關聯的該參數是否大於一第二邊界;及 在判定該參數大於該第二邊界時,控制該RF產生器,以產生具有一第二功率值之RF訊號。
  2. 如申請專利範圍第1項之電漿處理方法,其中該數位脈衝訊號之該第一狀態為高狀態,且該數位脈衝訊號之該第二狀態為低狀態。
  3. 如申請專利範圍第1項之電漿處理方法,其中該參數為Γ。
  4. 如申請專利範圍第1項之電漿處理方法,其中該第一功率值及該第二功率值之各者係藉由一訓練程序(training routine)而預先決定。
  5. 如申請專利範圍第1項之電漿處理方法,其中該第一邊界及該第二邊界之各者係藉由一訓練程序而預先決定。
  6. 如申請專利範圍第1項之電漿處理方法,其中該第一功率值及該第二功率值之各者係藉由一訓練程序而預先決定,其中該第一邊界及該第二邊界之各者係藉由該訓練程序而預先決定,其中該訓練程序係於處理一晶圓之前執行,其中該晶圓係於該電漿處理方法的執行期間受到處理。
  7. 如申請專利範圍第1項之電漿處理方法,其中該RF產生器為27百萬赫茲(MHz)RF產生器或60 MHz RF產生器。
  8. 一種電漿處理方法,包含: 判定在一數位脈衝訊號之一第一狀態期間,與電漿阻抗相關聯的一參數是否大於一第一邊界; 在判定該參數大於該第一邊界時,控制一射頻(RF)產生器,以產生具有一第一頻率值之RF訊號; 判定在該數位脈衝訊號之一第二狀態期間,與電漿阻抗相關聯的該參數是否大於一第二邊界;及 在判定該參數大於該第二邊界時,控制該RF產生器,以產生具有一第二頻率值之RF訊號。
  9. 如申請專利範圍第8項之電漿處理方法,其中該數位脈衝訊號之該第一狀態為高狀態,且該數位脈衝訊號之該第二狀態為低狀態。
  10. 如申請專利範圍第8項之電漿處理方法,其中該參數為Γ。
  11. 如申請專利範圍第8項之電漿處理方法,其中該第一頻率值及該第二頻率值之各者係藉由一訓練程序(training routine)而預先決定。
  12. 如申請專利範圍第8項之電漿處理方法,其中該第一邊界及該第二邊界之各者係藉由一訓練程序而預先決定。
  13. 如申請專利範圍第8項之電漿處理方法,其中該第一頻率值及該第二頻率值之各者係藉由一訓練程序而預先決定,其中該第一邊界及該第二邊界之各者係藉由該訓練程序而預先決定,其中該訓練程序係於處理一晶圓之前執行,其中該晶圓係於該電漿處理方法的執行期間受到處理。
  14. 如申請專利範圍第8項之電漿處理方法,其中該RF產生器為27百萬赫茲(MHz)RF產生器或60 MHz RF產生器。
  15. 一種電漿處理方法,包含: 判定在一數位脈衝訊號之一第一狀態期間,與電漿阻抗相關聯的一參數是否大於一第一邊界; 在判定該參數大於該第一邊界時,控制一射頻(RF)產生器,以產生具有一第一功率值及一第一頻率值之RF訊號; 判定在該數位脈衝訊號之一第二狀態期間,與電漿阻抗相關聯的該參數是否大於一第二邊界;及 在判定該參數大於該第二邊界時,控制該RF產生器,以產生具有一第二功率值及一第二頻率值之RF訊號。
  16. 如申請專利範圍第15項之電漿處理方法,其中該數位脈衝訊號之該第一狀態為高狀態,且該數位脈衝訊號之該第二狀態為低狀態。
  17. 如申請專利範圍第15項之電漿處理方法,其中該參數為Γ。
  18. 如申請專利範圍第15項之電漿處理方法,其中該第一功率值及該第二功率值之各者係藉由一訓練程序(training routine)而預先決定,且其中該第一頻率值及該第二頻率值之各者係藉由該訓練程序而預先決定。
  19. 如申請專利範圍第15項之電漿處理方法,其中該第一邊界及該第二邊界之各者係藉由一訓練程序而預先決定。
  20. 如申請專利範圍第15項之電漿處理方法,其中該第一功率值及該第二功率值之各者係藉由一訓練程序而預先決定,其中該第一頻率值及該第二頻率值之各者係藉由該訓練程序而預先決定,其中該第一邊界及該第二邊界之各者係藉由該訓練程序而預先決定,其中該訓練程序係於處理一晶圓之前執行,其中該晶圓係於該電漿處理方法的執行期間受到處理。
TW106113387A 2012-02-22 2013-02-21 利用基於阻抗之電力及頻率調整的電漿處理方法 TWI612855B (zh)

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
US201261602040P 2012-02-22 2012-02-22
US201261602041P 2012-02-22 2012-02-22
US61/602,040 2012-02-22
US61/602,041 2012-02-22
US13/531,491 US9114666B2 (en) 2012-02-22 2012-06-22 Methods and apparatus for controlling plasma in a plasma processing system
US13/531,491 2012-06-22
US13/550,719 2012-07-17
US13/550,719 US9368329B2 (en) 2012-02-22 2012-07-17 Methods and apparatus for synchronizing RF pulses in a plasma processing system
US201261701560P 2012-09-14 2012-09-14
US61/701,560 2012-09-14
US13/666,912 2012-11-01
US13/666,912 US9171699B2 (en) 2012-02-22 2012-11-01 Impedance-based adjustment of power and frequency

Publications (2)

Publication Number Publication Date
TW201725940A TW201725940A (zh) 2017-07-16
TWI612855B true TWI612855B (zh) 2018-01-21

Family

ID=48981754

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102106070A TWI593321B (zh) 2012-02-22 2013-02-21 利用基於阻抗之電力及頻率調整的電漿處理系統
TW106113387A TWI612855B (zh) 2012-02-22 2013-02-21 利用基於阻抗之電力及頻率調整的電漿處理方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW102106070A TWI593321B (zh) 2012-02-22 2013-02-21 利用基於阻抗之電力及頻率調整的電漿處理系統

Country Status (6)

Country Link
US (3) US9171699B2 (zh)
JP (1) JP6400272B2 (zh)
KR (1) KR20130096679A (zh)
CN (2) CN105742151B (zh)
SG (1) SG193113A1 (zh)
TW (2) TWI593321B (zh)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US9171700B2 (en) * 2012-06-15 2015-10-27 COMET Technologies USA, Inc. Plasma pulse tracking system and method
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
JP5704772B1 (ja) 2014-02-04 2015-04-22 株式会社京三製作所 高周波電源装置およびプラズマ着火方法
US9544987B2 (en) * 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
US9627186B2 (en) 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
EP3029711B1 (en) * 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
EP3091559A1 (en) * 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
DE102015220847A1 (de) * 2015-10-26 2017-04-27 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Impedanzanpassung einer Last an die Ausgangsimpedanz eines Leistungsgenerators und Impedanzanpassungsanordnung
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6378234B2 (ja) * 2016-03-22 2018-08-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9748076B1 (en) 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
US10009028B2 (en) 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
US10879044B2 (en) * 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
CN114666965A (zh) 2017-06-27 2022-06-24 佳能安内华股份有限公司 等离子体处理装置
PL3648550T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
PL3648554T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
EP3648552B1 (en) * 2017-06-27 2022-04-13 Canon Anelva Corporation Plasma treatment device
US10020168B1 (en) * 2017-07-20 2018-07-10 Lam Research Corporation Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
CN110998820B (zh) * 2017-08-17 2023-10-20 东京毅力科创株式会社 用于实时感测工业制造设备中的属性的装置和方法
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US20190108976A1 (en) * 2017-10-11 2019-04-11 Advanced Energy Industries, Inc. Matched source impedance driving system and method of operating the same
KR102644960B1 (ko) 2017-11-29 2024-03-07 코멧 테크놀로지스 유에스에이, 인크. 임피던스 매칭 네트워크 제어를 위한 리튜닝
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US10224183B1 (en) 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
US10304660B1 (en) 2018-03-21 2019-05-28 Lam Research Corporation Multi-level pulsing of DC and RF signals
WO2020003557A1 (ja) 2018-06-26 2020-01-02 キヤノンアネルバ株式会社 プラズマ処理装置、プラズマ処理方法、プログラムおよびメモリ媒体
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
CN112585715B (zh) 2018-08-17 2024-04-26 朗姆研究公司 在衬底处理系统中用于无匹配式等离子体源的直接频率调谐
CN111293022B (zh) * 2018-12-07 2023-01-24 中微半导体设备(上海)股份有限公司 脉冲射频等离子体的阻抗匹配方法和装置
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11315757B2 (en) * 2019-08-13 2022-04-26 Mks Instruments, Inc. Method and apparatus to enhance sheath formation, evolution and pulse to pulse stability in RF powered plasma applications
US11545341B2 (en) 2019-10-02 2023-01-03 Samsung Electronics Co., Ltd. Plasma etching method and semiconductor device fabrication method including the same
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
TW202226319A (zh) * 2020-08-31 2022-07-01 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
WO2024006675A1 (en) * 2022-06-27 2024-01-04 Lam Research Corporation Systems and methods for calibrating rf generators in a simultaneous manner
WO2024091857A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Systems and methods for fast control of impedance associated with an output of a plasma source

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200520012A (en) * 2003-08-22 2005-06-16 Lam Res Corp Multiple frequency plasma etch reactor
TW200952567A (en) * 2008-03-31 2009-12-16 Tokyo Electron Ltd Plasma processing apparatus, plasma processing method, and computer readable storage medium
TW201038143A (en) * 2008-12-02 2010-10-16 Tokyo Electron Ltd Plasma processing apparatus and operation method of the same
US20110137446A1 (en) * 2009-11-19 2011-06-09 Valcore Jr John C Plasma processing system control based on rf voltage
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8040068B2 (en) * 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system

Family Cites Families (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
AU725421B2 (en) * 1996-11-08 2000-10-12 It Group, Inc, The Groundwater recovery system
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
JPH10312899A (ja) * 1997-05-15 1998-11-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
JP2001516954A (ja) * 1997-09-17 2001-10-02 東京エレクトロン株式会社 電気インピーダンス整合システムとその方法
JP4340348B2 (ja) * 1998-01-22 2009-10-07 株式会社日立国際電気 プラズマ生成装置
US6020794A (en) * 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
WO1999063585A1 (fr) 1998-06-02 1999-12-09 Nikon Corporation Organe d'alignement de balayage, son procede de fabrication et procede de fabrication de dispositif
US6406590B1 (en) * 1998-09-08 2002-06-18 Sharp Kaubushiki Kaisha Method and apparatus for surface treatment using plasma
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP4270872B2 (ja) 2001-03-16 2009-06-03 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6459067B1 (en) * 2001-04-06 2002-10-01 Eni Technology, Inc. Pulsing intelligent RF modulation controller
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
CN1305353C (zh) 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
TWI259546B (en) 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
WO2004040629A1 (ja) * 2002-10-29 2004-05-13 Mitsubishi Heavy Industries, Ltd. プラズマ化学蒸着装置における高周波プラズマの大面積均一化方法及び装置
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
JP4739793B2 (ja) * 2005-03-31 2011-08-03 株式会社ダイヘン 高周波電源装置
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
JP4593413B2 (ja) * 2005-09-15 2010-12-08 株式会社日立ハイテクノロジーズ プラズマ処理方法及び処理装置
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5426811B2 (ja) * 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
DK2599506T3 (en) 2007-11-06 2018-10-08 Creo Medical Ltd Microwave Plasma Masterization Applicator
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010258605A (ja) * 2009-04-22 2010-11-11 Japan Radio Co Ltd パルスディテクタ
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US9907908B2 (en) 2011-03-08 2018-03-06 Baxter International Inc. Non-invasive radio frequency medical fluid level and volume detection system and method
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9514959B2 (en) 2012-10-30 2016-12-06 American Air Liquide, Inc. Fluorocarbon molecules for high aspect ratio oxide etch
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200520012A (en) * 2003-08-22 2005-06-16 Lam Res Corp Multiple frequency plasma etch reactor
TW200952567A (en) * 2008-03-31 2009-12-16 Tokyo Electron Ltd Plasma processing apparatus, plasma processing method, and computer readable storage medium
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
TW201038143A (en) * 2008-12-02 2010-10-16 Tokyo Electron Ltd Plasma processing apparatus and operation method of the same
US8040068B2 (en) * 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US20110137446A1 (en) * 2009-11-19 2011-06-09 Valcore Jr John C Plasma processing system control based on rf voltage

Also Published As

Publication number Publication date
SG193113A1 (en) 2013-09-30
CN103295866A (zh) 2013-09-11
CN105742151A (zh) 2016-07-06
US9607810B2 (en) 2017-03-28
CN103295866B (zh) 2016-06-08
US9171699B2 (en) 2015-10-27
TWI593321B (zh) 2017-07-21
US20170178864A1 (en) 2017-06-22
CN105742151B (zh) 2019-02-22
JP6400272B2 (ja) 2018-10-03
US20160005573A1 (en) 2016-01-07
US9960015B2 (en) 2018-05-01
TW201725940A (zh) 2017-07-16
KR20130096679A (ko) 2013-08-30
US20130214683A1 (en) 2013-08-22
TW201352076A (zh) 2013-12-16
JP2013179047A (ja) 2013-09-09

Similar Documents

Publication Publication Date Title
TWI612855B (zh) 利用基於阻抗之電力及頻率調整的電漿處理方法
KR102130921B1 (ko) 전력 및 주파수의 상태 기반 조절
US11361942B2 (en) Adjustment of power and frequency based on three or more states
TWI613697B (zh) 用於降低射頻訊號功率位準變動對電漿阻抗的影響之系統和方法
TWI599272B (zh) 根據三個或更多狀態之功率及頻率調整
JP2013179047A5 (zh)
KR20160050396A (ko) 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
JP2014089945A5 (zh)
US9155182B2 (en) Tuning a parameter associated with plasma impedance
KR20230142615A (ko) 전원 공급 장치 및 플라즈마 시스템

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees