JP7305095B2 - プラズマ性能を制御するための方法及びシステム - Google Patents

プラズマ性能を制御するための方法及びシステム Download PDF

Info

Publication number
JP7305095B2
JP7305095B2 JP2020561568A JP2020561568A JP7305095B2 JP 7305095 B2 JP7305095 B2 JP 7305095B2 JP 2020561568 A JP2020561568 A JP 2020561568A JP 2020561568 A JP2020561568 A JP 2020561568A JP 7305095 B2 JP7305095 B2 JP 7305095B2
Authority
JP
Japan
Prior art keywords
power
plasma
parameters
measuring
plasma chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020561568A
Other languages
English (en)
Other versions
JP2021511684A (ja
Inventor
ファン,メリット
ドッペル,ミーガン
和基 茂山
デュボーズ,チェルシー
モーゼス,ジャスティン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2021511684A publication Critical patent/JP2021511684A/ja
Application granted granted Critical
Publication of JP7305095B2 publication Critical patent/JP7305095B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32954Electron temperature measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

関連出願の相互参照
本出願は、「METHODS AND SYSTEMS FOR CONTROLLING PLASMA PERFORMANCE」と題された2018年1月25日に出願された米国特許出願第15/880,435号明細書の利益を主張するものであり、該特許出願はその全体が参照により本明細書に組み込まれる。
本発明は、基板処理のためのシステム及び方法に関し、より具体的には、プラズマ性能を制御するための方法及びシステムに関する。
プラズマ処理システムは、基板をエッチングして微小電子又は微小機械デバイスを形成するために使用される。プラズマ処理システムは、プラズマ処理チャンバ内でガスをイオン化することによって、プラズマを生成する。イオン化は、ガスを電磁エネルギーに曝すことによって行われる。従来のハードウェア及び処理技術では、基板全体に渡るイオン又はプラズマ密度の不均一な分布を招くことがある。更に、従来のシステムは、特定の処理条件の下では又は特定のプラズマガスを用いた場合に、十分なプラズマ密度を提供しないことがある。
プラズマ安定性は、プラズマの分布均一性及びプラズマ密度プロファイルを維持するための一要因である。従来のハードウェア及び処理技術では、プラズマを安定させる応答制御のための十分なメカニズムを提供しない。プラズマ安定性の問題は、特定のエッチングガス化学作用を使用すると、特定のシステムアーキテクチャにおいて、又は特定の用途において、悪化することがある。従来のシステムは、そのような状況において一貫して安定したプラズマ場を提供するのには装備が不十分である。その結果、製品の品質及びスループットが損なわれることがある。
プラズマ性能を制御するための方法及びシステムの実施形態について説明する。一実施形態では、基板を処理するためのシステムにおいてプラズマ性能を制御する方法は、プラズマチャンバに第1の電力パラメータの組での電力を供給することを含むことがある。更に、この方法は第1の電力パラメータの組を使用して、プラズマチャンバ内部でプラズマを形成することを含むことがある。またこの方法は、第1の電力パラメータの組でのプラズマへの電力結合を測定することを含むこともある。また、この方法は、プラズマチャンバに第2の電力パラメータの組での電力を供給することを含むこともある。更にこの方法は、プラズマへの第2の電力パラメータの組でのプラズマへの電力結合を測定することを含むことがある。またこの方法は、第2の電力パラメータの組での電力結合の測定に少なくとも部分的に基づいて、第1の電力パラメータの組を調節することを含むこともある。
基板を処理するためのシステムの一実施形態は、プラズマチャンバに第1の電力パラメータの組での電力を供給するようになっている第1の電源と、プラズマチャンバに第2の電力パラメータの組での電力を供給するようになっている第2の電源と、測定デバイスと、を含むことがある。この測定デバイスは、第1の電力パラメータの組での供給電力について測定し、且つ第2の電力パラメータの組での電力について測定するようになっていることがある。このシステムはまた、プラズマ応答が所望の応答条件を満足したとの決定に応答して、第1の電源を第2の電力パラメータの組で動作させるように調節するようになっている電源コントローラを含むこともある。
基板を処理するためのシステムの別の実施形態は、基板を処理するためのプラズマを収容するようになっているプラズマチャンバを含むことがある。またこのシステムは、第1の電力パラメータの組での電力、及び第2の電力パラメータの組での電力をプラズマチャンバに供給するようになっている電源を含むこともある。更に、このシステムは、電力を電源からプラズマチャンバに伝達するようになっている電力伝送システムを含むことがある。1つ又は複数の測定デバイスが、プラズマへの電力結合を測定するために電力伝送システムに結合されることがある。またこのシステムは、第2の電力パラメータの組での電力結合の測定に少なくとも部分的に基づいて、第1の電力パラメータの組を調節するようになっている電源コントローラを含むこともある。
添付図面は、本明細書に組み込まれ、その一部を成し、本発明の実施形態を図解し、上記の本発明の概要説明、並びに下記の詳細説明とともに、本発明を説明する役割を果たす。
プラズマエッチングシステムの一実施形態を示す。 プラズマ性能を制御するためのシステムの一実施形態を示す。 プラズマ性能を制御するためのシステムの一実施形態を示す。 プラズマ性能を制御するためのシステムの一実施形態を示す。 プラズマ性能を制御するためのシステムの一実施形態を示す。 プラズマ性能を制御するためのシステムの一実施形態を示す。 電源に関連付けられたキャビティ内で共振エネルギーを測定するための装置の一実施形態を示す。 プラズマ性能を制御するための方法の一実施形態を示す。 プラズマ点火を制御するための方法の一実施形態を示す。 プラズマ性能を制御するための方法の一実施形態を示す。 プラズマ性能を制御するための制御システムを示す図である。 センサの信号レベルに対する電力の振幅及び周波数の変動の影響を示す図である。
プラズマ性能を制御するための方法及びシステムを提示する。しかしながら、当業者であれば理解されるように、様々な実施形態が、以下の具体的詳細のうちの1つ以上がなくても、或いは、他の代替且つ/又は追加の方法、材料、又は構成要素により、実施されてよい。他の例では、よく知られている構造、材料、又は動作が詳しく図示又は説明されていないが、これは、本発明の様々な実施形態の態様が曖昧にならないようにする為である。
同様に、具体的な数、材料、及び構成についての言及も、本発明の十分な理解が得られるように、説明を目的としたものである。しかしながら、本発明は、具体的詳細がなくても実施可能である。更に、当然のことながら、図面に示された各種実施形態は説明的な表現であって、必ずしも正確な縮尺で描かれているわけではない。図面を参照するにあたっては、類似の参照符号は、図面全体を通して類似の要素を参照している。
本明細書を通しての「一実施形態(one embodiment)」又は「一実施形態(an embodiment)」又はその変形形態への参照は、その実施形態に関連して説明された特定の特徴、構造、材料、又は特性が、本発明の少なくとも1つの実施形態に含まれることを意味するが、それらがあらゆる実施形態に存在することを意味するわけではない。従って、本明細書を通しての様々な場所における「一実施形態では(in one embodiment)」又は「一実施形態では(in an embodiment)」等の語句の出現は、必ずしも本発明の同じ実施形態を参照しているわけではない。更に、特定の特徴、構造、材料、又は特性は、1つ以上の実施形態において任意の適切な様式で組み合わされてよい。別の実施形態では、様々な追加の層及び/又は構造が含まれてよく、且つ/又は、説明された特徴が省略されてよい。
更に、当然のことながら、「1つの(a)」又は「1つの(an)」は、明示的に別段の定めがない限り、「1つ以上の」を意味してよい。
様々な動作が、複数の別個の動作として順番に説明されるが、これは、本発明を最も理解しやすい順番である。しかしながら、説明の順序は、それらの操作が必ず順序依存であることを示唆するものとして解釈されるべきではない。具体的には、これらの操作は、説明された順序で実施される必要がない。説明された操作は、説明された実施形態と異なる順序で実施されてよい。別の実施形態では、様々な追加操作が実施されてよく、且つ/又は説明された操作が省略されてよい。
本明細書では「基板」という用語は、その上に材料が形成されるベース材料又はベース構造を意味し、且つ包含する。基板は、単一材料、様々な材料の複数の層、様々な材料又は様々な構造の領域を有する層などを含み得るということが理解されることになる。これらの材料は半導体、絶縁体、導体又はそれらの組合せを含み得る。例えば、基板は、半導体基板、支持構造上のベース半導体層、金属電極、又は、1つ以上の層、構造、若しくは領域がその上に形成された半導体基板であってよい。基板は、半導体材料の層を含む、従来のシリコン基板又は他のバルク基板であってよい。本明細書では「バルク基板」という用語は、シリコンウェーハだけでなく、シリコンオンインシュレータ(「SOI」)基板(例えば、シリコンオンサファイア(「SOS」)基板やシリコンオンガラス(「SOG」)基板)、ベース半導体を土台とした、シリコンのエピタキシャル層、及び他の半導体材料又は光電子材料(例えば、シリコンゲルマニウム、ゲルマニウム、ガリウムひ素、窒化ガリウム、及びリン化インジウム)も意味し、且つ包含する。基板は、ドープされていても、されていなくてもよい。
以下では図面を参照するが、図面では、類似の参照符号は、複数の図面を通して同一の要素又は対応する要素を示す。
図1は、プラズマエッチングのためのシステム100の一実施形態である。一実施形態では、システム100は、基板ホルダー120を有する処理チャンバ110を含み、基板ホルダー120の上には処理されることになるウェハ125が取り付けられ、またこのシステム100は、真空ポンプシステム150を含む。ウェハ125は、半導体基板、ウェハ、フラットパネルディスプレイ、又は液晶ディスプレイであり得る。処理チャンバ110は、ウェハ125の表面の近傍にある処理領域145のエッチングを容易にするようになっていることがある。イオン化可能ガス又はプロセスガス混合物が、ガス分配システム140を介して持ち込まれる。プロセスガスの所与の流れに対して、真空ポンプシステム150を使用してプロセス圧力が調節される。
ウェハ125は、機械式締付システム又は電気式締付システム(例えば、静電気締付システム)などの締付システム(図示せず)を介して、基板ホルダー120に取り付けられることがある。更に、基板ホルダー120は、基板ホルダー120及びウェハ125の温度を調節且つ/又は制御するようになっている加熱システム(図示せず)又は冷却システム(図示せず)を含むことがある。加熱システム又は冷却システムは、冷却時に基板ホルダー120から熱を受け取って熱を熱交換器システム(図示せず)に伝達するか、又は加熱時に熱交換器システムから基板ホルダー120に熱を伝達する、熱伝達流体の再循環流を含むことがある。他の実施形態では、抵抗加熱素子などの加熱/冷却素子、又は熱電加熱器/冷却器が、基板ホルダー120、並びに処理チャンバ110のチャンバ壁、及び処理システム100内部の任意の他の部品内に含まれることがある。
更に、ウェハ125と基板ホルダー120との間のガスギャップ熱伝導性を改善するために、背面ガス供給システム126を介して、ウェハ125の背面に熱伝達ガスを送ることがある。高温又は低温でのウェハ125の温度制御が必要になる場合に、そのようなシステムを利用することができる。例えば、背面ガス供給システムは、二領域ガス分配システムを含むことがあり、ウェハ125の中央と縁部との間でヘリウムガスキャップ圧を独立して変化させることができる。
図1に示した実施形態では、基板ホルダー120は電極122を含むことがあり、この電極122を通じて、RF電力が処理領域145に結合される。例えば、基板ホルダー120は、RF生成器130からオプションのインピーダンス整合ネットワーク132を通って基板ホルダー120へ至るRF電力の伝送を介して、RF電圧において電気的にバイアスされることがある。このRF電気バイアスは、電子を加熱してプラズマを形成し維持するように働くことができる。この構成では、システム100はRIEリアクターとして動作することができ、チャンバ及び上側ガス注入電極は接地面として機能する。
更に、RF電圧における電極122の電気的バイアスは、パルス化バイアス信号コントローラ131を使用してパルス化されることがある。RF生成器130から出力されるRF電力を、例えば、オフ状態とオン状態との間でパルス化することができる。或いは、RF電力は、複数の周波数で基板ホルダー電極に印加される。更に、インピーダンス整合ネットワーク132は、反射電力を低減することにより、プラズマ処理チャンバ110内でのRF電力のプラズマへの伝達を向上させることができる。整合ネットワークトポロジー(例えば、Lタイプ、πタイプ、Tタイプ等)及び自動制御方式が、当業者にはよく知られている。
ガス分配システム140は、プロセスガスの混合物を導入するために、シャワーヘッド設計を含むことがある。或いは、ガス分配システム140は、プロセスガスの混合物を導入し、ウェハ125上でのこのプロセスガスの混合物の分布を調節するために、マルチゾーン・シャワーヘッド設計を含むことがある。例えば、マルチゾーン・シャワーヘッド設計は、ウェハ125の上方の実質的に中央の領域へのプロセスガスの流れ又は配合の量に対して、ウェハ125の上方の実質的に辺縁の領域へのプロセスガスの流れ又は配合を調節するようになっていることがある。そのような実施形態では、ガスを、チャンバ110内に非常に均一なプラズマを形成するのに適した組み合わせで適量ずつ供給することがある。
真空ポンプシステム150は、最大で毎秒約8000リットル(及びそれ以上)の排気速度が出せるターボ分子真空ポンプ(TMP)と、チャンバ圧力を抑制するための仕切弁と、を含むことがある。ドライプラズマエッチングに利用される従来のプラズマ処理装置では、毎秒800~3000リットルのTMPを使用することができる。TMPは、典型的には約50mTorr未満の低圧処理に対して有用である。高圧処理(即ち、約80mTorrを上回る)に対しては、機械式ブースターポンプ及びドライ粗引きポンプを使用することができる。更に、チャンバ圧力を監視するためのデバイス(図示せず)が、プラズマ処理チャンバ110に結合されていることがある。
一実施形態では、ソースコントローラ155は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを含むことがあり、これらは、処理システム100と通信し入力をアクティブにし、加えてプラズマ処理システム100からの出力を監視する、のに十分な制御電圧を生成することができる。更に、ソースコントローラ155は、RF生成器130、パルス化バイアス信号コントローラ131、インピーダンス整合ネットワーク132、ガス分配システム140、ガス供給190、真空ポンプシステム150、並びに基板加熱/冷却システム(図示せず)、背面ガス供給システム126、及び/又は基板ホルダー120、と結合し、これらと情報を交換することができる。例えば、ウェハ125に対して、プラズマエッチング処理又は後熱処理プロセスなどのプラズマ補助プロセスを実行するために、メモリに格納されたプログラムを利用して、プロセスレシピに従って処理システム100の前述の部品への入力をアクティブにすることができる。
更に、処理システム100は、RF電力をRF生成器172からオプションのインピーダンス整合ネットワーク174を介して結合することができる上側電極170を、更に含むことがある。一実施形態では、上側電極にRF電力を印加する周波数は、約0.1MHz~約200MHzの範囲であり得る。或いは、本実施形態は、誘導結合プラズマ(ICP)ソース、容量結合プラズマ(CCP)ソース、GHz周波数範囲で動作するようになっているラジアルラインスロットアンテナ(RLSA)ソース、GHz未満からGHzの範囲で動作するようになっている電子サイクロトロン共鳴(ECR)ソース、及びその他に関連づけて使用されることがある。更に、下側電極に電力を印加する周波数は、約0.1MHz~約80MHzの範囲であり得る。更に、ソースコントローラ155は、上側電極170へのRF電力の印加を制御するために、RF生成器172及びインピーダンス整合ネットワーク174に結合される。上側電極の設計及び実装については、当業者には良く知られている。上側電極170及びガス分配システム140は、図示するように、同じチャンバアセンブリの内部に設計されることがある。或いは、上側電極170は、ウェハ125の上方のプラズマに結合されるRF電力の分布を調節するための、マルチゾーン電極設計を含むことがある。例えば、上側電極170は、中央電極と端部電極に分けられることがある。
用途に応じて、センサ又は計測デバイスなどの追加のデバイスを、処理チャンバ110とソースコントローラ155に結合して、リアルタイムデータを収集し、そのようなリアルタイムデータを使用して、集積方式の堆積プロセス、RIEプロセス、プルプロセス、プロファイル改良プロセス、加熱処理プロセス、及び/又はパターン転写プロセスを含む2つ以上のステップにおいて、2つ以上の選択される集積動作変数を同時に制御することができる。更に、同じデータを使用して、後熱処理の完了、パターン形成均一性(均一性)、構造のプルダウン(プルダウン)、構造のスリミング(スリミング)、構造のアスペクト比(アスペクト比)、ライン幅ラフネス、基板スループット、所有コスト等を含む集積ターゲットを確実に達成できる。そのようなセンサの実施形態については、以下の図を参照して説明する。
印加される電力を変調することにより、通常、パルス周波数及びデューティ比の変化により、連続波(CW)で生成されるプラズマ特性とは著しく異なるプラズマ特性を得ることが可能になる。その結果、電極のRF電力の変調により、時間平均されたイオン束及びイオンエネルギーを制御することができる。
別の実施形態では、プラズマチャンバ110は、縁部ソース176を含んで、プラズマチャンバ110の側壁の付近に電力を供給することがある。この実施形態では、縁部ソース176は、プラズマチャンバ110の周りに延び、側壁に組み込まれている。縁部ソース176を上側電極170と共に使用して、プラズマチャンバ110に電力を供給することができ、或いは、縁部ソース176を他の電源無しで使用して、プラズマチャンバ110内部にプラズマを生成することができる。
図2は、プラズマ性能を制御するためのシステム200の一実施形態を示す。一実施形態では、システム200は、図1で図示したプラズマエッチング用のシステム100と一体的に実装されることがある。例えば、システム200の態様は、ソースコントローラ155内に実装されることがある。更に、システム200はプラズマチャンバ110に結合されることがある。一実施形態では、プラズマソースコントローラは、ソースコントローラ155内に実装されることがある。或いは、システム200の態様は、システム100とは別個のアドオンの、又は追加の特徴部として実装されることがある。
一実施形態では、システム200は第1の電源202及び第2の電源204を含むことがある。一実施形態では、第1の電源202及び第2の電源204は、RF発電機であり得る。更なる実施形態では、第1の電源202及び第2の電源204は、可変発電機であり得る。様々な実施形態では、供給電力の振幅、周波数、位相、又は他の態様は、システム要件及びプラズマ性能に従って変化することがある。
第1の電源202は主電源であることがあり、これは、プラズマ点火用の電力を供給し、プラズマ性能を維持するようになっている。一実施形態では、第2の電源204は、例えば振幅、周波数、位相、又は他の態様を含む1つ又は複数の可変の電力特性の変化に対するプラズマの応答を試験するための断続的な試験期間において電力を供給することがある。第1の電源202と第2の電源204との切り替えに使用される動作及びアルゴリズムの更なる詳細について、以下で説明する。
システム200は、プラズマチャンバ110に供給される電力の1つ又は複数の態様、プラズマチャンバ110に供給される電力の変化に対するプラズマチャンバ110内のプラズマの応答、又はその両方、を測定するようになっている、1つ又は複数の測定デバイス206も含むことがある。
システム200は、電源コントローラ208を更に含むことがある。一実施形態では、電源コントローラ208は、第1の電源202及び第2の電源204の電力出力設定を制御することがある。更に、電源コントローラ208は、プラズマチャンバ110に供給される第1の電源202の電力出力と第2の電源204の電力出力との切り替えを制御することがある。
一実施形態では、第1の電源202は、第1の電力パラメータの組での電力をプラズマチャンバに供給するようになっていることがある。第2の電源204は、試験期間中に第2の電力パラメータの組での電力をプラズマチャンバに供給するようになっていることがある。測定デバイスは、第1の電力パラメータの組での電力供給に対するプラズマ応答を測定し、且つ、試験期間中のプラズマ応答を測定するようになっていることがある。一実施形態では、電源コントローラ208は、試験期間中のプラズマ応答が、第1の電力パラメータの組に対するプラズマ応答に比べて改善されているとの決定に応答して、第1の電力パラメータの組を、第2の電力パラメータの組と一致するように調節するようになっていることがあり、この決定は、1つ又は複数のターゲット処理目的に従って行われる。
図3は、プラズマ性能を制御するためのシステム300の更なる実施形態を示す。一実施形態では、システム300は信号RFソース302を含むことがある。一実施形態では、信号RFソース302は、図2に関連して説明した第1の電源202及び第2の電源204を含むことがある。別の実施形態では、信号RFソース302は、第1の出力に第1の電力パラメータの組での電力を供給し、第2の出力に第2の電力パラメータの組での電力を供給することができる、多出力ソースを含むことがある。ミキサ304、又は他の切替装置は、振幅、周波数、位相等を含む1つ又は複数の電力パラメータの変化に対するプラズマ応答を試験するために、第1の電力出力と第2の電力出力とを切り替えることができる。
一実施形態では、切り替えられた電力信号が、電力増幅器306に供給されることがある。電力増幅器は、電力信号を、プラズマチャンバ110内のプラズマ場を維持するのに適したレベルにまで増幅することができる。電力サンプリングセンサ320は、電力増幅器306によって供給された電力をサンプリングすることができる。例えば、電力サンプリングセンサ320は、順方向電力を測定することがある。別の実施形態では、電力サンプリングセンサ320は、反射電力を測定することがある。当業者であれば、マルチポイントセンサによって代替の複数のポイントを測定することができ、各ポイントは既知の距離だけ離れていることを、理解するであろう。
一実施形態では、電力伝達カップリング310が、機械式チューニングデバイス312に結合されて、プラズマチャンバ110に供給される電力に対する調節可能な機械的チューニングを提供することがある。機械式チューニングデバイス312は、プラズマチャンバ110に供給される電力の電気的特性の機械的な調節をもたらすことができる。機械式チューニングデバイスの実施形態は、調節可能な導波管スタブを含み、スタブの長さは、電力の電気的特性を変更するように調節される。代替的な実施形態は、導波管に調節可能なスリット又はアイリスを含み、これらは、プラズマチャンバ110に供給される電力の電気的特性を変更するように調節されることがある。
一実施形態では、キャビティ314が、電力伝達カップリング310に結合されることがある。電磁(EM)センサ324は、キャビティ314内の電磁エネルギーを測定するようになっていることがある。当業者であれば、本実施形態に関連して使用することができる様々なEMセンサ324を認識するであろう。スリット316又は開口部が、プラズマチャンバ110に結合された誘電体318にキャビティ314をつなげることがある。スリット316を通じて放出されたエネルギーは、プラズマチャンバ110内部の電磁放射の均等な分布に向けて、誘電体318全体に渡って分散することがある。一実施形態では、フォトダイオードセンサなどの光学センサ326が、プラズマチャンバ110内部のプラズマの強度を検出するために誘電体318に結合されることがある。代替的な実施形態では、光学センサ326は、プラズマチャンバ110に直接的に結合されることがある。
一実施形態では、電力増幅器306の出力は、導波管ローンチ(waveguide launch)308への同軸ケーブル(coax)に結合されることがある。当業者であれば、本実施形態と共に使用するのに適していることがある導波管ローンチデバイス308への様々な同軸ケーブルを認識するであろう。一実施形態では、導波管ローンチ308への同軸ケーブルは、導波管デバイスを含むことがある電力伝達カップリング310に結合されることがある。一実施形態では、3ポイントセンサ322などのマルチポイントセンサは、プラズマチャンバ110に電力を供給するようになっている電源302とプラズマチャンバ110との間に結合された導波管内に形成される定在波を測定することができる。例えば、3ポイントセンサ322は、定在波の3つのポイントで導波管の電力を測定することができ、各ポイントは既知の距離だけ離れている。特定の実施形態では、この既知の距離は、定在波の波長の8分の1(1/8)であり得る。
そのような実施形態では、電力サンプリングセンサ320、3ポイントセンサ322、EMセンサ324、及び/又は光学センサ326のうちの1つ又は複数からのフィードバック信号が、電源コントローラ208に提供されることがある。そのような実施形態では、電源コントローラ208は、特定の時間間隔内でプラズマチャンバ110に供給される電力を制御するために、信号RFソース302とミキサ304に動作信号を提供することがある。
当業者であれば、図3のシステムに1つ又は複数の追加の部品を追加して、本明細書で説明する方法及びプロセスの動作を強化できることを認識するであろう。更に、当業者であれば、図3のシステムの1つ又は複数の部品は、システム要件及びハードウェア可用性に応じて、省略できることを認識するであろう。図3の実施形態は、本実施形態と共に使用することができるオプションを例示しているに過ぎず、本発明の範囲を何等かの特定の実施形態に限定することを意図してはいない。
図4は、プラズマ性能を制御するためのシステムの別の実施形態を示す。一実施形態では、このシステムは第1の電源202及び第2の電源204を含む。第1の電源202及び第2の電源204は、2400MHz~2500MHzの可変周波数範囲で動作するようになっていることがある。更に、このシステムは、第1の電源202及び第2の電源204の出力の振幅を調節するための第1の減衰器402及び第2の減衰器404を含むことがある。RFスイッチ406は、第1の電源202と第2の電源204の出力を切り替えるようになっていることがある。電力増幅器306は、直列構成408及び/又は並列構成410に配置された複数の電力増幅器デバイス及び循環デバイスを含むことがある。一実施形態では、電力サンプリングセンサ320は、例えば、順方向電力を検出するための方向性結合器412、又は反射電力を検出するための反射電力センサ414を含むことがある。一実施形態では、反射電力センサ414は、循環装置及び電力抵抗器デバイスを含むことがある。
一実施形態では、図4の回路は、電源コントローラ208に入力するための測定信号を準備するために、二乗平均平方根(RMS)電圧測定デバイス(VRMS)を含むことがある。この実施形態では、順方向VRMS418が、方向性結合器412と電源コントローラ208との間に結合されて、順方向電力を監視することができ、反射VRMS420が、プラズマチャンバ110内部のプラズマソース416における反射電力を監視するためにある。
電源コントローラ208は、アナログ/デジタルコンバータ(ADC)428及び、第1の状態で取得された測定値と第2の状態で取得された測定値との間で切り替えるための1つ又は複数の切替デバイス430及び432を含むことがある。一実施形態では、周波数同調制御ロジック426は、切替デバイス430と432との間の切り替えとRFスイッチ406とを同期させるための切替ロジック424を含むことがある。
更に、電力制御システム434は、図4の例では、第1の電源202及び第2の電源204の出力周波数をそれぞれ制御するための制御信号を提供するようになっている第1の電力制御部440及び第2の電力制御部438を含むことがある。一実施形態では、デジタル/アナログコントローラ(DAC)442は、第1の電源202及び第2の電源204による使用のために、制御信号を変換することがある。周波数同調制御ロジック426及び制御システム434は、本明細書に記載する方法及び機能の例、並びに当業者が認識可能な均等物に従って、プログラム的に構成されることがある。
図5は、プラズマ性能を制御するためのシステムの別の実施形態を示す。図5の実施形態と図4の実施形態との違いの1つは、図5はアップコンバータ502及びダウンコンバータ504を使用して、第1の電源202及び第2の電源501によって生成された信号を混合することである。第1の電源202は、例えば、2400~2500MHzの範囲内の周波数で電力信号を生成できる。当業者であれば、様々な用途に、又は様々なエッチング化学作用に適切であり得る代替的な周波数範囲を認識するであろう。第2の電源501は、第1の電源202によって生成された一次信号からのオフセットを伴うサイドバンド信号を生成するようになっていることがある。一実施形態では、サイドバンド信号のオフセットは、0~50MHzの範囲内であり得る。従って、一例では、第1の電源が2450MHzの周波数で一次信号を生成する場合、第2の電源は、2450MHzの中心周波数から+/-50MHzの範囲である2400~2500MHzの範囲内のサイドバンド周波数を生成することがある。
更なる実施形態では、アップコンバータ502によって第1の電源202からの一次信号とサイドバンド信号とを混合しても、第1の電源202の周波数変化の測定又は試験のために関心がもたれる程度を除いて、プラズマ性能に干渉しないように、減衰器509は、第2の電源501によって生成されたサイドバンド信号を減衰することがある。そのような実施形態では、第2の電源501は、ある範囲の周波数全体に渡って掃引することがあり、ダウンコンバータは、この掃引の範囲に渡ってプラズマの周波数応答を抽出することがある。従って、ある範囲の周波数に渡る周波数応答プロファイルが決定され、制御システム208によってその範囲の中から適切な動作周波数を決定することができる。そのような実施形態では、アップコンバータ502及びダウンコンバータ504が、RFスイッチ406並びに切替デバイス430及び432の代わりをすることがある。ロジック426は、アップコンバータ502及びダウンコンバータ504、並びにコンバータデバイス506及び508に制御信号を提供することができる。アップコンバータ502の例示的な設計、即ちアップコンバータ設計510が、図5にも図示されており、I信号及びQ信号を使用し、且つ周波数及び位相を調節してサイドバンドを制御するようになっている回路設計が示されている。これに呼応して、ダウンコンバータ504は、測定信号を抽出する逆の動作をする。第1の信号と第2の信号との混合及びプラズマ応答の抽出の物理的実装は、プラズマをパルス化し、パルス化された波形の時間位置における同調情報の抽出を可能にする、任意の波形をもたらす複合波形を用いて行われ得る。
図6は、プラズマ性能を制御するためのシステムの一実施形態のブロック図であり、システム部品の物理的な配置の1つを示す。一実施形態では、導波管ローンチ308への同軸ケーブルは、図示するように電力伝達カップリング310に結合されることがある。一実施形態では、光学センサ326は、プラズマチャンバ110に結合されて、プラズマチャンバ110内に形成されるプラズマとの見通し線を可能にすることがある。一実施形態では、3ポイントセンサ322は、電力伝達カップリング310に結合されることがある。
機械式チューニングデバイス312は、調節可能な導波管スタブ、調節可能なスリット、調節可能なアイリス等を含むことがある。一実施形態では、機械式チューニングデバイス312は、単一のチューニング部品を含むことがある。或いは、機械式チューニングデバイス312は、複数のチューニング部品を含むことがある。
一実施形態では、電磁センサ324は、E場ピックアップデバイスを含むことがある。そのような実施形態では、電磁センサ324はキャビティ314における全電力を測定することがある。
図7は、プラズマ性能を制御するためのシステムにおいて測定された反射電力に対応して収集されたSパラメータデータのグラフ表現である。図7の例では、周波数が、2.4GHz~2.5GHzの範囲に渡って掃引された。反射電力は、曲線702で表わされるようにプラズマの点火の前と、曲線704で表わされるように半導体処理中のプラズマの点火の後で、測定された。プラズマ点火中、706で示されるように、反射電力の急激な低下が2.47GHzの周辺で観察されることがあり、これは、プラズマガスの電力結合の主周波数に相当する。処理中、708で示すように、急激な低下の周波数は約2.455GHzにシフトする。観察されるように、710で示すように、別の急激な低下が2.46GHzで発生する。一実施形態では、周波数を安定させるために掃引の範囲712を2.445GHz~2.455GHzの領域に限定して、第1の電源202の動作周波数が710で示す周波数での誤ったヌルにシフトするのを回避することが望ましいことがある。
そのような実施形態では、第1の電源202の動作周波数は、反射電力の急激な増加をもたらす可能性が低い周波数で選択されることがあり、反射電力の急激な増加は、プラズマの明滅又はプラズマの消失などのプラズマの不安定性を引き起こすことがある。測定された反射電力は、それぞれの所与の周波数におけるプラズマの電力結合と直接的に相関している。
図8は、本実施形態による、プラズマ性能を制御するための方法800の一実施形態を示す。一実施形態では、基板を処理するためのシステムにおけるプラズマ性能を制御する方法800は、ブロック802で示すように、プラズマチャンバに第1の電力パラメータの組での電力を供給することを含むことがある。更に、ブロック804では、方法800は第1の電力パラメータの組を使用してプラズマチャンバ内部にプラズマを形成することを含むことがある。方法800は、ブロック806に示すように、第1の電力パラメータの組でのプラズマへの電力結合を測定することを含むこともある。また、ブロック808では、方法800はプラズマチャンバに第2の電力パラメータの組での電力を供給することを含むことがある。ブロック810では、方法800は更に、プラズマへの第2の電力パラメータの組でのプラズマへの電力結合を測定することを含むことがある。またこの方法は、第2の電力パラメータの組での電力結合の測定に少なくとも部分的に基づいて、第1の電力パラメータの組を調節することを含むこともある。
図9は、プラズマチャンバ110内でプラズマに点火するための方法900の一実施形態を示す。ブロック902では、方法900は、プラズマチャンバ内でプラズマを生成するように配置された1つ又は複数の電力伝達素子を有するプラズマチャンバ内の基板ホルダー上で基板を受け取ることを含む。ブロック904では、方法900は、振幅、周波数、位相、及び変調を含む電力特性の所定の初期条件によって設定される第1の電力レベルか又は低レベルで、各電力伝達素子の電磁エネルギーを生成することを含む。更に、機械的及び電気的なチューニング設定を行うことがある。
ブロック906は、各伝達素子のインピーダンスの特性を測定することを含み、これには、順方向電力及び反射電力の信号波形、キャビティ電圧、導波管反射係数、位相、順方向電力及び反射電力、並びに光信号が含まれる。ブロック908では、所定の点火信号特性が満たされたかどうかについて決定がなされる。そうである場合、プラズマ点火が行われ、ブロック910では、各電力伝達素子を用いたレシピ電磁エネルギーの一次電力設定を使用して、プラズマチャンバ内部でプラズマが生成される。そうでない場合、方法900は、周波数、位相、及び変調などの電力、信号波形パラメータを含む電磁制御を調節することを含む。更に、ブロック912は、プラズマ点火検索モデルシーケンスに基づいて、機械的及び電子的チューニングを調節することを含むことがあり、ブロック906での測定及びブロック908での決定は、点火が発生するまで繰り返される。
図10は、プラズマチャンバ内でプラズマを維持するための方法1000の実施形態を示す。ブロック1002では、方法1000は、信号波形並びに機械的及び/又は電子的チューニング設定を用いて、第1の電力レベルで電磁エネルギーを生成することを含む。方法1000は、ブロック1004に示すように、電力振幅及び信号波形の制御を含む電磁的制御を変化させながら、プローブ電力レベルで電磁エネルギーを混合することも含む。ブロック1006は、各伝達素子のプラズマインピーダンスの特性を測定することを含み、これには、順方向電力及び反射電力の信号波形、キャビティ電圧、導波管反射係数、位相、順方向電力、反射電力、及び光信号が含まれる。ブロック1008では、この方法は、電磁インピーダンス及び/又は光放射に基づいて安定したプラズマを維持するのに必要な変化量を計算することを含む。更なる実施形態では、この方法は不感帯をチェックすること及び適切なチューニング調節量を計算することを含むことがある。
ブロック1010では、安定したプラズマを維持するために制御の変更が必要であるかどうかの決定が行われる。そうである場合、方法1000は、ブロック1012に示すように、電力、信号波形、並びに/又は機械的及び電子的チューニングを含む、電磁制御を調節することを含むことがある。そうでない場合、ブロック1014に示すように、モデルが更新され、次のプローブ設定が計算される。
図11は、プラズマ性能を制御するための制御ループ1100を示す。一実施形態では、制御ループ1100は、反復ループであることがある。この制御ループは、入力値及び事前調節値として規定のレシピ電力1102を受け取ることがある。一実施形態では、プラズマは図9で説明した方法900に従って点火されることがある。電力増幅器306は、プラズマチャンバ110に電力を供給し、それによってセンサ1106によって監視されるプラズマソース1104を生成することがある。1つ又は複数のセンサ1116は、プラズマ応答の1つ又は複数の特性、所定の電力特性を測定することができる。この測定に応答して、信号分配コントローラ1114は、制御ブロック1106に示すように電子チューニング設定を調節することができる。電子チューニング制御の更新は、レシピによって規定されたプラズマ電力制御計画と調和するように行われることがある。次に、ブロック1108で、電力振幅設定が、閾値プラズマ測定値が満たされるまで、繰り返し更新されることがある。
1108の電力振幅レベルと連携して、電子チューニング設定は、ブロック1106で再度更新されることがある。次いで、ブロック1108で2度目の振幅設定の調節が行われることがある。次いで、周波数チューニング設定が、ブロック1110で制御されることがある。ブロック1106における電子チューニング設定及びブロック1108における電力振幅設定を繰り返し制御するシーケンスを繰り返すことができ、次いで、機械的チューニングをブロック1112で繰り返し制御することができ、このプロセスは繰り返されることがある。
図12は、固定された機械的チューニングでの100mTのO2を含むプラズマレシピを用いてプラズマチャンバ内で実施された動作試験からのサンプル試験データを示す。順方向電力1202、反射電力1210、送達電力1204、電力伝達カップリングで検出された電力1208、及び検出されたプラズマ強度1206を含む様々な測定値。電力周波数は、2.444GHz~2.466GHzの範囲に渡って変化させた。図示するように、反射電力1210は約2.458GHzの周波数で低下する。これに呼応して、プラズマ強度1206、電力伝達カップリングでの電力1208、順方向電力1202、及び送達電力1204は、それぞれ2.458GHzか又はその周辺でピークに達する。従って、周波数応答に照らして比較的に優れたプラズマ性能を提供するために、約2.458GHzの周波数が設定されることがある。
曲線1214で示すように、位相角は、反射電力におけるヌルと同じ周波数での対応するシフトを含む。反射電力1210におけるヌルの周波数で、反射係数1216の対応する低下も観察される。
当業者であれば、試験データは、システムの一実施形態において、1つの特定の周波数値の組で実施された試験のみを示すことを認識するであろう。同様に、試験結果は、特定のレシピの応答に限定されることがある。従って、図12に表わされたデータは、本実施形態を限定するものではなく、説明した実施形態の有効性を例示するものである。
当業者であれば、更なる利点や修正が容易に想起されるであろう。従って、本発明は、そのより広い側面において、図示及び記載された特定の詳細、代表的な装置及び方法、並びに説明的事例に限定されない。従って、全般的発明概念の範囲から逸脱しない限り、そのような詳細からの逸脱が行われてよい。

Claims (17)

  1. 基板を処理するためのシステムにおいてプラズマ性能を制御する方法であって、
    プラズマチャンバに第1の電力パラメータの組での電力を供給するステップと、
    前記第1の電力パラメータの組を使用して、前記プラズマチャンバ内部にプラズマを形成するステップと、
    前記第1の電力パラメータの組での前記プラズマへの電力結合を測定するステップと、
    前記プラズマチャンバに第2の電力パラメータの組での電力を供給するステップと、
    前記プラズマへの前記第2の電力パラメータの組での前記プラズマへの電力結合を測定するステップと、
    前記第2の電力パラメータの組での前記電力結合の前記測定に少なくとも部分的に基づいて、前記第1の電力パラメータの組を調節するステップと、を含み、
    前記第2の電力パラメータの組の前記電力結合を測定するステップは、前記プラズマチャンバとの界面において電磁エネルギーを測定するステップを含む、方法。
  2. 前記第2の電力パラメータの組の前記電力結合を測定するステップは、前記プラズマチャンバに電力を供給するようになっている電源における順方向電力を測定するステップを更に含む、請求項1に記載の方法。
  3. 前記第2の電力パラメータの組の前記電力結合を測定するステップは、前記プラズマチャンバに電力を供給するようになっている電源における反射電力を測定するステップを更に含む、請求項1に記載の方法。
  4. 前記第2の電力パラメータの組の前記電力結合を測定するステップは、前記プラズマチャンバに電力を供給するようになっている電源と前記プラズマチャンバとの間に結合されたキャビティ内の共振エネルギーを測定するステップを更に含む、請求項1に記載の方法。
  5. 前記共振エネルギーを測定するステップは、前記キャビティの複数のポイントで測定するステップを更に含み、各ポイントは既知の距離だけ離れている、請求項4に記載の方法。
  6. 前記第2の電力パラメータの組の前記電力結合を測定するステップは、光検出器デバイスで前記プラズマを光学的に測定するステップを更に含む、請求項1に記載の方法。
  7. 前記第2の電力パラメータの組での電力を供給するステップは、第1の電源と第2の電源とを切り替えるステップを更に含み、前記第1の電源は前記第1の電力パラメータの組での電力を供給するようになっており、前記第2の電源は前記第2の電力パラメータの組での電力を供給するようになっている、請求項1に記載の方法。
  8. 前記第2の電力パラメータの組での電力を供給するステップは、前記第2の電力パラメータの組に従って構成されたサイドバンド信号を、前記第1の電力パラメータの組で供給された前記電力と混合するステップを更に含む、請求項1に記載の方法。
  9. 前記サイドバンド信号を混合するステップは、アップコンバータデバイスと、応答信号を抽出するためのダウンコンバータとを使用して実行される、請求項8に記載の方法。
  10. 前記第2の電力パラメータの組は、ある範囲のパラメータ値に渡って可変である、請求項1に記載の方法。
  11. 1つ又は複数の測定デバイスからフィードバックを受け取る制御ループを使用して、前記第2の電力パラメータの組の設定を制御するステップを更に含み、各測定デバイスは前記第2の電力パラメータの組での前記電力結合を測定するようになっている、請求項10に記載の方法。
  12. 前記第1の電力パラメータの組を調節するステップは、カスケード式制御ループプロセスに従って前記第1の電力パラメータの組の複数の構成要素の構成を制御するようになっているカスケード式制御ループによって実施される、請求項1に記載の方法。
  13. 前記第1の電力パラメータの組を調節するステップは、前記プラズマチャンバに供給される電力の周波数を調節するステップを更に含む、請求項1に記載の方法。
  14. 前記第1の電力パラメータの組を調節するステップは、前記プラズマチャンバに供給される電力の大きさを調節するステップを更に含む、請求項1に記載の方法。
  15. 前記第1の電力パラメータの組を調節するステップは、前記プラズマチャンバに電力を供給するようになっている電源に結合された機械式チューニングデバイスを調節するステップを更に含む、請求項1に記載の方法。
  16. 前記第1の電力パラメータの組を調節するステップは、前記プラズマチャンバに電力を供給するようになっている電源に結合された電子電磁エネルギー整合デバイスを調節するステップを更に含む、請求項1に記載の方法。
  17. 基板を処理するためのシステムであって、
    前記基板を処理するためのプラズマを収容するようになっているプラズマチャンバと、
    前記プラズマチャンバに、第1の電力パラメータの組での電力及び第2の電力パラメータの組での電力を供給するようになっている電源と、
    前記電源から前記プラズマチャンバへ前記電力を伝導するようになっている電力伝送システムと、
    前記プラズマへの電力結合を測定するために前記電力伝送システムに結合された1つ又は複数の測定デバイスと、
    前記第2の電力パラメータの組での前記電力結合の前記測定に少なくとも部分的に基づいて、前記第1の電力パラメータの組を調節するようになっている電源コントローラと、
    を含み、
    前記1つ又は複数の測定デバイスは、
    前記電力伝送システムの電力増幅器部品に結合された電力サンプリングセンサであって、反射電力を測定するようになっている電力サンプリングセンサと、
    前記電力伝送システムの電力伝送結合部品に結合されたマルチポイントセンサであって、電力伝送結合の共振電力を測定するようになっているマルチポイントセンサと、
    前記電力伝送システムのキャビティ部品に結合された電磁センサであって、前記プラズマチャンバに供給された電力の強度を測定するようになっている電磁センサと、
    前記プラズマチャンバ内で形成された前記プラズマによって生成された光の強度を測定するようになっている光学センサと、のうちの少なくとも1つを含む、システム。
JP2020561568A 2018-01-25 2019-01-21 プラズマ性能を制御するための方法及びシステム Active JP7305095B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/880,435 US10510512B2 (en) 2018-01-25 2018-01-25 Methods and systems for controlling plasma performance
US15/880,435 2018-01-25
PCT/US2019/014396 WO2019147513A1 (en) 2018-01-25 2019-01-21 Methods and systems for controlling plasma performance

Publications (2)

Publication Number Publication Date
JP2021511684A JP2021511684A (ja) 2021-05-06
JP7305095B2 true JP7305095B2 (ja) 2023-07-10

Family

ID=67300089

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020561568A Active JP7305095B2 (ja) 2018-01-25 2019-01-21 プラズマ性能を制御するための方法及びシステム

Country Status (6)

Country Link
US (1) US10510512B2 (ja)
JP (1) JP7305095B2 (ja)
KR (1) KR20200104340A (ja)
CN (1) CN111630623A (ja)
TW (1) TWI802638B (ja)
WO (1) WO2019147513A1 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11011351B2 (en) * 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US11114286B2 (en) 2019-04-08 2021-09-07 Applied Materials, Inc. In-situ optical chamber surface and process sensor
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11107661B2 (en) * 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11499869B2 (en) * 2019-11-13 2022-11-15 Applied Materials, Inc. Optical wall and process sensor with plasma facing sensor
US12027351B2 (en) 2020-01-10 2024-07-02 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11830708B2 (en) * 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11688584B2 (en) * 2020-04-29 2023-06-27 Advanced Energy Industries, Inc. Programmable ignition profiles for enhanced plasma ignition
US11320470B2 (en) * 2020-07-10 2022-05-03 Dell Products L.P. System and method for channel optimization using via stubs
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009140371A2 (en) 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
JP2013041954A (ja) 2011-08-15 2013-02-28 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2013179047A (ja) 2012-02-22 2013-09-09 Lam Research Corporation インピーダンスに基づいた電力および周波数の調整
US20160111259A1 (en) 2014-10-16 2016-04-21 Advanced Energy Industries, Inc. Noise based frequency tuning and identification of plasma characteristics

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6458251B1 (en) * 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
WO2002075332A1 (en) * 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6920312B1 (en) * 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
US20080003702A1 (en) * 2006-06-28 2008-01-03 Cruse James P Low Power RF Tuning Using Optical and Non-Reflected Power Methods
KR101124419B1 (ko) * 2009-02-18 2012-03-20 포항공과대학교 산학협력단 마이크로파 플라즈마 생성을 위한 휴대용 전력 공급 장치
JP5628507B2 (ja) * 2009-10-20 2014-11-19 東京エレクトロン株式会社 試料台及びマイクロ波プラズマ処理装置
JP2012220359A (ja) * 2011-04-11 2012-11-12 Tokyo Electron Ltd 基板処理装置に用いられるプロセスモニター装置、プロセスモニター方法、および基板処理装置
US9368329B2 (en) * 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
JP6075703B2 (ja) * 2012-07-11 2017-02-08 旭硝子株式会社 Dbdプラズマ設備における基板損傷を防止するための装置及びプロセス
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US8790743B1 (en) * 2013-03-04 2014-07-29 Asm Ip Holding B.V. Method for controlling cyclic plasma-assisted process
US20140262040A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Method and system using plasma tuning rods for plasma processing
US20140367043A1 (en) * 2013-06-17 2014-12-18 Applied Materials, Inc. Method for fast and repeatable plasma ignition and tuning in plasma chambers
JP6374647B2 (ja) * 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
TWI553700B (zh) * 2013-11-06 2016-10-11 東京威力科創股份有限公司 多單元共振器微波表面波電漿設備
US9406485B1 (en) * 2013-12-18 2016-08-02 Surfx Technologies Llc Argon and helium plasma apparatus and methods
CN105405735B (zh) * 2014-08-22 2017-07-25 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体处理工艺的监测方法
US10128083B2 (en) * 2016-06-01 2018-11-13 Vebco Instruments Inc. Ion sources and methods for generating ion beams with controllable ion current density distributions over large treatment areas
US11432732B2 (en) * 2016-06-28 2022-09-06 Chiscan Holdings, Llc System and method of measuring millimeter wave of cold atmospheric pressure plasma
US10424467B2 (en) * 2017-03-13 2019-09-24 Applied Materials, Inc. Smart RF pulsing tuning using variable frequency generators
CN206848171U (zh) * 2017-07-04 2018-01-05 王垒 一种基于金属等离子体共振的污水检测装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009140371A2 (en) 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
JP2013041954A (ja) 2011-08-15 2013-02-28 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2013179047A (ja) 2012-02-22 2013-09-09 Lam Research Corporation インピーダンスに基づいた電力および周波数の調整
US20160111259A1 (en) 2014-10-16 2016-04-21 Advanced Energy Industries, Inc. Noise based frequency tuning and identification of plasma characteristics

Also Published As

Publication number Publication date
US10510512B2 (en) 2019-12-17
TWI802638B (zh) 2023-05-21
WO2019147513A1 (en) 2019-08-01
US20190228950A1 (en) 2019-07-25
KR20200104340A (ko) 2020-09-03
JP2021511684A (ja) 2021-05-06
CN111630623A (zh) 2020-09-04
TW201941252A (zh) 2019-10-16

Similar Documents

Publication Publication Date Title
JP7305095B2 (ja) プラズマ性能を制御するための方法及びシステム
US10790118B2 (en) Microwave applicator with solid-state generator power source
CN108766881B (zh) 等离子体处理装置和控制方法
US8980760B2 (en) Methods and apparatus for controlling plasma in a process chamber
JP2019194943A (ja) マイクロ波出力装置及びプラズマ処理装置
US10777385B2 (en) Method for RF power distribution in a multi-zone electrode array
US20190244789A1 (en) Microwave output device and plasma processing apparatus
US10109463B2 (en) Microwave automatic matcher and plasma processing apparatus
WO2016002590A1 (ja) プラズマ処理装置及びプラズマ処理方法
KR20180116140A (ko) 플라즈마 처리 장치 및 제어 방법
US20190267216A1 (en) Microwave output device and plasma processing apparatus
CN117280440A (zh) 用于实时脉冲测量和脉冲时序调整以控制等离子体工艺性能的系统和方法
US11031213B2 (en) Microwave output device and plasma processing device
US20170103874A1 (en) Plasma processing apparatus
US11527386B2 (en) Plasma processing apparatus
US11705313B2 (en) Inspection method and plasma processing apparatus
JP7318114B2 (ja) プラズマ安定性を改善するための同調方法
US11587769B2 (en) Microwave output device and plasma processing apparatus
US9659752B2 (en) Method for presetting tuner of plasma processing apparatus and plasma processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230414

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230516

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20230602

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230602

R150 Certificate of patent or registration of utility model

Ref document number: 7305095

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150