KR20200104340A - 플라즈마 성능을 제어하기 위한 방법 및 시스템 - Google Patents

플라즈마 성능을 제어하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20200104340A
KR20200104340A KR1020207021033A KR20207021033A KR20200104340A KR 20200104340 A KR20200104340 A KR 20200104340A KR 1020207021033 A KR1020207021033 A KR 1020207021033A KR 20207021033 A KR20207021033 A KR 20207021033A KR 20200104340 A KR20200104340 A KR 20200104340A
Authority
KR
South Korea
Prior art keywords
power
plasma
plasma chamber
parameter set
measuring
Prior art date
Application number
KR1020207021033A
Other languages
English (en)
Inventor
메리트 펑크
메간 도펠
가즈키 모야마
첼시아 두보세
저스틴 모세스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200104340A publication Critical patent/KR20200104340A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32954Electron temperature measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

플라즈마 성능을 제어하기 위한 방법 및 시스템의 실시형태가 설명된다. 일 실시형태에서, 방법은 제1 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하는 단계를 포함할 수 있다. 추가적으로, 방법은 제1 전력 파라미터 세트를 사용하여, 플라즈마 챔버 내에 플라즈마를 형성하는 단계를 포함할 수 있다. 또한, 방법은 제1 전력 파라미터 세트로 플라즈마와의 전력 결합을 측정하는 단계를 포함할 수 있다. 또한, 방법은 제2 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하는 단계를 포함할 수 있다. 추가적으로, 방법은 플라즈마에 대한 제2 전력 파라미터 세트로 플라즈마와의 전력 결합을 측정하는 단계를 포함할 수 있다. 또한, 방법은 제2 전력 파라미터 세트로 전력 결합을 측정하는 단계에 적어도 부분적으로 기초하여, 제1 전력 파라미터 세트를 조정하는 단계를 포함할 수 있다.

Description

플라즈마 성능을 제어하기 위한 방법 및 시스템
관련 출원
본 출원은 2018년 1월 25일자로 출원된 "플라즈마 성능을 제어하기 위한 방법 및 시스템"이라는 명칭의 미국 특허 출원번호 제15/880,435호의 이익을 주장하며, 그 전체 내용은 본원에 참조로 포함된다.
본 발명은 기판 공정을 위한 시스템 및 방법에 관한 것으로서, 보다 구체적으로는, 플라즈마 성능을 제어하기 위한 방법 및 시스템에 관한 것이다.
플라즈마 공정 시스템은 마이크로 전자 소자 또는 마이크로 기계 소자를 형성하도록 기판을 에칭하기 위해 사용된다. 플라즈마 공정 시스템은 플라즈마 공정 챔버에서 가스를 이온화함으로써 플라즈마를 생성한다. 이온화는 가스를 전자기 에너지에 노출시킴으로써 달성된다. 통상적인 하드웨어 및 공정 기술은 기판에 걸쳐서 플라즈마 밀도 또는 이온의 불균일한 분포를 초래할 수 있다. 또한, 통상적인 시스템은 특정 공정 조건에서 또는 특정 플라즈마 가스를 사용하여 충분한 플라즈마 밀도를 제공하지 못할 수 있다.
플라즈마 안정성은 플라즈마 분포 균일성 및 플라즈마 밀도 프로파일을 유지하는 요인이다. 통상적인 하드웨어 및 공정 기술은 플라즈마 안정성의 응답 제어를 위한 충분한 메커니즘을 제공하지 않는다. 특정 시스템 아키텍처에서, 또는 특정 적용예에서, 특정 에칭 가스 화학 물질의 사용에 의해 플라즈마 안정성의 문제가 악화될 수 있다. 종래의 시스템은 그러한 환경에서 지속적으로 안정적인 플라즈마 필드를 제공하기 위한 설비를 제대로 갖추고 있지 않다. 결과적으로, 제품 품질 및 처리량이 저하될 수 있다.
플라즈마 성능을 제어하기 위한 방법 및 시스템의 실시형태가 설명된다. 일 실시형태에서, 기판을 처리하기 위한 시스템에서 플라즈마 성능을 제어하는 방법은, 제1 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하는 단계를 포함할 수 있다. 추가적으로, 방법은 제1 전력 파라미터 세트를 사용하여, 플라즈마 챔버 내에 플라즈마를 형성하는 단계를 포함할 수 있다. 또한, 방법은 제1 전력 파라미터 세트로 플라즈마와의 전력 결합을 측정하는 단계를 포함할 수 있다. 또한, 방법은 제2 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하는 단계를 포함할 수 있다. 추가적으로, 방법은 플라즈마에 대한 제2 전력 파라미터 세트로 플라즈마와의 전력 결합을 측정하는 단계를 포함할 수 있다. 또한, 방법은 제2 전력 파라미터 세트로 전력 결합을 측정하는 단계에 적어도 부분적으로 기초하여, 제1 전력 파라미터 세트를 조정하는 단계를 포함할 수 있다.
기판을 처리하기 위한 시스템의 일 실시형태는, 제1 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하도록 구성된 제1 전원, 제2 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하도록 구성된 제2 전원, 및 측정 장치를 포함할 수 있다. 측정 장치는, 제1 전력 파라미터 세트의 공급 전력을 측정하고, 제2 전력 파라미터 세트의 전력을 측정하도록 구성될 수 있다. 또한, 시스템은, 플라즈마 응답이 원하는 응답 조건을 충족시킨다는 결정에 응답하여, 제2 전력 파라미터 세트로 작동하게 제1 전원을 조정하도록 구성된 전원 제어기를 포함할 수 있다.
기판을 처리하기 위한 시스템의 다른 실시형태는, 기판을 처리하기 위한 플라즈마를 수용하도록 구성된 플라즈마 챔버를 포함할 수 있다. 또한, 시스템은 제1 전력 파라미터 세트의 전력 및 제2 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하도록 구성된 전원을 포함할 수 있다. 추가적으로, 시스템은 전원으로부터 플라즈마 챔버로 전력을 전도하도록 구성된 전력 전송 시스템을 포함할 수 있다. 플라즈마와의 전력 결합을 측정하기 위한 하나 이상의 측정 장치가 전력 전송 시스템에 결합될 수 있다. 또한, 시스템은, 제2 전력 파라미터 세트로의 전력 결합의 측정에 적어도 부분적으로 기초하여, 제1 전력 파라미터 세트를 조정하도록 구성된 전원 제어기를 포함할 수 있다.
본 명세서에 포함되어 본 명세서의 일부를 구성하는 첨부된 도면은 본 발명의 실시형태를 도시하며, 위에 주어진 본 발명의 전반적인 설명 및 아래에 주어지는 상세한 설명과 함께, 본 발명을 설명하는 역할을 한다.
도 1은 플라즈마 에칭 시스템의 일 실시형태를 도시한다.
도 2는 플라즈마 성능을 제어하기 위한 시스템의 일 실시형태를 도시한다.
도 3은 플라즈마 성능을 제어하기 위한 시스템의 일 실시형태를 도시한다.
도 4는 플라즈마 성능을 제어하기 위한 시스템의 일 실시형태를 도시한다.
도 5는 플라즈마 성능을 제어하기 위한 시스템의 일 실시형태를 도시한다.
도 6은 플라즈마 성능을 제어하기 위한 시스템의 일 실시형태를 도시한다.
도 7은 전원과 연관된 공동(cavity)에서 공진 에너지를 측정하기 위한 장치의 일 실시형태를 도시한다.
도 8은 플라즈마 성능을 제어하기 위한 방법의 일 실시형태를 도시한다.
도 9는 플라즈마 점화를 제어하기 위한 방법의 일 실시형태를 도시한다.
도 10은 플라즈마 성능을 제어하기 위한 방법의 일 실시형태를 도시한다.
도 11은 플라즈마 성능을 제어하기 위한 제어 시스템을 도시하는 도면이다.
도 12는 센서 신호 레벨에 대한 전력 진폭 및 주파수 변동의 영향을 도시하는 도면이다.
플라즈마 성능을 제어하기 위한 방법 및 시스템이 제시된다. 그러나, 관련 기술 분야의 당업자는 다양한 실시형태가 하나 이상의 구체적인 세부 사항 없이 실시될 수 있거나, 다른 대체 및/또는 추가적인 방법, 재료, 또는 구성 요소로 실시될 수 있음을 인식할 것이다. 다른 경우에, 널리 알려진 구조, 재료, 또는 작업은 본 발명의 다양한 실시형태의 양태를 불명료하게 하는 것을 방지하기 위해 상세히 도시되거나 설명되지 않는다.
유사하게, 설명의 목적으로, 본 발명의 완전한 이해를 제공하기 위해 구체적인 수, 재료, 및 구성이 상술된다. 그럼에도 불구하고, 본 발명은 구체적인 세부 사항 없이 실시될 수 있다. 또한, 도면에 도시된 다양한 실시형태는 예시적인 표현이며, 반드시 일정한 비율로 도시된 것은 아니라는 점을 이해한다. 도면을 참조할 때, 유사한 참조번호는 전반적으로 유사한 부분을 지칭한다.
본 명세서 전반에 걸쳐서, "일 실시형태" 또는 "실시형태" 또는 이의 변형예라는 언급은 실시형태와 관련하여 설명된 구체적인 특징, 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시형태에 포함됨을 의미하지만, 이들이 모든 실시형태에 존재한다는 것을 의미하지 않는다. 따라서, 본 명세서 전반에 걸친 다양한 곳에서, "일 실시형태에서" 또는 "실시형태에서"와 같은 문구의 출현은 반드시 본 발명의 동일한 실시형태를 지칭하는 것은 아니다. 또한, 구체적인 특징, 구조, 재료, 또는 특성은 하나 이상의 실시형태에서 임의의 적합한 방식으로 조합될 수 있다. 다양한 추가적인 층 및/또는 구조물이 다른 실시형태에 포함될 수 있거나/포함될 수 있고, 설명된 특징이 다른 실시형태에서 생략될 수 있다.
추가적으로, "일(a)" 또는 "하나(an)"는 달리 명시적으로 상술되지 않는 한, "하나 이상"을 의미할 수 있음을 이해해야 한다.
다양한 작업은 본 발명을 이해하는 데 가장 유용한 방식으로, 다수의 개별 작업으로서 차례로 설명될 것이다. 그러나, 설명의 순서는 이들 작업이 반드시 순서에 의존하는 것임을 의미하는 것으로 해석되어서는 안된다. 특히, 이들 작업은 제시된 순서로 수행될 필요가 없다. 설명된 작업은 설명된 실시형태와 상이한 순서로 수행될 수 있다. 다양한 추가적인 작업이 추가적인 실시형태에서 수행될 수 있거나/수행될 수 있고, 설명된 작업이 추가적인 실시형태에서 생략될 수 있다.
본원에서 사용된 바와 같은 "기판"이라는 용어는 재료가 그 위에 형성되는 기재 또는 구조물을 의미하고 포함한다. 기판은 단일 재료, 상이한 재료의 복수의 층, 그 안에 상이한 구조물 또는 상이한 재료의 영역을 갖는 층 또는 층들 등을 포함할 수 있음을 이해할 것이다. 이러한 재료는 반도체, 절연체, 전도체, 또는 이들의 조합물을 포함할 수 있다. 예를 들어, 기판은 반도체 기판, 지지 구조물 상의 베이스 반도체 층, 하나 이상의 층, 구조물 또는 영역이 그 위에 형성된 반도체 기판 또는 금속 전극일 수 있다. 기판은 통상적인 실리콘 기판, 또는 반도체 재료의 층을 포함하는 다른 벌크 기판일 수 있다. 본원에서 사용된 바와 같은 "벌크 기판"이라는 용어는 실리콘 웨이퍼뿐만 아니라, 실리콘-온-글래스("SOG") 기판 및 실리콘-온-사파이어("SOS") 기판과 같은, 실리콘-온-절연체("SOI") 기판, 베이스 반도체 토대 상의 실리콘의 에피택셜 층, 그리고 실리콘-게르마늄, 게르마늄, 갈륨 비소, 갈륨 질화물, 및 인듐 인화물과 같은 다른 반도체 또는 광전자 재료를 의미하고 포함한다. 기판은 도핑될 수 있거나 도핑되지 않을 수 있다.
이제, 다수의 도면 전반에 걸쳐서 유사한 참조 번호가 동일하거나 상응하는 부분을 나타내는 도면을 참조한다.
도 1은 플라즈마 에칭을 위한 시스템(100)의 일 실시형태이다. 일 실시형태에서, 시스템(100)은 처리될 웨이퍼(125)가 부착되는 기판 홀더(120)를 갖는 공정 챔버(110), 및 진공 펌핑 시스템(150)을 포함한다. 웨이퍼(125)는 반도체 기판, 웨이퍼, 평판 디스플레이, 또는 액정 디스플레이일 수 있다. 공정 챔버(110)는 웨이퍼(125)의 표면 부근에서 공정 영역(145)의 에칭을 가능하게 하도록 구성될 수 있다. 이온화 가스, 또는 공정 가스의 혼합물은 가스 분배 시스템(140)을 통해 유입된다. 주어진 유량의 공정 가스에 대해, 공정 압력은 진공 펌핑 시스템(150)을 사용하여 조정된다.
웨이퍼(125)는 기계적 체결 시스템 또는 전기적 체결 시스템(예를 들어, 정전기 체결 시스템)과 같은, 체결 시스템(도시되지 않음)을 통해 기판 홀더(120)에 부착될 수 있다. 또한, 기판 홀더(120)는, 웨이퍼(125) 및 기판 홀더(120)의 온도를 조정 및/또는 제어하도록 구성된 가열 시스템(도시되지 않음) 또는 냉각 시스템(도시되지 않음)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은, 기판 홀더(120)로부터 열을 수용하여 냉각 시에 열교환기 시스템(도시되지 않음)에 열을 전달하거나, 가열 시에 열교환기 시스템으로부터 기판 홀더(120)로 열을 전달하는, 재순환 흐름의 열전달 유체를 포함할 수 있다. 다른 실시형태에서, 저항성 가열 소자, 또는 열전 히터/냉각기와 같은, 가열/냉각 소자가 공정 챔버(110)의 챔버 벽 및 공정 시스템(100) 내의 임의의 다른 구성 요소뿐만 아니라, 기판 홀더(120)에 포함될 수 있다.
추가적으로, 웨이퍼(125)와 기판 홀더(120) 사이의 가스-갭 열 전도율을 개선하기 위해, 후면 가스 공급 시스템(126)을 통해 웨이퍼(125)의 후면에 열전달 가스가 전달될 수 있다. 이러한 시스템은 상승된 또는 감소된 온도로 웨이퍼(125)의 온도 제어가 필요한 경우에 사용될 수 있다. 예를 들어, 후면 가스 공급 시스템은 2구역 가스 분배 시스템을 포함할 수 있으며, 헬륨 가스-갭 압력은 웨이퍼(125)의 중심과 에지 사이에서 개별적으로 가변될 수 있다.
도 1에 도시된 실시형태에서, 기판 홀더(120)는 RF 전력을 공정 영역(145)에 결합시키는 전극(122)을 포함할 수 있다. 예를 들어, RF 발생기(130)로부터 선택적인 임피던스 정합망(132)을 통하여 기판 홀더(120)로 RF 전력을 전송함으로써, 기판 홀더(120)가 RF 전압으로 전기적으로 바이어스될 수 있다. RF 전기 바이어스는 플라즈마를 형성 및 유지하도록 전자를 가열하는 역할을 할 수 있다. 이러한 구성에서, 시스템(100)은 RIE 반응기로서 작동할 수 있으며, 챔버 및 상부 가스 분사 전극은 접지면으로서 기능한다.
또한, RF 전압으로의 전극(122)의 전기 바이어스는 펄스 바이어스 신호 제어기(131)를 사용하여 펄스화될 수 있다. RF 발생기(130)로부터 출력되는 RF 전력은 예를 들어, 오프-상태 내지 온-상태로 펄스화될 수 있다. 대안적으로, RF 전력은 다중 주파수로 기판 홀더 전극에 인가된다. 또한, 임피던스 정합망(132)은, 반사 전력을 감소시킴으로써 플라즈마 공정 챔버(110)에서 플라즈마로의 RF 전력의 전달을 개선할 수 있다. 정합망 토폴로지(예를 들어, L-타입, π-타입, T-타입 등) 및 자동 제어 방법은 당업자에게 잘 알려져 있다.
가스 분배 시스템(140)은 공정 가스의 혼합물을 유입시키기 위한 샤워헤드 설계를 포함할 수 있다. 대안적으로, 가스 분배 시스템(140)은, 공정 가스의 혼합물을 유입시키고, 웨이퍼(125)에 걸친 공정 가스의 혼합물의 분포를 조정하기 위한 다구역 샤워헤드 설계를 포함할 수 있다. 예를 들어, 다구역 샤워헤드 설계는, 웨이퍼(125)에 걸친 실질적으로 중앙 영역으로의 공정 가스 유량 또는 조성과 대비하여, 웨이퍼(125)에 걸친 실질적으로 주변 영역으로의 공정 가스 유량 또는 조성을 조정하도록 구성될 수 있다. 이러한 실시형태에서, 가스는 챔버(110) 내에 매우 균일한 플라즈마를 형성하도록 적합한 조합으로 분배될 수 있다.
진공 펌핑 시스템(150)은 최대 약 8000 리터/초(및 그 이상)의 펌핑 속도가 가능한 터보-분자 진공 펌프(TMP), 및 챔버 압력을 조절하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭을 위해 사용되는 통상적인 플라즈마 공정 장치에서, 800 내지 3000 리터/초의 TMP가 사용될 수 있다. TMP는 전형적으로 약 50 mTorr 미만의 저압 공정을 위해 유용하다. 고압 공정(즉, 약 80 mTorr 초과)의 경우, 기계식 가압 펌프 및 건식 러핑 펌프(roughing pump)가 사용될 수 있다. 또한, 챔버 압력을 모니터링하기 위한 장치(도시되지 않음)가 플라즈마 공정 챔버(110)에 결합될 수 있다.
일 실시형태에서, 소스 제어기(155)는, 플라즈마 공정 시스템(100)으로부터의 출력을 모니터링할 뿐만 아니라, 공정 시스템(100)으로의 입력을 통신 및 활성화시키기에 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트, 메모리, 및 마이크로프로세서를 포함할 수 있다. 또한, 소스 제어기(155)는, RF 발생기(130), 펄스 바이어스 신호 제어기(131), 임피던스 정합망(132), 가스 분배 시스템(140), 가스 공급기(190), 진공 펌핑 시스템(150), 및 기판 가열/냉각 시스템(도시되지 않음), 후면 가스 공급 시스템(126), 및/또는 기판 홀더(120)와 결합될 수 있고 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은, 플라즈마 에칭 공정 또는 후열처리 공정과 같은 플라즈마 보조 공정을 웨이퍼(125) 상에서 수행하기 위해, 공정 방법에 따라 공정 시스템(100)의 전술한 구성 요소로의 입력을 활성화시키도록 사용될 수 있다.
또한, 공정 시스템(100)은, RF 발생기(172)로부터 선택적인 임피던스 정합망(174)을 통하여 RF 전력이 결합될 수 있는 상부 전극(170)을 더 포함할 수 있다. 일 실시형태에서, 상부 전극에 RF 전력을 인가하기 위한 주파수는 약 0.1 MHz 내지 약 200 MHz의 범위일 수 있다. 대안적으로, 본 실시형태는 유도성 결합 플라즈마(ICP) 소스, 용량성 결합 플라즈마(CCP) 소스, GHz 주파수 범위로 작동하도록 구성된 방사 라인 슬롯 안테나(RLSA) 소스, 서브-GHz 내지 GHz 범위로 작동하도록 구성된 전자 사이클로트론 공명(ECR) 소스 등과 관련하여 사용될 수 있다. 추가적으로, 하부 전극에 전력을 인가하기 위한 주파수는 약 0.1 MHz 내지 약 80 MHz의 범위일 수 있다. 또한, 소스 제어기(155)는 상부 전극(170)으로의 RF 전력의 인가를 제어하기 위해, RF 발생기(172) 및 임피던스 정합망(174)에 결합된다. 상부 전극의 설계 및 구현은 당업자에게 잘 알려져 있다. 상부 전극(170) 및 가스 분배 시스템(140)은 도시된 바와 같이, 동일한 챔버 조립체 내에 설계될 수 있다. 대안적으로, 상부 전극(170)은, 웨이퍼(125)에 걸쳐서 플라즈마에 결합되는 RF 전력 분포를 조정하기 위한 다구역 전극 설계를 포함할 수 있다. 예를 들어, 상부 전극(170)은 중앙 전극 및 에지 전극으로 분할될 수 있다.
적용예에 따라, 실시간 데이터를 수집하고, 이러한 실시간 데이터를 사용하여, 증착 공정, RIE 공정, 인출 공정(pull process), 프로파일 교정 공정, 열처리 공정 및/또는 집적 설계의 패턴 전사 공정과 관련된 2개 이상의 단계에서 2개 이상의 선택된 집적 작업 변수를 동시에 제어하기 위해, 센서 또는 계측 장치와 같은 추가적인 장치가 공정 챔버(110) 및 소스 제어기(155)에 결합될 수 있다. 또한, 후열처리의 완성도, 패터닝 균일성(균일성), 구조물의 풀다운(pulldown)(풀다운), 구조물의 슬리밍(slimming)(슬리밍), 구조물의 종횡비(종횡비), 선폭 거칠기, 기판 처리량, 소유 비용 등을 포함하는 집적 목표가 달성되도록 보장하기 위해, 동일한 데이터가 사용될 수 있다. 이러한 센서의 실시형태는 이하의 도면을 참조하여 설명된다.
전형적으로 펄스 주파수 및 충격 계수(duty ratio)의 변동을 통해, 인가되는 전력을 변조함으로써, 연속파(CW)로 생성되는 것과는 현저하게 상이한 플라즈마 특성을 얻을 수 있다. 결과적으로, 전극의 RF 전력 변조는 시간 평균 이온 플럭스 및 이온 에너지에 대한 제어를 제공할 수 있다.
다른 실시형태에서, 플라즈마 챔버(110)는 플라즈마 챔버(110)의 측벽에 근접하여 전력을 제공하기 위한 에지 소스(176)를 포함할 수 있다. 이러한 실시형태에서, 에지 소스(176)는 플라즈마 챔버(110)의 둘레로 연장되며, 측벽 내에 통합된다. 에지 소스(176)는 플라즈마 챔버(110) 내에 전력을 제공하기 위한 상부 전극(170)과 함께 사용될 수 있거나, 플라즈마 챔버(110) 내에 플라즈마를 생성하기 위한 다른 전원 없이 사용될 수 있다.
도 2는 플라즈마 성능을 제어하기 위한 시스템(200)의 일 실시형태를 도시한다. 일 실시형태에서, 시스템(200)은 도 1에 도시된 플라즈마 에칭을 위한 시스템(100)과 일체형으로 구현될 수 있다. 예를 들어, 시스템(200)의 양태는 소스 제어기(155)로 구현될 수 있다. 추가적으로, 시스템(200)은 플라즈마 챔버(110)에 결합될 수 있다. 일 실시형태에서, 플라즈마 소스 제어기는 소스 제어기(155)로 구현될 수 있다. 대안적으로, 시스템(200)의 양태는 시스템(100)과 별개의 부가 특징 또는 추가 특징으로서 구현될 수 있다.
일 실시형태에서, 시스템(200)은 제1 전원(202) 및 제2 전원(204)을 포함할 수 있다. 일 실시형태에서, 제1 전원(202) 및 제2 전원(204)은 RF 전력 발생기일 수 있다. 추가적인 실시형태에서, 제1 전원(202) 및 제2 전원(204)은 가변 전력 발생기일 수 있다. 다양한 실시형태에서, 공급되는 전력의 진폭, 주파수, 위상, 또는 다른 양태는 시스템 요건 및 플라즈마 성능에 따라 가변될 수 있다.
제1 전원(202)은, 플라즈마 점화를 위한 전력을 공급하고 플라즈마 성능을 유지하도록 구성된 1차 전원일 수 있다. 일 실시형태에서, 제2 전원(204)은 예를 들어, 진폭, 주파수, 위상, 또는 다른 양태를 포함하는, 하나 이상의 가변 전력 특성의 변화에 대한 플라즈마의 응답을 테스트하기 위한 간헐적 테스트 기간에 전력을 공급할 수 있다. 제1 전원(202)과 제2 전원(204) 간의 스위칭을 위해 사용되는 알고리즘 및 작업의 추가적인 세부 사항은 아래에 설명된다.
또한, 시스템(200)은 플라즈마 챔버(110)에 공급되는 전력의 하나 이상의 양태, 플라즈마 챔버(110)에 공급되는 전력의 변화에 대한 플라즈마 챔버(110)에서의 플라즈마의 응답, 또는 둘 모두를 측정하도록 구성된 하나 이상의 측정 장치(206)를 포함할 수 있다.
시스템(200)은 전원 제어기(208)를 더 포함할 수 있다. 일 실시형태에서, 전원 제어기(208)는 제1 전원(202) 및 제2 전원(204)의 전력 출력 설정을 제어할 수 있다. 추가적으로, 전원 제어기(208)는 플라즈마 챔버(110)에 공급되는, 제1 전원(202) 및 제2 전원(204)의 전력 출력 간의 스위칭을 제어할 수 있다.
일 실시형태에서, 제1 전원(202)은 제1 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하도록 구성될 수 있다. 제2 전원(204)은 테스트 기간 동안 제2 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하도록 구성될 수 있다. 측정 장치는, 제1 전력 파라미터 세트의 공급 전력에 대한 플라즈마 응답을 측정하고, 테스트 기간 동안 플라즈마 응답을 측정하도록 구성될 수 있다. 일 실시형태에서, 전원 제어기(208)는, 테스트 기간 동안의 플라즈마 응답이 제1 전력 파라미터 세트에 대한 플라즈마 응답에 비해 개선된다는 결정에 응답하여, 제2 전력 파라미터 세트와 일치하게 제1 전력 파라미터 세트를 조정하도록 구성될 수 있으며, 하나 이상의 타겟 공정 목표에 따라 결정이 이루어진다.
도 3은 플라즈마 성능을 제어하기 위한 시스템(300)의 추가적인 실시형태를 도시한다. 일 실시형태에서, 시스템(300)은 RF 신호 소스(302)를 포함할 수 있다. 일 실시형태에서, RF 신호 소스(302)는 도 2와 관련하여 설명된 제1 전원(202) 및 제2 전원(204)을 포함할 수 있다. 다른 실시형태에서, RF 신호 소스(302)는, 제1 전력 파라미터 세트의 전력을 제1 출력을 통해 공급할 수 있고, 제2 전력 파라미터 세트의 전력을 제2 출력을 통해 공급할 수 있는 다중-출력 소스를 포함할 수 있다. 혼합기(mixer)(304), 또는 다른 스위칭 장치는, 진폭, 주파수, 위상 등을 포함하는 하나 이상의 전력 파라미터의 변동에 대한 플라즈마 응답을 테스트하기 위해, 제1 전력 출력과 제2 전력 출력 간에 스위칭시킬 수 있다.
일 실시형태에서, 스위칭된 전력 신호는 전력 증폭기(306)에 제공될 수 있다. 전력 증폭기는 플라즈마 챔버(110)에서 플라즈마 필드를 유지하기 위해 적합한 레벨로 전력 신호를 증폭시킬 수 있다. 전력 샘플링 센서(320)는 전력 증폭기(306)에 의해 공급되는 전력을 샘플링할 수 있다. 예를 들어, 전력 샘플링 센서(320)는 순방향 전력을 측정할 수 있다. 다른 실시형태에서, 전력 샘플링 센서(320)는 반사 전력을 측정할 수 있다. 당업자는 대안적인 수의 지점이 다지점 센서에 의해 측정될 수 있으며, 각각의 지점은 알려진 거리로 분리되어 있음을 인식할 것이다.
일 실시형태에서, 전력 전송 결합 장치(310)는 플라즈마 챔버(110)에 공급되는 전력에 대한 조정 가능한 기계적 동조(tuning)를 제공하기 위한 기계적 동조 장치(312)에 결합될 수 있다. 기계적 동조 장치(312)는 플라즈마 챔버(110)에 공급되는 전력의 전기적 특성에 대한 기계적 조정을 제공할 수 있다. 기계적 동조 장치의 실시형태는 조정 가능한 도파관 스터브(waveguide stub)를 포함하며, 스터브의 길이는 전력의 전기적 특성을 변경하도록 조정된다. 대안적인 실시형태는 플라즈마 챔버(110)에 공급되는 전력의 전기적 특성을 변경하도록 조정될 수 있는, 도파관의 조정 가능한 슬릿(slit) 또는 아이리스(iris)를 포함한다.
일 실시형태에서, 공동(314)이 전력 전송 결합 장치(310)에 결합될 수 있다. 전자기(EM) 센서(324)는 공동(314)에서의 전자기 에너지를 측정하도록 구성될 수 있다. 당업자는 본 실시형태와 관련하여 사용될 수 있는 다양한 EM 센서(324)를 인식할 것이다. 슬릿(316) 또는 개구는 공동(314)을 플라즈마 챔버(110)에 결합된 유전체(318)와 연결시킬 수 있다. 슬릿(316)을 통하여 방출되는 에너지는 플라즈마 챔버(110) 내의 전자기 방사선의 균일한 분포를 위해 유전체(318)에 걸쳐서 분포될 수 있다. 일 실시형태에서, 플라즈마 챔버(110) 내의 플라즈마의 세기를 검출하기 위해, 포토다이오드 센서와 같은 광 센서(326)가 유전체(318)에 결합될 수 있다. 대안적인 실시형태에서, 광 센서(326)는 플라즈마 챔버(110)에 직접 결합될 수 있다.
일 실시형태에서, 전력 증폭기(306)의 출력은 도파관 발사 장치(launch)로의 동축 케이블(coax)(308)에 결합될 수 있다. 당업자는 본 실시형태와 함께 사용하기 위해 적합할 수 있는 도파관 발사 장치로의 다양한 동축 케이블(308)을 인식할 것이다. 일 실시형태에서, 도파관 발사 장치로의 동축 케이블(308)은 도파관 장치를 포함할 수 있는 전력 전송 결합 장치(310)에 결합될 수 있다. 일 실시형태에서, 3지점 센서(322)와 같은 다지점 센서는, 플라즈마 챔버(110)에 전력을 공급하도록 구성된 전원(302)과 플라즈마 챔버(110) 사이에 결합된 도파관에 형성되는 정재파를 측정할 수 있다. 예를 들어, 3지점 센서(322)는 정재파의 3개의 지점에서 도파관의 전력을 측정할 수 있으며, 각각의 지점은 알려진 거리로 분리된다. 구체적인 실시형태에서, 알려진 거리는 정재파의 파장의 8분의 1(1/8)일 수 있다.
이러한 실시형태에서, 전력 샘플링 센서(320), 3지점 센서(322), EM 센서(324) 및/또는 광 센서(326) 중 하나 이상으로부터의 피드백 신호가 전원 제어기(208)에 제공될 수 있다. 이러한 실시형태에서, 전원 제어기(208)는 특정 시간 간격 내에서 플라즈마 챔버(110)에 공급되는 전력을 제어하기 위한 작동 신호를 RF 신호 소스(302) 및 혼합기(304)에 제공할 수 있다.
당업자는 본원에서 설명된 방법 및 공정의 작업을 향상시키기 위해 하나 이상의 추가적인 구성 요소가 도 3의 시스템에 추가될 수 있음을 인식할 것이다. 또한, 당업자는 시스템 요건 및 하드웨어 가용성에 따라, 도 3의 시스템의 하나 이상의 구성 요소가 생략될 수 있음을 인식할 것이다. 도 3의 실시형태는 본 실시형태와 함께 사용될 수 있는 선택사항의 예시일 뿐이며, 본 발명의 범위를 임의의 특정 실시형태로 제한하려는 의도가 아니다.
도 4는 플라즈마 성능을 제어하기 위한 시스템의 다른 실시형태를 도시한다. 일 실시형태에서, 시스템은 제1 전원(202) 및 제2 전원(204)을 포함한다. 제1 전원(202) 및 제2 전원(204)은 2400 MHz 내지 2500 MHz의 가변 주파수 범위로 작동하도록 구성될 수 있다. 추가적으로, 시스템은 제1 전원(202) 및 제2 전원(204)의 출력의 진폭을 조정하기 위한 제1 감쇠기(402) 및 제2 감쇠기(404)를 포함할 수 있다. RF 스위치(406)는 제1 전원(202) 및 제2 전원(204)의 출력 간에 스위칭시키도록 구성될 수 있다. 전력 증폭기(306)는 직렬 구성(408) 및/또는 병렬 구성(410)으로 배치된 복수의 전력 증폭기 장치 및 서큘레이터(circulator) 장치를 포함할 수 있다. 일 실시형태에서, 전력 샘플링 센서(320)는 예를 들어, 순방향 전력을 검출하기 위한 방향성 결합기(412), 또는 반사 전력을 검출하기 위한 반사 전력 센서(414)를 포함할 수 있다. 일 실시형태에서, 반사 전력 센서(414)는 서큘레이터 및 전력 저항기 장치를 포함할 수 있다.
일 실시형태에서, 도 4의 회로는 전원 제어기(208)로의 입력을 위한 측정된 신호를 준비하기 위한 실효값(RMS) 전압 측정 장치(VRMS)를 포함할 수 있다. 이러한 실시형태에서, 순방향 VRMS(418)는, 플라즈마 챔버(110) 내에서 플라즈마 소스(416)의 반사 전력을 모니터링하기 위한 반사 VRMS(420) 및 순방향 전력을 모니터링하기 위한 전원 제어기(208)와 방향성 결합기(412) 사이에 결합될 수 있다.
전원 제어기(208)는 아날로그 대 디지털 변환기(ADC)(428), 및 제1 상태로 포착된 측정치와 제2 상태로 포착된 측정치 간에 스위칭하기 위한 하나 이상의 스위칭 장치(430 및 432)를 포함할 수 있다. 일 실시형태에서, 주파수 동조 제어 로직(426)은 스위칭 장치(430 및 432)와 RF 스위치(406) 간의 스위칭을 동기화하기 위한 스위칭 로직(424)을 포함할 수 있다.
추가적으로, 전력 제어 시스템(434)은 도 4의 실시예에서, 제1 전원(202) 및 제2 전원(204)의 출력 주파수를 각각 제어하기 위한 제어 신호를 제공하도록 구성된 제1 전력 제어 장치(440) 및 제2 전력 제어 장치(438)를 포함할 수 있다. 일 실시형태에서, 디지털 대 아날로그 제어기(DAC)(442)는 제1 전원(202) 및 제2 전원(204)에 의한 사용을 위해 제어 신호를 변환할 수 있다. 주파수 동조 제어 로직(426) 및 제어 시스템(434)은 본원에서 설명된 방법 및 기능 실시예, 그리고 당업자에게 인식 가능할 수 있는 등가물에 따라, 프로그램으로 구성될 수 있다.
도 5는 플라즈마 성능을 제어하기 위한 시스템의 다른 실시형태를 도시한다. 도 5의 실시형태와 도 4의 실시형태 간의 한 가지 차이점은, 도 5가 제1 전원(202) 및 제2 전원(501)에 의해 생성된 신호들을 혼합하기 위해 주파수 상향 변환기(up converter)(502) 및 주파수 하향 변환기(down converter)(504)를 사용한다는 점이다. 제1 전원(202)은 예를 들어, 2400 내지 2500 MHz 범위의 주파수의 전력 신호를 생성할 수 있다. 당업자는 다양한 적용예를 위해 적합할 수 있거나 다양한 에칭 화학 물질에 적합할 수 있는 대안적인 주파수 범위를 인식할 것이다. 제2 전원(501)은 제1 전원(202)에 의해 생성된 1차 신호로부터 오프셋을 갖는 측파대 신호를 생성하도록 구성될 수 있다. 일 실시형태에서, 측파대 신호의 오프셋은 0 내지 50 MHz의 범위에 있을 수 있다. 따라서, 일 실시예에서, 제1 전원이 2450 MHz 주파수의 1차 신호를 생성하는 경우, 제2 전원은 2450 MHz의 중심 주파수로부터 +/- 50 MHz의 범위인 2400 내지 2500 MHz 범위의 측파대 주파수를 생성할 수 있다.
추가적인 실시형태에서, 제1 전원(202)의 주파수 변화의 측정 또는 테스트를 위해 관심있는 정도를 제외하고는, 제1 전원(202)으로부터의 1차 신호와 측파대 신호를 주파수 상향 변환기(502)에 의해 혼합하는 것이 플라즈마 성능을 방해하지 않도록, 감쇠기(509)가 제2 전원(501)에 의해 생성된 측파대 신호를 감쇠시킬 수 있다. 이러한 실시형태에서, 제2 전원(501)은 전체 주파수 범위에 걸쳐서 스위프(sweep)할 수 있고, 주파수 하향 변환기는 스위프 범위에 걸쳐서 플라즈마의 주파수 응답을 추출할 수 있다. 따라서, 주파수 범위에 걸친 주파수 응답 프로파일이 결정될 수 있으며, 적합한 동작 주파수는 제어 시스템(208)에 의해 그 범위 내에서 결정될 수 있다. 이러한 실시형태에서, 주파수 상향 변환기(502) 및 주파수 하향 변환기(504)가 RF 스위치(406) 및 스위칭 장치(430 및 432)를 대신할 수 있다. 로직(426)은 변환기 장치(506 및 508)뿐만 아니라, 주파수 상향 변환기(502) 및 주파수 하향 변환기(504)에 제어 신호를 제공할 수 있다. 또한, 주파수 상향 변환기 설계(510), 주파수 상향 변환기(502)의 예시적인 설계는, I 및 Q 신호를 사용하여 측파대를 제어하기 위한 주파수 및 위상을 조정하도록 구성된 회로 설계를 예시하는 도 5에 도시된다. 상응하여, 주파수 하향 변환기(504)는 측정된 신호를 추출하는 역산이다. 플라즈마 응답의 추출 및 제1 및 제2 신호를 혼합하는 물리적 구현은 복합 파형으로 구현될 수 있으므로, 어느 하나의 임의적 파형을 허용하여 플라즈마의 펄스 발생을 활성화하고 펄스 파형의 시간 위치에서 동조 정보를 추출할 수 있다.
도 6은 시스템 구성 요소의 하나의 물리적 배치를 도시하는, 플라즈마 성능을 제어하기 위한 시스템의 일 실시형태의 블록도이다. 일 실시형태에서, 도파관 발사 장치로의 동축 케이블(308)은 도시된 바와 같은 전력 전송 결합 장치(310)에 결합될 수 있다. 일 실시형태에서, 광 센서(326)는 플라즈마 챔버(110)에 형성된 임의의 플라즈마와의 가시거리내 통신(line-of-sight)을 가능하게 하도록 플라즈마 챔버(110)에 결합될 수 있다. 일 실시형태에서, 3지점 센서(322)가 전력 전송 결합 장치(310)에 결합될 수 있다.
기계적 동조 장치(312)는 조정 가능한 도파관 스터브, 조정 가능한 슬릿, 조정 가능한 아이리스 등을 포함할 수 있다. 일 실시형태에서, 기계적 동조 장치(312)는 단일 동조 구성 요소를 포함할 수 있다. 대안적으로, 기계적 동조 장치(312)는 다수의 동조 구성 요소를 포함할 수 있다.
일 실시형태에서, 전자기 센서(324)는 전기장 픽업 장치를 포함할 수 있다. 이러한 실시형태에서, 전자기 센서(324)는 공동(314)에서의 총 전력을 측정할 수 있다.
도 7은 플라즈마 성능을 제어하기 위한 시스템에서 측정된 반사 전력에 대응하여 수집된 S-파라미터 데이터의 그래프 표현이다. 도 7의 실시예에서, 주파수는 2.4 GHz 내지 2.5 GHz의 범위에 걸쳐서 스위프되었다. 반사 전력은 곡선(702)으로 나타낸 바와 같이 플라즈마의 점화 전에 측정되었고, 곡선(704)으로 나타낸 바와 같이 반도체 공정 동안 플라즈마의 점화 후에 측정되었다. 플라즈마 점화 동안, 플라즈마 가스의 전력 결합의 1차 주파수에 해당하는, 706에 도시된 바와 같은 약 2.47 GHz에서 반사 전력의 급격한 감소가 관찰될 수 있다. 공정 동안, 급격한 감소의 주파수는 708에 도시된 바와 같은, 약 2.455 GHz로 변위된다. 관찰된 바와 같이, 다른 급격한 감소는 710에 도시된 바와 같은 2.46 GHz에서 발생한다. 일 실시형태에서, 제1 전원(202)의 동작 주파수가 710에 도시된 주파수의 부정 널(false null)로 변위되는 것을 방지하기 위해, 주파수 안정성을 위한 스위핑의 범위(712)를 2.445 GHz 내지 2.455 GHz의 영역으로 제한하는 것이 바람직할 수 있다.
이러한 실시형태에서, 제1 전원(202)을 위한 동작 주파수는, 명멸(flickering) 플라즈마 또는 소멸 플라즈마와 같은, 플라즈마의 불안정성을 야기하는 반사 전력의 급격한 증가를 초래할 가능성이 없는 주파수로 선택될 수 있다. 측정된 반사 전력은 각각의 주어진 주파수로의 플라즈마의 전력 결합과 직접적으로 상관된다.
도 8은 본 실시형태에 따라 플라즈마 성능을 제어하기 위한 방법(800)의 일 실시형태를 도시한다. 일 실시형태에서, 기판을 처리하기 위한 시스템에서 플라즈마 성능을 제어하는 방법(800)은 블록(802)에 도시된 바와 같이, 제1 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하는 단계를 포함할 수 있다. 추가적으로, 블록(804)에서, 방법(800)은 제1 전력 파라미터 세트를 사용하여, 플라즈마 챔버 내에 플라즈마를 형성하는 단계를 포함할 수 있다. 또한, 방법(800)은 블록(806)에 도시된 바와 같이, 제1 전력 파라미터 세트로 플라즈마와의 전력 결합을 측정하는 단계를 포함할 수 있다. 또한, 블록(808)에서, 방법(800)은 제2 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하는 단계를 포함할 수 있다. 블록(810)에서, 방법(800)은 플라즈마에 대한 제2 전력 파라미터 세트로 플라즈마와의 전력 결합을 측정하는 단계를 추가로 포함할 수 있다. 또한, 방법은 제2 전력 파라미터 세트로 전력 결합을 측정하는 단계에 적어도 부분적으로 기초하여, 제1 전력 파라미터 세트를 조정하는 단계를 포함할 수 있다.
도 9는 플라즈마 챔버(110)에서 플라즈마를 점화하기 위한 방법(900)의 일 실시형태를 도시한다. 블록(902)에서, 방법(900)은 플라즈마 챔버에 플라즈마를 생성하도록 배치된 하나 이상의 전력 전송 요소를 갖는 플라즈마 챔버에서 기판 홀더 상에 기판을 수용하는 단계를 포함한다. 블록(904)에서, 방법(900)은 진폭, 주파수, 위상 및 변조를 포함하는 전력 특성에 대한 미리 결정된 초기 조건에 의해 설정된 저레벨 또는 제1 전력 레벨로 각각의 전력 전송 요소를 위한 전자기 에너지를 생성하는 단계를 포함한다. 추가적으로, 기계적 및 전기적 동조 설정이 설정될 수 있다.
블록(906)은 순방향 및 반사 전력에 대한 신호 파형, 공동 전압, 도파관 반사 계수, 위상, 순방향 및 반사 전력, 및 광 신호를 포함하는, 각각의 전송 요소에 대한 임피던스의 특성을 측정하는 단계를 포함한다. 블록(908)에서, 미리 결정된 점화 신호 특성이 충족되는지 여부에 대한 결정이 이루어진다. 만약 그렇다면, 플라즈마 점화가 이루어지고, 블록(910)에서, 각각의 전력 전송 요소를 통한 처방(recipe) 전자기 에너지에 대한 1차 전력 설정을 사용하여, 플라즈마 챔버 내에 플라즈마가 생성된다. 그렇지 않다면, 방법(900)은 주파수, 위상 및 변조와 같은 신호 파형 파라미터, 전력 등을 포함하는 전자기 제어를 조정하는 단계를 포함한다. 추가적으로, 블록(912)은 플라즈마 점화 탐색 모델 시퀀스에 기초하여, 기계적 및 전자적 동조를 조정하는 단계를 포함할 수 있으며, 블록(906)에서의 측정 및 블록(908)에서의 결정은 점화가 이루어질 때까지 반복된다.
도 10은 플라즈마 챔버에서 플라즈마를 유지하기 위한 방법(1000)의 일 실시형태를 도시한다. 블록(1002)에서, 방법(1000)은 신호 파형 및 기계적 및/또는 전자적 동조 설정을 사용하여, 제1 전력 레벨의 전자기 에너지를 생성하는 단계를 포함한다. 또한, 방법(1000)은 블록(1004)에 도시된 바와 같이, 프로브 전력 레벨로 전자기 에너지를 혼합하고, 전력 진폭 및 신호 파형의 제어를 포함하는 전자기 제어를 가변시키는 단계를 포함한다. 블록(1006)은 순방향 및 반사 전력에 대한 신호 파형, 공동 전압, 도파관 반사 계수, 위상, 순방향 전력, 반사 전력, 및 광 신호를 포함하는, 각각의 전송 요소에 대한 플라즈마 임피던스의 특성을 측정하는 단계를 포함한다. 블록(1008)에서, 방법은 전자기 임피던스 및/또는 광 방출에 기초하여, 안정적인 플라즈마를 유지하기 위해 필요한 변화를 계산하는 단계를 포함한다. 추가적인 실시형태에서, 방법은 불감대(deadband)를 검사하고 적합한 동조 조정을 계산하는 단계를 포함할 수 있다.
블록(1010)에서, 안정적인 플라즈마를 유지하기 위해 제어 변화가 필요한지 여부에 대한 결정이 이루어진다. 만약 그렇다면, 방법(1000)은 블록(1012)에 도시된 바와 같이, 전력, 신호 파형, 및/또는 기계적 및 전자적 동조를 포함하는 전자기 제어를 조정하는 단계를 포함할 수 있다. 그렇지 않다면, 블록(1014)에 도시된 바와 같이, 모델이 업데이트되고, 다음 프로브 설정이 계산된다.
도 11은 플라즈마 성능을 제어하기 위한 제어 루프(1100)를 도시한다. 일 실시형태에서, 제어 루프(1100)는 반복 루프일 수 있다. 제어 루프는 입력 및 사전 설정 값으로서 특정된 처방 전력(1102)을 수신할 수 있다. 일 실시형태에서, 플라즈마는 도 9에서 설명된 방법(900)에 따라 점화될 수 있다. 전력 증폭기(306)는 플라즈마 챔버(110)에 전력을 제공함으로써, 센서(1106)에 의해 모니터링되는 플라즈마 소스(1104)를 생성할 수 있다. 하나 이상의 센서(1116)는 규정된 전력 특성에 대한 플라즈마 응답의 하나 이상의 특성을 측정할 수 있다. 측정에 응답하여, 신호 분배 제어기(1114)는 제어 블록(1106)에 도시된 바와 같은 전자적 동조 설정을 조정할 수 있다. 전자적 동조 제어 업데이트는 처방에 의해 정의되는 플라즈마 전력 제어 계획을 위해 조정될 수 있다. 그 다음, 블록(1108)에서, 전력 진폭 설정은 임계치 플라즈마 측정이 충족될 때까지 반복적으로 업데이트될 수 있다.
전력 진폭 레벨(1108)과 연동하여, 전자적 동조 설정은 블록(1106)에서 다시 업데이트될 수 있다. 그 다음, 진폭 설정은 블록(1108)에서 제2 시간 동안 조정될 수 있다. 그 다음, 주파수 동조 설정이 블록(1110)에서 제어될 수 있다. 블록(1106)에서의 전자적 동조 설정, 및 블록(1108)에서의 전력 진폭 설정을 반복적으로 제어하는 시퀀스는 반복될 수 있으며, 이어서 기계적 동조가 블록(1112)에서 반복적으로 제어될 수 있고, 프로세스는 반복될 수 있다.
도 12는 고정된 기계적 동조와 함께 100 mT의 O2를 포함하는 플라즈마 처방을 통해 플라즈마 챔버에서 수행된 작동 테스트로부터의 샘플 테스트 데이터를 도시한다. 다양한 측정치는, 순방향 전력(1202), 반사 전력(1210), 전달 전력(1204), 전력 전송 결합 장치에서 검출된 전력(1208), 및 검출된 플라즈마 세기(1206)를 포함한다. 전력 주파수는 2.444 GHz 내지 2.466 GHz의 범위에 걸쳐서 가변되었다. 도시된 바와 같이, 반사 전력(1210)은 약 2.458 GHz의 주파수에서 감소된다. 상응하여, 플라즈마 세기(1206), 전력 전송 결합 장치에서의 전력(1208), 순방향 전력(1202), 및 전달 전력(1204)은 각각 2.458 GHz에서 또는 그 근처에서 피크이다. 따라서, 주파수 응답을 참조하여 비교적 우수한 플라즈마 성능을 제공하도록 약 2.458 GHz의 주파수가 설정될 수 있다.
곡선(1214)에 도시된 바와 같이, 위상각은 반사 전력의 널과 동일한 주파수로의 상응하는 변위를 포함한다. 또한, 반사 전력(1210)의 널의 주파수에서 반사 계수(1216)의 상응하는 감소가 관찰된다.
당업자는 테스트 데이터가 시스템의 일 실시형태에서 하나의 특정된 주파수 값 세트로 수행된 테스트만을 나타내는 것임을 인식할 것이다. 유사하게, 테스트 결과는 특정된 처방의 응답으로 제한될 수 있다. 따라서, 도 12에 나타낸 데이터는 본 실시형태를 제한하는 것이 아니라, 설명된 실시형태의 효과를 예시하는 것이다.
추가적인 장점 및 변형은 당업자에게 용이하게 확인될 것이다. 따라서, 더 넓은 양태에서의 본 발명은 구체적인 세부 사항, 대표적인 장치 및 방법, 그리고 도시되고 설명된 예시적인 실시예로 제한되지 않는다. 따라서, 전반적인 본 발명의 개념의 범위를 벗어나지 않으면서, 그러한 세부 사항으로부터 변경이 이루어질 수 있다.

Claims (20)

  1. 기판을 처리하기 위한 시스템에서 플라즈마 성능을 제어하는 방법으로서,
    제1 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하는 단계;
    상기 제1 전력 파라미터 세트를 사용하여, 상기 플라즈마 챔버 내에 플라즈마를 형성하는 단계;
    상기 제1 전력 파라미터 세트로 상기 플라즈마와의 전력 결합을 측정하는 단계;
    제2 전력 파라미터 세트의 전력을 상기 플라즈마 챔버에 공급하는 단계;
    상기 플라즈마에 대한 상기 제2 전력 파라미터 세트로 상기 플라즈마와의 전력 결합을 측정하는 단계; 및
    상기 제2 전력 파라미터 세트로 상기 전력 결합을 측정하는 단계에 적어도 부분적으로 기초하여, 상기 제1 전력 파라미터 세트를 조정하는 단계를 포함하는,
    기판을 처리하기 위한 시스템에서 플라즈마 성능을 제어하는 방법.
  2. 제1항에 있어서,
    상기 제2 전력 파라미터 세트의 상기 전력 결합을 측정하는 단계는, 상기 플라즈마 챔버에 전력을 공급하도록 구성된 전원의 순방향 전력을 측정하는 단계를 더 포함하는, 방법.
  3. 제1항에 있어서,
    상기 제2 전력 파라미터 세트의 상기 전력 결합을 측정하는 단계는, 상기 플라즈마 챔버에 전력을 공급하도록 구성된 전원의 반사 전력을 측정하는 단계를 더 포함하는, 방법.
  4. 제1항에 있어서,
    상기 제2 전력 파라미터 세트의 상기 전력 결합을 측정하는 단계는, 상기 플라즈마 챔버에 전력을 공급하도록 구성된 전원과 상기 플라즈마 챔버 사이에 결합된 공동(cavity)의 공진 에너지를 측정하는 단계를 더 포함하는, 방법.
  5. 제4항에 있어서,
    상기 공진 에너지를 측정하는 단계는 상기 공동의 복수의 지점에서 측정하는 단계를 더 포함하며, 각각의 지점은 알려진 거리로 분리되는, 방법.
  6. 제1항에 있어서,
    상기 제2 전력 파라미터 세트의 상기 전력 결합을 측정하는 단계는, 상기 플라즈마 챔버와의 인터페이스에서 전자기 에너지를 측정하는 단계를 더 포함하는, 방법.
  7. 제1항에 있어서,
    상기 제2 전력 파라미터 세트의 상기 전력 결합을 측정하는 단계는, 광검출기 장치를 통해 상기 플라즈마를 광학적으로 측정하는 단계를 더 포함하는, 방법.
  8. 제1항에 있어서,
    상기 제2 전력 파라미터 세트의 전력을 공급하는 단계는 제1 전원과 제2 전원 간에 스위칭하는 단계를 더 포함하며,
    상기 제1 전원은 상기 제1 전력 파라미터 세트의 전력을 공급하도록 구성되고, 상기 제2 전원은 상기 제2 전력 파라미터 세트의 전력을 공급하도록 구성되는, 방법.
  9. 제1항에 있어서,
    상기 제2 전력 파라미터 세트의 전력을 공급하는 단계는, 상기 제2 전력 파라미터 세트에 따라 구성된 측파대 신호를 상기 제1 전력 파라미터 세트로 공급된 상기 전력과 혼합하는 단계를 더 포함하는, 방법.
  10. 제9항에 있어서,
    상기 측파대 신호를 혼합하는 단계는, 주파수 상향 변환기 장치, 및 상기 응답 신호를 추출하기 위한 주파수 하향 변환기를 사용하여 수행되는, 방법.
  11. 제1항에 있어서,
    상기 제2 전력 파라미터 세트는 파라미터 값의 범위에 걸쳐서 가변적인, 방법.
  12. 제11항에 있어서,
    하나 이상의 측정 장치로부터 피드백을 수신하는 제어 루프를 사용하여, 상기 제2 전력 파라미터 세트의 설정을 제어하는 단계를 더 포함하며,
    각각의 측정 장치는 상기 제2 전력 파라미터 세트로 상기 전력 결합을 측정하도록 구성되는, 방법.
  13. 제1항에 있어서,
    상기 제1 전력 파라미터 세트를 조정하는 단계는, 순차적 제어 루프 프로세스에 따라 상기 제1 전력 파라미터 세트의 복수의 구성 요소의 구성을 제어하도록 구성된 순차적 제어 루프에 의해 수행되는, 방법.
  14. 제1항에 있어서,
    상기 제1 전력 파라미터 세트를 조정하는 단계는, 상기 플라즈마 챔버에 공급되는 전력의 주파수를 조정하는 단계를 더 포함하는, 방법.
  15. 제1항에 있어서,
    상기 제1 전력 파라미터 세트를 조정하는 단계는, 상기 플라즈마 챔버에 공급되는 전력의 크기를 조정하는 단계를 더 포함하는, 방법.
  16. 제1항에 있어서,
    상기 제1 전력 파라미터 세트를 조정하는 단계는, 상기 플라즈마 챔버에 전력을 공급하도록 구성된 전원에 결합된 기계적 동조 장치를 조정하는 단계를 더 포함하는, 방법.
  17. 제1항에 있어서,
    상기 제1 전력 파라미터 세트를 조정하는 단계는, 상기 플라즈마 챔버에 전력을 공급하도록 구성된 전원에 결합된 전자적 전자기 에너지 정합 장치를 조정하는 단계를 더 포함하는, 방법.
  18. 기판을 처리하기 위한 시스템으로서,
    제1 전력 파라미터 세트의 전력을 플라즈마 챔버에 공급하도록 구성된 제1 전원;
    제2 전력 파라미터 세트의 전력을 상기 플라즈마 챔버에 공급하도록 구성된 제2 전원;
    상기 제1 전력 파라미터 세트의 공급 전력을 측정하고, 상기 제2 전력 파라미터 세트의 상기 전력을 측정하도록 구성된 측정 장치; 및
    상기 플라즈마 응답이 원하는 응답 조건을 충족시킨다는 결정에 응답하여, 상기 제2 전력 파라미터 세트로 작동하게 상기 제1 전원을 조정하도록 구성된 전원 제어기를 포함하는,
    기판을 처리하기 위한 시스템.
  19. 기판을 처리하기 위한 시스템으로서,
    상기 기판을 처리하기 위한 플라즈마를 수용하도록 구성된 플라즈마 챔버;
    제1 전력 파라미터 세트의 전력 및 제2 전력 파라미터 세트의 전력을 상기 플라즈마 챔버에 공급하도록 구성된 전원;
    상기 전원으로부터 상기 플라즈마 챔버로 상기 전력을 전도하도록 구성된 전력 전송 시스템;
    상기 플라즈마와의 전력 결합을 측정하기 위해 상기 전력 전송 시스템에 결합된 하나 이상의 측정 장치; 및
    상기 제2 전력 파라미터 세트로의 상기 전력 결합의 측정에 적어도 부분적으로 기초하여, 상기 제1 전력 파라미터 세트를 조정하도록 구성된 전원 제어기를 포함하는,
    기판을 처리하기 위한 시스템.
  20. 제19항에 있어서,
    상기 하나 이상의 측정 장치는,
    상기 전력 전송 시스템의 전력 증폭기 구성 요소에 결합된 전력 샘플링 센서로서, 상기 전력 샘플링 센서는 반사 전력을 측정하도록 구성되는, 전력 샘플링 센서;
    상기 전력 전송 시스템의 전력 전송 결합 장치 구성 요소에 결합된 다지점 센서로서, 상기 다지점 센서는 상기 전력 전송 결합 장치의 공진 전력을 측정하도록 구성되는, 다지점 센서;
    상기 전력 전송 시스템의 공동(cavity) 구성 요소에 결합된 전자기 센서로서, 상기 전자기 센서는 상기 플라즈마 챔버에 제공되는 전력의 세기를 측정하도록 구성되는, 전자기 센서; 및
    상기 플라즈마 챔버에 형성된 상기 플라즈마에 의해 생성되는 광의 세기를 측정하도록 구성된 광 센서 중 적어도 하나를 포함하는, 시스템.
KR1020207021033A 2018-01-25 2019-01-21 플라즈마 성능을 제어하기 위한 방법 및 시스템 KR20200104340A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/880,435 2018-01-25
US15/880,435 US10510512B2 (en) 2018-01-25 2018-01-25 Methods and systems for controlling plasma performance
PCT/US2019/014396 WO2019147513A1 (en) 2018-01-25 2019-01-21 Methods and systems for controlling plasma performance

Publications (1)

Publication Number Publication Date
KR20200104340A true KR20200104340A (ko) 2020-09-03

Family

ID=67300089

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207021033A KR20200104340A (ko) 2018-01-25 2019-01-21 플라즈마 성능을 제어하기 위한 방법 및 시스템

Country Status (6)

Country Link
US (1) US10510512B2 (ko)
JP (1) JP7305095B2 (ko)
KR (1) KR20200104340A (ko)
CN (1) CN111630623A (ko)
TW (1) TWI802638B (ko)
WO (1) WO2019147513A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024144855A1 (en) * 2022-12-27 2024-07-04 Applied Materials, Inc. Power compensation in pvd chambers

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11011351B2 (en) * 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US11114286B2 (en) 2019-04-08 2021-09-07 Applied Materials, Inc. In-situ optical chamber surface and process sensor
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11107661B2 (en) * 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11499869B2 (en) * 2019-11-13 2022-11-15 Applied Materials, Inc. Optical wall and process sensor with plasma facing sensor
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US12027351B2 (en) 2020-01-10 2024-07-02 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11688584B2 (en) 2020-04-29 2023-06-27 Advanced Energy Industries, Inc. Programmable ignition profiles for enhanced plasma ignition
US11320470B2 (en) * 2020-07-10 2022-05-03 Dell Products L.P. System and method for channel optimization using via stubs
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US12040139B2 (en) 2022-05-09 2024-07-16 COMET Technologies USA, Inc. Variable capacitor with linear impedance and high voltage breakdown

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6458251B1 (en) * 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7019543B2 (en) * 2001-03-16 2006-03-28 Tokyo Electron Limited Impedance monitoring system and method
US6920312B1 (en) * 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
US20080003702A1 (en) * 2006-06-28 2008-01-03 Cruse James P Low Power RF Tuning Using Optical and Non-Reflected Power Methods
US8264154B2 (en) * 2008-05-14 2012-09-11 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
KR101124419B1 (ko) * 2009-02-18 2012-03-20 포항공과대학교 산학협력단 마이크로파 플라즈마 생성을 위한 휴대용 전력 공급 장치
JP5628507B2 (ja) * 2009-10-20 2014-11-19 東京エレクトロン株式会社 試料台及びマイクロ波プラズマ処理装置
JP2012220359A (ja) * 2011-04-11 2012-11-12 Tokyo Electron Ltd 基板処理装置に用いられるプロセスモニター装置、プロセスモニター方法、および基板処理装置
JP5740246B2 (ja) * 2011-08-15 2015-06-24 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9368329B2 (en) * 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
EA028986B1 (ru) * 2012-07-11 2018-01-31 Асахи Гласс Компани, Лимитед Устройство и способ предотвращения повреждения подложки в плазменной установке, в которой применяют dbd
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US8790743B1 (en) * 2013-03-04 2014-07-29 Asm Ip Holding B.V. Method for controlling cyclic plasma-assisted process
US20140262040A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Method and system using plasma tuning rods for plasma processing
US20140367043A1 (en) * 2013-06-17 2014-12-18 Applied Materials, Inc. Method for fast and repeatable plasma ignition and tuning in plasma chambers
JP6374647B2 (ja) * 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
US10424462B2 (en) * 2013-11-06 2019-09-24 Tokyo Electron Limited Multi-cell resonator microwave surface-wave plasma apparatus
US9406485B1 (en) * 2013-12-18 2016-08-02 Surfx Technologies Llc Argon and helium plasma apparatus and methods
CN105405735B (zh) * 2014-08-22 2017-07-25 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体处理工艺的监测方法
US9854659B2 (en) * 2014-10-16 2017-12-26 Advanced Energy Industries, Inc. Noise based frequency tuning and identification of plasma characteristics
US10128083B2 (en) * 2016-06-01 2018-11-13 Vebco Instruments Inc. Ion sources and methods for generating ion beams with controllable ion current density distributions over large treatment areas
US11432732B2 (en) * 2016-06-28 2022-09-06 Chiscan Holdings, Llc System and method of measuring millimeter wave of cold atmospheric pressure plasma
US10424467B2 (en) * 2017-03-13 2019-09-24 Applied Materials, Inc. Smart RF pulsing tuning using variable frequency generators
CN206848171U (zh) * 2017-07-04 2018-01-05 王垒 一种基于金属等离子体共振的污水检测装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024144855A1 (en) * 2022-12-27 2024-07-04 Applied Materials, Inc. Power compensation in pvd chambers

Also Published As

Publication number Publication date
US20190228950A1 (en) 2019-07-25
US10510512B2 (en) 2019-12-17
CN111630623A (zh) 2020-09-04
JP2021511684A (ja) 2021-05-06
TWI802638B (zh) 2023-05-21
TW201941252A (zh) 2019-10-16
WO2019147513A1 (en) 2019-08-01
JP7305095B2 (ja) 2023-07-10

Similar Documents

Publication Publication Date Title
JP7305095B2 (ja) プラズマ性能を制御するための方法及びシステム
US11222770B2 (en) Microwave applicator with solid-state generator power source
CN108766881B (zh) 等离子体处理装置和控制方法
US20140225504A1 (en) Plasma processing apparatus, plasma processing method and high frequency generator
US10109463B2 (en) Microwave automatic matcher and plasma processing apparatus
US20190244789A1 (en) Microwave output device and plasma processing apparatus
US10777385B2 (en) Method for RF power distribution in a multi-zone electrode array
US6016766A (en) Microwave plasma processor
US20190267216A1 (en) Microwave output device and plasma processing apparatus
CN117280440A (zh) 用于实时脉冲测量和脉冲时序调整以控制等离子体工艺性能的系统和方法
KR102387618B1 (ko) 플라스마 밀도 모니터, 플라스마 처리 장치, 및 플라스마 처리 방법
JP6814693B2 (ja) マイクロ波出力装置及びプラズマ処理装置
US11527386B2 (en) Plasma processing apparatus
US20210280401A1 (en) Inspection method and plasma processing apparatus
US11587769B2 (en) Microwave output device and plasma processing apparatus

Legal Events

Date Code Title Description
E902 Notification of reason for refusal