JP2012522399A - 選択的窒素化の方法 - Google Patents

選択的窒素化の方法 Download PDF

Info

Publication number
JP2012522399A
JP2012522399A JP2012503543A JP2012503543A JP2012522399A JP 2012522399 A JP2012522399 A JP 2012522399A JP 2012503543 A JP2012503543 A JP 2012503543A JP 2012503543 A JP2012503543 A JP 2012503543A JP 2012522399 A JP2012522399 A JP 2012522399A
Authority
JP
Japan
Prior art keywords
nitrogen
containing layer
layer
forming
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012503543A
Other languages
English (en)
Other versions
JP5595481B2 (ja
Inventor
クリストファー エス. オルセン,
ヨハネス スウェンバーグ,
ウダヤン ガングリー,
テレサ クレーマー グアリーニ,
ヨナ チョー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012522399A publication Critical patent/JP2012522399A/ja
Application granted granted Critical
Publication of JP5595481B2 publication Critical patent/JP5595481B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/911Differential oxidation and etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)
  • Weting (AREA)

Abstract

ここに、半導体装置の形成方法が提供された。ある実施の形態において、半導体装置の形成方法は、酸化物表面とシリコン表面とを有する基板を用意し、酸化物表面およびシリコン表面の双方の露出面に窒素含有層を形成し、酸化物表面上から窒素含有層を選択的に除去することによって窒素含有層を酸化する工程を有する。別の実施の形態において、シリコン特徴部上に形成された窒素含有層の残余部分上に酸化物層が形成される。また、ある実施の形態において、酸化物表面が半導体装置の1つまたはそれ以上のフローティングゲートに隣接して配置されている浅いトレンチ分離領域(STI)の露出した表面である。ある実施の形態において、シリコン表面は、半導体装置のシリコンまたはポリシリコンのフローティングゲートの露出面である。

Description

本発明の実施の形態は、一般的に、半導体製造工程および装置の分野、より特別に、装置の形成方法に関する。
装置構造を単純に縮小させることによる半導体装置の縮小は、しばしば、小さな寸法において、受け入れ可能な結果を生まない。NANDフラッシュメモリ装置において、トンネル酸化物層、インターポリ誘導体(IPD)層、あるいは類似層といった特徴部が縮小されると、望ましくない漏洩が、例えば、基板とフローティングゲートとの間、フローティングゲートと制御ゲートの間等で生ずる。従って、そして例えば、トンネル酸化物層の信頼性の改良、あるいは、フローティングゲートらのドーパントの外方拡散の抑制のために、それぞれの層には、その中、あるいはその表面に、窒化工程などによって窒素が組み込まれている。
通常、そうした窒化工程は、メモリ装置のフローティングゲート構造に窒素を取り込むことで行われる。然し、窒化工程は、また、近接したフローティングゲート構造を分離する浅いトレンチ分離(STI)領域に、望ましくなく窒素を組み入れてしまう。窒素が組み入れられたSTI領域は、隣接するフローティングゲートを電気的に望ましくない結合をし、隣接するフローティングゲート間で電気的に結合する結果となり、最終的な装置の性能に負の効果を与える。幾つかの従来の工程において、窒化工程後、STI領域から窒素を除去する試みとして、湿式の化学工程が利用されている。しかし、不幸にも、湿式の化学的除去処理は、フローティングゲート上に形成された望ましい窒素含有層から窒素を除去し、その層の性能を劣化させる。
従って、半導体装置を作成する改良された方法を開発する技術的な必要がある。
半導体装置の形成方法がここに提供される。ある実施の形態において、半導体装置形成の方法は、酸化物の表面とシリコンの表面を持った基板を用意し、酸化物とシリコン両表面の露出した部分上に窒素含有層を形成し、酸化物表面上から窒素含有層を選択的に除去するために、窒素含有層を酸化する。ある実施の形態において、酸化物表面は、シリコン特徴部上に形成された窒素含有層の残余の部分の上に形成される。ある実施の形態では、酸化物表面は、半導体装置の1つまたはそれ以上のフローティングゲートに隣接して配置された浅いトレンチ分離(STI)領域の露出表面である。ある実施の形態において、シリコン表面は、半導体装置のシリコンまたはポリシリコンのフローティングゲートの露出面である。
上記に短くまとめ、下記に詳細に論議する本発明の実施の形態は、添付した図面に図示された本発明の態様を参照することによって理解されよう。然し、添付の図面は本発明の典型的な実施態様を示しているに過ぎず、従って、発明の範囲を限定するものではなく、本発明は、その他の同様に有効な実施態様をも容認することに注意されたい。
図1は、本発明のある実施の形態に従う半導体装置形成の方法を示すフローチャートである。
図2Aは、図1の方法のある実施の形態に従う半導体装置の製造の段階を図示している。
図2Bは、図1の方法のある実施の形態に従う半導体装置の製造の段階を図示している。
図2Cは、図1の方法のある実施の形態に従う半導体装置の製造の段階を図示している。
図2Dは、図1の方法のある実施の形態に従う半導体装置の製造の段階を図示している。
図3は、本発明の一部分を実行するに適したクラスターツールを図示している。
理解を容易にするために、各図に共通な同じ要素を指定するため、可能な限り、同じ参照番号を使用した。図面は、同じ縮尺で描画していないし、明瞭とするための簡素化されている。1つの実施態様の要素や特徴は、さらに説明することなくその他の実施の形態に有益に組み込まれると考えられる。
半導体装置を製造するための方法がここに提供される。一般に、その方法は、例えば、フラッシュメモリ装置のフローティングゲートといった露出したシリコンの特徴部の選択的窒素化を含み、隣接するフローティングゲート構造間に配置されている浅いトレンチ分離(STI)領域といったその他の露出した特徴部には窒素化は、極めて僅かか全く行われない。本文に開示される方法は、露出したシリコン特徴部上に形成された窒化物層には減少あるいは限定した損傷しか与えずに、望ましくない露出した特徴部から有利に窒素を除去する。
図1は、本発明のある実施の形態による半導体装置の製造方法のフローチャートを図示している。この方法100は図2Aから図2Dに図示される典型的な半導体装置(メモリ装置200)製造の段階に従って以下に記述される。この方法100は、各フローティングゲート層の表面に窒素含有層を選択的に形成する一方、基板の望ましくない部分(基板のフィールド酸化物の表面、STI構造等)には、窒素の編入は僅かかあるいは無い。STI構造および/またはフィールド酸化物といったフローティングゲートや酸化物層に関して図示したが、ここに開示する方法は、その他の酸化物層、誘電体層または構造等といった基板上に配置されたその他の構造と組み合わせて使用することができる。
方法100は、露出した酸化物表面と露出したシリコン表面を持つ基板202を準備することによる工程102から始まる。このような基板202は、図2Aに図示され、同図には、基板202上に形成される部分的に形成されたメモリ装置200を図示している。基板202は、結晶シリコン(例えば、Si<100>、あるいはSi<111>)、シリコン酸化物、歪みシリコン、シリコンゲルマニウム、ドープありまたはドープなしのポリシリコン、ドープありまたはドープなしのシリコンウエーハ、パターン付きまたはパターンなしのウエーハ、シリコンオンインシュレータ(SOI)、炭素ドープ酸化シリコン、窒化シリコン、ドープされたシリコン、ゲルマニウム、ガリウム砒素、ガラス、サファイヤ、等からなる。ある実施の形態では、基板202はシリコンからなる。
部分的に形成されたメモリ装置200は、露出したシリコン表面207を持つパターンが形成されたフローティングゲート層206(多数のフローティングゲートを画成している)を含む。フローティングゲート206は通常、ポリシリコン、金属等といった導体材料からなる。ある実施の形態において、フローティングゲート層206は、共にドープされているかドープされていないシリコン(Si)またはポリシリコン(Poly−Si)からなる。フローティングゲート206は、図2Dに示すように隣接したセル(例えば、セル203、205、211の間)の間にIPD層210の部分を容易に配置するに適した構成を持つ。
トンネル酸化物層204は、基板202とフローティングゲート層206との間に配置されている。トンネル酸化物層204は、シリコン酸化物(SiO)、シリコン酸窒化物(SiON)、あるいは、アルミニウム−(Al)、ハフニウム−(Hf)、ランタナム−(La)、ジルコニウム−(Zr)の酸化物または酸窒化物、または窒化シリコンの単一または多層構造(例えば、SiO/high−k/SiO)等といった高誘電体材料(High−k)からなる。
トンネル酸化物層204は、パターン化されたフローティングゲート層206に相当する同様なパターンとされている。パターン化されたフローティングゲート層206、トンネル酸化物層204、および基板202の下地部分といった各部分は、メモリ装置200のセル203(あるいはメモリ単位)からなる。トンネル酸化物層204は、各セル内で、パターン化されたフローティングゲート層206によって画成されたそれぞれのフローティングゲートの元の幅とほぼ等しい幅を持つ。トンネル酸化物層204は、例えば、5から12nmの間の適切な厚さを持つ。
メモリ装置200の各セルは、基板202上の隣接したセルおよび/または他の装置(その他のメモリ装置を含む)と分離する浅いトレンチ分離(STI)領域によって分離されている。例えば、メモリ装置200内で、浅いトレンチ分離(STI)領域208は、基板202上の各セルの間(例えば、トンネル酸化物層204とフローティングゲート層206のそれぞれの部分に隣接する、ここで、STI領域208は隣接するセル205、211からセル203を分離する)に配置される。STI領域208は、酸化シリコン(SiO)、酸窒化シリコン(SiON)等 シリコンと酸素を含む。STI領域208は、隣接するセル(例えば、セル203および205)における隣接したフローティングゲート206に接触するように十分な高さに広がる。
それ故、図2Aに図示される作成の段階において、基板202は、露出した酸化物表面(例えば、STI領域208上部)と露出したシリコン表面207(例えば、フローティングゲート層206上部)を含んでいる。
ある実施の形態において、窒素層(後述の104で述べる)を形成する前に、予備洗浄(湿式あるいは乾式)を行い、シリコン領域−化学酸化物あるいは露出したシリコン上に終端処理された表面が残る。ある実施の形態において、露出したシリコン表面を残す乾式洗浄処理が用いられ、これが、全ての工程に組み込まれる。この場合、全ての工程に組み込まれた予備洗浄は、空気にさらされてシリコンが酸化することを防止する効果がある。ある実施の形態において、104における窒素化のまえに、ドーパント注入が行われるので、最終的なドーパント濃度は増加する(予備窒素化ドーパント処理を行わない場合に比較して)。
次いで、104において、図2Bに示すように、STI領域208の露出した酸化物表面209とフローティングゲート206の露出したシリコン表面107の両表面上に窒素含有層が形成される。窒素含有層214は、例えば、STI領域208の露出表面209上に形成された酸窒化部214および/または、例えば、フローティングゲート206の露出表面207上に形成された窒化部214を含む。典型的な酸窒化部はシリコン酸窒化物(SiON)
である。典型的な窒化物部は窒化シリコン(SiN)を含む。窒素含有層208は、約0.2から5nmの間の厚さを持つ。窒素含有層208は、約1から60%の窒素含有量を持つ。
窒素含有層214は、例えば、分離されたプラズマ源を用いるプラズマ窒化といった適切な窒化処理を用いて形成できる。本発明に使用するに適した典型的な窒化チャンバの例は、カリフォルニア州、サンタクララのアプライドマテリアル社から入手できる分離されたプラズマ窒化(DPN)用に構成された各種チャンバでよい。
例えば、典型的な窒素化工程はプロセスガスガスからのプラズマの形成を含む。プロセスガスは少なくとも窒素を含む。例えば、適切な第1ガスは窒素(N)、アンモニア(NH)あるいは、それらの組み合わせを含む。その他の選択肢として、第1プロセスガスは、さらに、例えば、アルゴン(Ar)、ヘリウム(He)、クリプトン(Kr)、キセノン(Xe)等の不活性ガスを含むこともできる。ある実施の形態では、第1プロセスガスは窒素(N)のみである。
プロセスガスは、全流量として約200から1000sccm、あるいは約400sccmで供給される。第1プロセスガスはある範囲の組成のガスが利用される。ある実施の形態において、プロセスガスは約3%の窒素(N)(即ち、窒素(N)の流量が約10から約50sccmの間)を含む。ある実施の形態では、プロセスガスは約3から約50%のアンモニア(NH)(即ち、アンモニア(NH)の流量が約10から約100sccmの間)を含む。ある実施の形態では、プロセスガスは約0から約97%の不活性ガス(即ち、不活性ガスの流量が約0から約1000sccmの間)を含む。例えば、ある特別な実施の形態において、100からなる窒素(N)が、約400sccmの割合で供給される。
プラズマは、例えば、誘導結合されたプラズマ源を用いて形成される。ある実施の形態において、プラズマ密度は約1e9から約1e12ions/cm3の間である。プラズマはRF電源電力を用いて形成される。ある実施の形態では、RF電源電力は約100から約5kWの間である。RF電源電力はいかなる適切なRF周波数を用いてもよい。例えば、ある実施の形態では、RF電源電力は約13MHzから約90MHzの間の周波数で供給される。ある実施の形態では、RF電源電力は、約13MHzの周波数で供給される。誘導的窒素化処理の間の処理チャンバの圧力は、約0.005Torrから約0.5Torr、あるいは0.05Torrに維持される。
基板202は、窒化処理時は加熱される。例えば、基板202、STI領域208、およびフローティングゲート206は露出面の温度が約100から500℃の間であるように加熱される。加熱温度範囲を増加させると、より高い窒化速度および/またはより高い窒素含有量を促進し、シリコン領域とSiO領域の間の窒化速度の差を変える。ある実施の形態において、約300から450℃の温度において、フローティングゲートに取り込まれた窒素の含有量は、約15から30%の間である。ある実施の形態で、約300から約450℃の間の温度において、STI領域に取り込まれた窒素の含有量は約5から約20%である。
ある実施の形態において、約50から500ワットの間といったRFバイアス電力を任意に印加することが出来る。RFバイアス電力は、約13.5MHzから60MHzの間といった適切な周波数で印加することが出来る。
次に、106において、窒素含有層214は酸化される一方、同時に、図2Cに図示されるように、窒素含有層はSTI領域208から選択的に除去される。例えば、隣接するセルの隣接するフローティングゲート206間のいかなる導電通路をも制限または除外するため、窒素含有層はSTI領域208上から選択的に除去される。窒素含有シリコン表面(214)は酸化され、結果として最後にSiON層(216)となる。酸化物層216は、約0.2から約5nmの間の厚さを持つ。ある実施の形態において、酸化物層216は、後に論議するように、ONO層の第1酸化物層といったIPD層210の一部として利用される。
窒素含有層の選択的除去のための酸化処理は、分離プラズマ酸化(DPO)といったプラズマ酸化、およびスパイクRTP、ラジカル酸化、スパイクラジカル酸化、熱窒素化等といった急速熱酸化(RTO)を含むいずれかの適切な酸化工程によって行われる。ラジカル酸化に続くアニールといった処理工程の組み合わせもある。本発明の方法の酸化処理を実施するために適切な典型的な処理チャンバは、カリフォルニア州、サンタクララのアプライドマテリアル社で入手可能なRADIANCE(登録商標)あるいはRADOXTM RTPチャンバを含む。
酸化プロセスガスが用いられ、少なくとも酸素含有ガスを含む。ある実施の形態において、プロセスガスは水素(H)および酸素(O)を含む。ある実施の形態において、水素(H)は、約1%以下、または約3%以下、あるいは約6%以下、あるいは、用いられる水素(H)および酸素(O)の全量の約80%までである。ある実施の形態において、水素(H)は、用いられる水素(H)および酸素(O)の全量の約3から約33%(即ち、水素(H)および酸素(O)の流量比は約1:1から約4:1)である。ある実施の形態において、水素(H)は、用いられる酸素(O)および水素(H)の全量の約0.5%、あるいは約1%、あるいは約3%、あるいは約6%である。ある実施の形態において、酸化プロセスガスは、上述の通り、同じ流量比の水素(H)および亜酸化窒素(NO)を含む。
ある実施の形態において、酸化プロセスガスは、合計流量速度において、50slm以下、あるいは、約20から約30slmの間で供給される。ある実施の形態において、不活性ガスが、必要に応じて、約20から約30slmの間の合計流速で供給される。ある実施の形態において、不活性ガスが、必要に応じて、水素(H)が約50%またはそれ以上の含有量をもつプロセスガス混合物として供給されるように提供される。ある実施の形態において、1種あるいはそれ以上の不活性ガスは、アルゴン(Ar)、ヘリウム(He)、クリプトン(Kr)、ネオン(Ne)等を含む。プロセスガスに1種またはそれ以上の不活性ガスを添加することは、容易により高い酸化速度を与える。ある具体的な実施の形態において、酸素(O)が約19slmで供給され、水素(H)が約1slmで供給される。ある実施の形態において、酸素(O)が19slmで供給され、水素(H)が約1slmで供給される。ある実施の形態において、プラズマ酸化ガスは、上記のように、合計ガス流量に対して約5から約95%の不活性ガスを含むOとHの組み合わせガスからなる。
酸化プラズマが酸化プロセスガス(例えば、分離プラズマ酸化といった)から形成される酸化処理の実施の形態において、酸化プラズマは、プラズマ形成に適した周波数(例えば、MHz範囲、あるいは約13.56MHzまたはそれ以上の周波数)の約50Wから約2500Wの間のRF電源電力を印加して形成される。ある実施の形態において、酸化プラズマは、約1e9から約1e12ions/cm3の間の密度で形成される。
酸化物層216は約0.005から約15Torrの間の圧力で形成される。それに変えて、あるいは組み合わせて、酸化速度の増加を促進させるために、例えば、基板202の温度を約室温から約550℃の間に加熱して、基板202をより高い温度で維持する。
酸化性プロセスガスから酸化プラズマが形成される実施の形態において、窒素含有層214表面への酸化性プラズマのフラックスを制御するために、また、ある実施の形態では、形成される酸化物層の厚さを制御するために、基板202は酸化物層の形成の間バイアスされる。ある実施の形態において、基板202に印加されるバイアス電力は約50から約500ワットである。
窒素含有素層214が熱的酸化工程において酸化される実施の形態において、この工程は600を超え1100℃以下の温度で行なわれる。ある実施の形態において、熱的酸化工程の期間供給されたプロセスガスは、水素(H)を含む酸素含有ガスである。水素(H)および酸素含有ガスは、チャンバの全圧力が約20Torr以下で反応する。
ある実施の形態において、STI領域208上からは窒素含有層214は除去したい一方、窒素含有層214の残余の領域上では、酸化物含有層の形成は最少としたい。例えば、酸化物層形成の最少化はメモリ装置200における縮小化を保持して行われることが望まれる。それ故、酸化処理の工程条件は、STI領域208上からの窒素除去と残余の窒素含有領域214上の酸化物層の形成との間でトレードオフが成立するように調整される。例えば、そのような工程条件は、温度の低下、あるいは、スパイクRTP工程を用いることによって、プロセスガスの濃度、圧力、流量、あるいはそれらの組み合わせを調整するといった熱的な割当量を減少させること等を含む。一例として、700℃の温度で、(酸素O中の)水素(H)%が3%から33%の濃度のガス中への浸漬時間が約10ないし約60秒の低温ラジカル酸化工程がある。加えて、上述の組み合わせの酸化性ガスを用いたピーク温度が950℃までのスパイクラジカル酸化工程も使用できる。
方法100と組み合わせるか、方法100の完了後、別の選択肢の処理工程を実施することができる。例えば、STI領域208上に配置されている。窒素含有層214の一部を除去する酸化工程の前に、湿式エッチングを利用することができる。湿式エッチングは、弗酸(HF)あるいは塩酸(HCl)といった酸性液に、装置200を浸漬することを含む。湿式エッチの前に、窒素の密度を上げるためにアニールが行なわれる。さらに、106における選択的酸化の前に、例えば、窒素含有層214の均質化や安定化のために高温に於ける酸化に先立って、装置200はアニールされる。装置200は、IPD層210の堆積前に、同じ理由から高温酸化後にアニールされる。さらに、例えば、フローティングゲート上の酸化物層216(ある実施の形態では、SiON層)を除去すること無しに、STI領域208上から、残余の窒素含有種の徐去のため、酸化工程後に湿式エッチが行なわれる。
ある実施の形態において、方法100は、窒素含有層214の選択的徐去で終了するが、その他の処理が、図2Dに示すように、装置200を完成させるために実行されることもある。例えば、インターポリ誘電体(IPD)層210がフローティングゲート206の上に形成されたり、制御ゲート層212が、図2Dに示すように、IPD層210の上に形成されることもある。IPD層210は、各フローティングゲート206を制御ゲート層212から分離する。IPD層は、酸化物層216上、そしてSTI領域208上に、かつ互いに隣接するフローティングゲート206の空いた領域に配置される。IPD層210は任意の適切な単層または多数層の誘電体材料からなる。単層のIPDは、SiO2SION、あるいは、トンネル酸化物層に関連して前に論議した高誘電体材料等からなる。多数層IPDの非限定例は、第1酸化物層、窒化層、および第2酸化物層からなる多数層ONO層、または、第1酸化物層、アルミニウム酸化物層、第2酸化物層からなる多数層OAO層である。第1および第2酸化物層は主としてシリコン酸化物(SiO)、シリコン酸窒化物(SiON)等である。窒化層は一般的に、窒化シリコン(SiN)などのシリコン及び窒素からなる。ある実施の形態において、SiO/high−k/SiO(例えば、SiO/Al/SiO)からなる多数層IPD層がIPD層110として用いられる。ある実施の形態において、IPD層210は、約12から約15nmの間の厚さに堆積される。
制御ゲート層212は、図2Dに示すように、IPD層210の上に堆積される。任意であるが、窒素含有層(図示していない)は、制御ゲート212の堆積前に、IPD層の上に堆積される。制御ゲート層212は、通常、ポリシリコン、金属等といった導体材料からなる。
例えば、窒素化および酸化といったここで述べる方法は、上記に討議したような典型的なチャンバである別個の窒素化チャンバあるいは酸化チャンバ内で行われ、こうしたチャンバは、独立構成あるいは、図3に関連して下記に記載する、例えば、集積ツール300(即ち、クラスターツール)であるクラスターツールの一部として提供されている。集積ツール300の例は、カリフォルニア州、サンタクララのアプライドマテリアル社から全て入手できる、例えば、CENTURA(登録商標)DPNゲートスタックといったCENTURA(登録商標)およびENDURA(登録商標)集積ツールがある。ここで述べる方法は、それに結合された適切な処理チャンバを持つその他のクラスターツールを用いるか、あるいは、その他の適切な処理チャンバ内で実行出来ると考えられる。
集積ツール300は、真空気密状態の処理プラットフォーム301、ファクトリーインターフェース304およびシステム制御装置302を含む。プラットフォーム301は、真空基板移動チャンバ303と動作可能に結合された、例えば、314A、314B、314Cおよび314Dといった多数の処理チャンバを含む。ファクトリーインターフェース304は、1つまたはそれ以上のロードロックチャンバ(図3に示す、306Aおよび306Bといった2個のロードロックチャンバ)によって移動チャンバ303と動作可能に結合されている。
ある実施の形態において、ファクトリーインターフェース304は、少なくとも1つのドッキングステーション307と半導体基板の移動を容易にするための少なくとも1つのファクトリーインターフェースロボット338を有する。ドッキングステーション307は、1個またはそれ以上の前方開口統合ポッド(FOUP)を収容するように構成されている。305A、305B、305Cおよび305Dといった4個のFOUPは、図3の実施の形態に図示されている。ファクトリーインターフェースロボット338は、基板をファクトリーインターフェース304から処理プラットフォーム301に、306Aおよび306Bといったロードロックチャンバによって移動するように構成されている。それぞれのロードロックチャンバ306Aおよび306Bは、ファクトリーインターフェース304と結合した第1ポートと、移動チャンバ303と結合した第2ポートを有する。ロードロックチャンバ306Aおよび306Bは、圧力制御システム(図示されていない)と結合され、この圧力システムは、チャンバ306Aおよび306Bをポンプダウンし通風して、移動チャンバ303の真空環境とファクトリーインターフェース304の事実上周囲の環境(即ち、大気圏の)との間の基板の通過を容易にする。移動チャンバ303は、その中に配置された真空ロボット313を持つ。真空ロボット313は、基板321をロードロックチャンバ306A、306Bと処理チャンバ314A、314B、314Cおよび314Dの間を移動させることが出来る。
ある実施の形態において、処理チャンバ314A、314B、314C、および314Dは、移動チャンバ303と結合している。処理チャンバ314A、314B、314C、および314Dは、少なくとも1つの酸化チャンバ、窒素化チャンバ、そして、随意に、エッチチャンバ、およびトンネル酸化物層、材料層、IPD層、制御ゲート層等を堆積する堆積チャンバ等を有する。酸化チャンバは、プラズマ酸化、急速熱酸化、あるいはラジカル酸化用に構成されたチャンバを有する。窒素化チャンバは、分離プラズマ窒素化(DPN)等を行うためのチャンバを含む。エッチングチャンバは、湿式または乾式、反応性イオンエッチ(RIE)等用として構成されたチャンバを含む。本発明の少なくともある実施の形態を実行するに適したチャンバの例は上記に説明した。
ある実施の形態において、1つまたはそれ以上の任意の役割を果たすサービスチャンバ(316Aおよび316Bとして示す)が移動チャンバ303に結合されている。サービスチャンバ316A、316Bは、脱ガス、配向、基板の計測、冷却等の基板処理を行う構成となっている。
システム制御装置302は、処理チャンバ314A、314B、314C、および314Dを直接制御に使用してツール300の操作を制御するか、あるいはそれに変えて、処理チャンバ314A、314B、314C、および314Dおよびツール300と関連するコンピュータ(あるいは制御装置)を制御することによって操作の制御を行う。制御操作において、システム制御装置302は、ツール300の性能を最適化するために、それぞれのチャンバおよびシステムからのデータ収集およびフィードバックを可能としている。システム制御装置302は、一般に、中央処理装置(CPU)330、記憶装置334、および支援回路332を含む。CPU330は、工業環境に使用可能な汎用コンピュータプロセッサの任意の形態の1つであればよい。支援回路332は、通常CPU330に結合され、それは、キャッシュメモリ、クロック回路、入力/出力サブシステム、電源等が含まれる。例えば、上述のフローティングゲートを形成する方法といったシフトウエアルーチンは、CPU330によって実行される時、CPU330を特定目的のコンピュータ(制御装置)302に転換する。ソフトウエアルーチンは、ツール300から離れて配置されている第2制御装置(図示されていない)によって格納され、および/または実行される。
従って、半導体装置を形成するための方法がここに提供された。有利には、この方法は、露出した酸化物特徴部から、堆積した窒素含有層を、露出したシリコン特徴部上に形成された窒素含有層に減少あるいは限定した損傷しか与えずに、選択的に除去する。
上記において、本発明の実施の形態を説明したが、本発明の元来の範囲から離れること無く、その他のあるいは更なる本発明の実施の形態を考案することが出来よう。

Claims (15)

  1. 半導体装置の形成方法であって、この方法は、
    酸化物表面とシリコン表面を有する基板を供給し、
    酸化物表面およびシリコン表面の共に露出した部分に窒素含有層を形成し、そして
    酸化物表面上から窒素含有層を選択的に除去するために、窒素含有層を酸化する工程を有する半導体装置の形成方法。
  2. さらに、シリコン特徴部上に形成された窒素含有層の残余の部分上に酸化物層を形成することからなる請求項1の方法。
  3. 酸化物表面は、半導体装置の1つまたはそれ以上のフローティングゲートに隣接して配置された浅いトレンチ分離領域(STI)の露出面を含み、シリコン表面は半導体装置のシリコンまたはポリシリコンのフローティングゲートの露出面である請求項1の方法。
  4. 窒素含有層の形成は、厚さが約0.2から約5.0ナノメーターの窒素含有層を形成することをからなる請求項1の方法。
  5. 窒素含有層の形成は、約1%から約60%の間の窒素含有量を持つ窒素含有層を形成することからなる請求項1の方法。
  6. 請求項1の方法であって、この方法はさらに、
    酸化物層上から窒素含有層の一部を除去するため、窒素含有層形成後および窒素含有層の酸化以前に湿式エッチングを施すか、あるいは、
    酸化物層上から残余の窒素含有種を除去するために、窒素含有層の酸化後にウエットエッチングを施すか、少なくとも一つを有する方法。
  7. 請求項1の方法は、さらに、
    窒素含有層を酸化後、露出したシリコン表面上にインターポリ誘電体層を形成し、そして、
    インターポリ誘電体層上に制御ゲート層を形成することを含む請求項1の方法。
  8. 窒素含有層がプラズマ窒化工程において形成される請求項1ないし7のいずれか1つに記載の方法。
  9. プラズマ窒化工程は、
    窒素含有ガスからなるプロセスガスからプラズマを形成し、そして、
    窒素含有層を形成するために、基板をプラズマに露出することを含む請求項8に記載の方法。
  10. 窒素含有ガスは、少なくとも、窒素(N2)またはアンモニア(NH3)のいずれか1つを有する請求項9に記載の方法。
  11. さらに、
    窒素含有ガスの窒化速度あるいは窒素含有量の少なくとも1つを増加させるために、プラズマ窒化工程を実行中に基板を加熱することを含む請求項8に記載の方法。
  12. 窒素含有層はプラズマ酸化工程において酸化される請求項1ないし7のいずれか1つに記載の方法。
  13. プラズマ酸化工程は、
    酸素(O2)に加えて、水素(H2)、ヘリウム(He)、窒素(N2)、あるいはアルゴン(Ar)のいずれか1種を有するプロセスガスからプラズマを形成し、そして、
    窒素含有層を酸化するために、基板をプラズマに露出することを有する請求項12に記載の方法。
  14. 窒素含有層は、600より高く1100℃より低い温度で、熱酸化工程によって酸化されることを有する請求項1ないし7のいずれか1つに記載の方法。
  15. 熱酸化工程は全チャンバ圧力が20Torr以下で、水素(H2)と酸素含有ガスとを反応させることを有する請求項14に記載の方法。
JP2012503543A 2009-03-31 2010-03-29 選択的窒素化の方法 Active JP5595481B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16517909P 2009-03-31 2009-03-31
US61/165,179 2009-03-31
PCT/US2010/028998 WO2010117703A2 (en) 2009-03-31 2010-03-29 Method of selective nitridation

Publications (2)

Publication Number Publication Date
JP2012522399A true JP2012522399A (ja) 2012-09-20
JP5595481B2 JP5595481B2 (ja) 2014-09-24

Family

ID=42784777

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012503543A Active JP5595481B2 (ja) 2009-03-31 2010-03-29 選択的窒素化の方法

Country Status (4)

Country Link
US (1) US7972933B2 (ja)
JP (1) JP5595481B2 (ja)
TW (1) TWI604562B (ja)
WO (1) WO2010117703A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012216667A (ja) * 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ処理方法
JP2014533437A (ja) * 2011-11-11 2014-12-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 層間多結晶シリコン誘電体キャップおよびその形成方法
WO2016104292A1 (ja) * 2014-12-25 2016-06-30 株式会社日立国際電気 半導体装置の製造方法、記録媒体及び基板処理装置

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010147937A2 (en) * 2009-06-15 2010-12-23 Applied Materials, Inc. Enhancing nand flash floating gate performance
EP2495762B1 (en) * 2011-03-03 2017-11-01 IMEC vzw Method for producing a floating gate semiconductor memory device
CN103633030A (zh) * 2012-08-22 2014-03-12 上海华虹宏力半导体制造有限公司 改善sonos闪存器件可靠性的面内均一性的方法
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
CN108987402A (zh) * 2017-05-31 2018-12-11 华邦电子股份有限公司 存储元件的制造方法
TWI635599B (zh) * 2017-05-31 2018-09-11 華邦電子股份有限公司 記憶元件的製造方法
US10483091B1 (en) 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
US11588031B2 (en) * 2019-12-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure for memory device and method for forming the same
WO2021150625A1 (en) 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006013003A (ja) * 2004-06-23 2006-01-12 Toshiba Corp 不揮発性半導体メモリ装置及びその製造方法
JP2009021608A (ja) * 2007-07-16 2009-01-29 Applied Materials Inc 不揮発性メモリデバイス用インターポリ誘電体を形成するための統合スキーム
JP2010103414A (ja) * 2008-10-27 2010-05-06 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100356773B1 (ko) * 2000-02-11 2002-10-18 삼성전자 주식회사 플래쉬 메모리 장치 및 그 형성 방법
US6620705B1 (en) * 2000-12-06 2003-09-16 Advanced Micro Devices, Inc. Nitriding pretreatment of ONO nitride for oxide deposition
US7517751B2 (en) 2001-12-18 2009-04-14 Tokyo Electron Limited Substrate treating method
TWI225668B (en) 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
WO2003098678A1 (fr) 2002-05-16 2003-11-27 Tokyo Electron Limited Procede de traitement de substrat
US7332408B2 (en) * 2004-06-28 2008-02-19 Micron Technology, Inc. Isolation trenches for memory devices
US7482223B2 (en) * 2004-12-22 2009-01-27 Sandisk Corporation Multi-thickness dielectric for semiconductor memory
KR100632640B1 (ko) * 2005-03-10 2006-10-12 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
US7294581B2 (en) 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US7888217B2 (en) 2005-10-20 2011-02-15 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7387972B2 (en) * 2006-03-01 2008-06-17 Promos Technologies Pte. Ltd. Reducing nitrogen concentration with in-situ steam generation
KR100806130B1 (ko) * 2006-07-12 2008-02-22 삼성전자주식회사 불휘발성 메모리 장치의 제조방법
US20080179715A1 (en) * 2007-01-30 2008-07-31 Micron Technology, Inc. Shallow trench isolation using atomic layer deposition during fabrication of a semiconductor device
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US7749849B2 (en) * 2007-12-18 2010-07-06 Micron Technology, Inc. Methods of selectively oxidizing semiconductor structures, and structures resulting therefrom
WO2009114617A1 (en) 2008-03-14 2009-09-17 Applied Materials, Inc. Methods for oxidation of a semiconductor device
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
WO2010147937A2 (en) * 2009-06-15 2010-12-23 Applied Materials, Inc. Enhancing nand flash floating gate performance

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006013003A (ja) * 2004-06-23 2006-01-12 Toshiba Corp 不揮発性半導体メモリ装置及びその製造方法
JP2009021608A (ja) * 2007-07-16 2009-01-29 Applied Materials Inc 不揮発性メモリデバイス用インターポリ誘電体を形成するための統合スキーム
JP2010103414A (ja) * 2008-10-27 2010-05-06 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012216667A (ja) * 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ処理方法
JP2014533437A (ja) * 2011-11-11 2014-12-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 層間多結晶シリコン誘電体キャップおよびその形成方法
WO2016104292A1 (ja) * 2014-12-25 2016-06-30 株式会社日立国際電気 半導体装置の製造方法、記録媒体及び基板処理装置
KR20170086639A (ko) * 2014-12-25 2017-07-26 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치
JPWO2016104292A1 (ja) * 2014-12-25 2017-11-02 株式会社日立国際電気 半導体装置の製造方法、プログラム及び基板処理装置
KR101965992B1 (ko) * 2014-12-25 2019-04-04 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치
US10453676B2 (en) 2014-12-25 2019-10-22 Kokusai Electric Corporation Semiconductor device manufacturing method and recording medium

Also Published As

Publication number Publication date
US7972933B2 (en) 2011-07-05
WO2010117703A2 (en) 2010-10-14
US20100248435A1 (en) 2010-09-30
TWI604562B (zh) 2017-11-01
WO2010117703A3 (en) 2011-01-13
TW201036108A (en) 2010-10-01
JP5595481B2 (ja) 2014-09-24

Similar Documents

Publication Publication Date Title
JP5595481B2 (ja) 選択的窒素化の方法
US9530898B2 (en) Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
JP4598639B2 (ja) 半導体装置の製造方法
KR100957820B1 (ko) 핀형 전계 효과 트랜지스터의 제조 방법
US20080014759A1 (en) Method for fabricating a gate dielectric layer utilized in a gate structure
TWI663654B (zh) 低溫熱氧化或電漿氧化之氧化後退火
WO2019013949A1 (en) SELECTIVE OXIDATION FOR MANUFACTURING NANOWIRES FOR SEMICONDUCTOR APPLICATIONS
JP2007311540A (ja) 半導体装置の製造方法
JP2002222861A (ja) プラズマ前処理モジュールを具備した装置における半導体素子の製造方法
JP7270740B2 (ja) 3dnand応用のためのメモリセルの製造
JP2008244059A (ja) 半導体装置の製造方法
KR20060124001A (ko) 플래시 메모리 소자의 제조 방법
JP2024020242A (ja) メモリ用途のための垂直トランジスタの作製
KR20060100092A (ko) 반도체 장치의 제조 방법
KR102092760B1 (ko) 층간 폴리실리콘 유전체 캡 및 그것을 형성하는 방법
US8163626B2 (en) Enhancing NAND flash floating gate performance
JP4966582B2 (ja) 基板処理方法、コンピュータ可読記録媒体、基板処理装置、および基板処理システム
JP4946017B2 (ja) 半導体装置の製造方法
CN116918034A (zh) 用以改善装置效能的处理
JP2008072001A (ja) 半導体装置及びその製造方法
KR100714311B1 (ko) 실리콘 표면의 세정용액 및 이를 사용하는 반도체 소자의제조방법들
TW201724500A (zh) 用於製造對於半導體應用的水平環繞式閘極裝置的奈米線的方法
JP2021535615A (ja) シリコン含有層を形成する方法
JP3833956B2 (ja) 半導体装置の製造方法及び半導体装置
TW202324579A (zh) 用於閘極堆疊開發的整合濕式清潔

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130326

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140311

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140611

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140708

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140805

R150 Certificate of patent or registration of utility model

Ref document number: 5595481

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250