TWI663654B - 低溫熱氧化或電漿氧化之氧化後退火 - Google Patents

低溫熱氧化或電漿氧化之氧化後退火 Download PDF

Info

Publication number
TWI663654B
TWI663654B TW098119781A TW98119781A TWI663654B TW I663654 B TWI663654 B TW I663654B TW 098119781 A TW098119781 A TW 098119781A TW 98119781 A TW98119781 A TW 98119781A TW I663654 B TWI663654 B TW I663654B
Authority
TW
Taiwan
Prior art keywords
oxide layer
annealing
layer
temperature
oxidation
Prior art date
Application number
TW098119781A
Other languages
English (en)
Other versions
TW201017767A (en
Inventor
歐森克里斯多夫S
橫田義孝
馬尼拉哲希
史文保喬哈那斯
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201017767A publication Critical patent/TW201017767A/zh
Application granted granted Critical
Publication of TWI663654B publication Critical patent/TWI663654B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明實施例提供在半導體基板上形成氧化層的方法。在某些實施例中,在基板上形成氧化層的方法包括使用具有第一製程氣體的氧化製程在低於約800℃的第一溫度下於基板上形成氧化層,以及在第二製程氣體存在的情況下於第二溫度中退火該形成於基板上的氧化層。該氧化製程可以是在約800℃或更低溫度下執行的電漿氧化或熱氧化製程。在某些實施例中,氧化後退火可以是在至少約700℃、在至少約800℃或在至少950℃之溫度下執行的尖峰式或浸入式快速熱製程、雷射退火或閃光式退火。

Description

低溫熱氧化或電漿氧化之氧化後退火
本發明實施例大體上有關於半導體製造,更具體而言是有關於半導體元件或其組成的氧化反應。
在半導體元件之製造過程中要求在各個不同階段中形成薄氧化層。例如,在電晶體中,可能形成薄閘極氧化層作為閘極堆疊結構的一部分。此外,在某些應用中,例如在快閃記憶體的膜層堆疊中,會藉著例如使閘極堆疊暴露在氧化製程中來形成薄閘極氧化層,以圍繞住整個閘極堆疊。此類氧化製程在傳統上是利用熱或使用電漿來執行。
過去常用具有較大特徵結構尺寸之半導體元件的製造中,用來形成氧化層(例如,閘極氧化層或閘極堆疊氧化層)的熱處理製程的使用情況相對良好。不幸的是,在次世代先進技術中採用的特徵結構尺寸越來越小並且使用多種不同的氧化物,熱氧化製程的高晶圓溫度會造成必須銳利的接面定義在高溫(例如高於約800℃)下變得模糊的問題。此種接面定義或其他特徵結構扭曲可能導致元件性能不佳或元件故障。
在高溫(例如高於約800℃)進行熱氧化製程可能造成在暴露的金屬層(例如,鎢、鉭)中發生非期望的金屬氧 化反應。此外,例如在多晶矽閘極結構的側壁多晶矽再氧化過程中,高溫氧化反應可能造成多晶矽晶粒粗化(coarsening),這會導致元件性能不佳。
用來形成氧化層的電漿氧化製程具有類似問題。例如,在高腔室壓力(例如,100mTorr)下,成長速度可能不高,並且在低腔室壓力,例如數十毫托(mTorr)下,增高的電漿離子能量會導致在氧化膜中產生離子轟擊損傷與缺陷。
舉例而言,習知氧化製程經常產生鳥嘴狀缺陷。鳥嘴狀缺陷是指氧化層從相鄰層界面處的側邊擴散進入膜層堆疊結構的膜層中,使得相鄰層的角落圓滑化。此種缺陷的輪廓像鳥嘴狀。氧化層侵入例如快閃記憶體、揮發性記憶體等應用中之記憶胞的主動區內,會減少記憶胞的主動區寬度,進而減少記憶胞的有效寬度並且降低快閃記憶元件的性能。
儘管需要能在低溫(例如低於約800℃)下成長氧化層的方法,但對於在低溫下成長之氧化層的品質要求卻不能放寬。然而,熱成長氧化層的品質卻傾向隨著溫度的下降而降低。
因此,需要一種能夠氧化由數種材料所構成之堆疊層的改良方法,期能在低溫下成長氧化層,又能夠保持所要求的氧化層品質和可靠度。
本發明實施例提供在半導體基板上形成氧化層的方法。在一些實施例中,在半導體基板上形成氧化層的方法包括使用具有第一製程氣體的氧化製程在低於約800℃的第一溫度下於基板上形成氧化層;以及在第二製程氣體存在的情況下於第二溫度下退火該形成於基板上的氧化層。該氧化製程可以是電漿氧化製程或熱氧化製程,並且可在約800℃或更低的溫度下執行。在一些實施例中,該氧化後的退火製程(post oxidation annealing process)可以是尖峰式快速熱製程(spike rapid thermal process)或浸入式快速熱製程(soak rapid thermal process)、雷射退火或閃光退火(flash anneal),並且可在至少約700℃、至少約800℃或至少約1050的溫度執行。
本發明實施例提供在半導體基板上製造氧化層的方法。相較於習知製程而言,本發明製程有利於形成低雜質的氧化層,減少多晶矽晶粒的粗化,以及降低金屬氧化作用。在本發明的一些實施例中,氧化層(例如閘極堆疊氧化層)可形成在用於邏輯應用和記憶體應用(例如,動態隨機存取記憶體或DRAM和FLASH)的閘極堆疊上,舉例來說,例如可沿著閘極堆疊的暴露表面或其頂面上沉積氧化層。在本文中,「在基板上形成氧化層」包括在平面基板上以及在形成於基板上的結構上進行全部氧化製程、部分氧化製程和選擇性氧化製程,例如,可在基板上的閘極堆疊之頂部及/或側壁上進行氧化製程。
第1圖顯示根據本發明實施例之氧化層形成方法100。此處是參照第2A~2B和第3圖的結構來描述方法100。第2A~2B圖繪示半導體結構200之製造過程的各個階段,包括在半導體基板202上形成膜層堆疊240。第3圖繪示另一種半導體結構300,其包含形成在基板202上的膜層堆疊340。可在任何適當的製程腔室或適合用來形成與退火氧化層的製程腔室組合中執行本發明方法。適合的腔室包括任何能夠執行電漿氧化、熱氧化、快速熱處理(RTP,例如尖峰式或浸入式快速熱處理)、雷射退火或動態表面退火(dynamic surface anneal,DSA)、閃光退火或上述處理的組合。此類腔室的兩種範例為可購自美國加州聖克拉拉之應用材料公司的腔室。用來實施本發明方法的各種製程腔室可獨立運作,或是作為群集工具的一部分,例如可作為應用材料公司所生產之群集工具生產線上的其中一個腔室。以下將參考第4圖來描述適合之群集工具的其中一種範例。
方法100始於步驟102,在步驟102提供基板202,並且在基板202上已經形成有將要進行氧化的膜層堆疊240。基板202可能包含的材料例如多晶矽(如,Si<100>或Si<111>)、氧化矽、應變矽(strained silicon)、矽鍺(silicon germanium)、摻雜或未經摻雜的多晶矽、摻雜或未經摻雜的矽晶圓、已圖案化或未經圖案化的晶圓、絕緣層上覆矽(SOI)、摻雜碳的氧化矽、氮化矽、含有摻質的矽、鍺、砷化鎵(gallium arsenide)、玻璃、藍寶石(sapphire)等諸如此類者。
膜層堆疊240可能是欲進行氧化的任何材料堆疊,且期望在堆疊中能減少鳥嘴狀缺陷或其他不想要的氧化反應,例如過度的金屬氧化反應或摻質分離情形(dopant segregation)。舉例而言,在一些實施例中,例如在快閃記憶體應用中,堆疊240可能是快閃記憶胞的閘極堆疊,其包含穿隧氧化層(tunnel oxide layer)204、浮動閘極層(floating gate layer)206、包含層內多矽介電層(interpoly dielectric(IPD)layer)的單層式或多層式介電層210,以及控制閘極層220。如第2A~2B圖所示般,IPD的非限制性範例是多層式ONO層,其包含氧化層212、氮化層214和氧化層216。氧化層204、212、216典型包含矽和氧,例如氧化矽(SiO2)、氮氧化矽(SiON)或諸如此類者。氮化層214典型包含矽和氮,例如氮化矽(SiN),或諸如此類者。在一些實施例中,包含SiO2/Al2O3/SiO2的多層式膜層也可作為IPD層210。浮動閘極層206和控制閘極層220典型包含導體材料,例如多晶矽、金屬或類似材料。
或者,在一些實施例以及第3圖所示實施例中,可提供在基板202上具有膜層堆疊的半導體結構300。該膜層堆疊可能是閘極堆疊340,其包含穿隧氧化層304、多晶矽閘極層306、氮化層308和金屬電極層320。氧化層304典型包含矽和氧,例如氧化矽(SiO2)、氮氧化矽(SiON)或諸如此類者。氮化層308典型包含氮化鈦(TiN)或氮化鎢(WN)。金屬電極層320典型包含鎢(W)或含碳及/或氮的鉭,例如TaCx、TaNx或TaCxNy,其中x和y為大於或等於1的整數。半導體結構300可用於例如揮發性記憶體應用中,例如動態隨機存取記憶體(DRAM)。
可根據本文中的教示內容來有利地氧化其他應用(例如,電荷擷取快閃記憶體或非揮發性記憶體等應用)中的膜層堆疊。舉例而言,電荷擷取快閃記憶體或非揮發性記憶體使用SiO2/SiN/Al2O3閘極堆疊,該閘極堆疊具有含氮化坦(TaN)、氮化鈦(TiN)或碳化鉭(TaCx)的金屬電極,其有利於根據本發明教式內容來進行閘極蝕刻後的側壁氧化和氧化後退火製程。
在一些實施例中,本文中所述的新穎方法亦可用在用來形成邏輯元件(例如,金屬氧化物半導體場效電晶體,MOSFET)之閘極氧化物的高k介電層。本發明方法亦可有利地限制晶粒再結晶與晶粒成長,進而限制元件中的介電崩潰(dielectric breakdown)。高k介電材料的範例可包括氧化鉿(HfO2)、氧化矽鉿(HfSiOx)、氮氧化矽鉿(HfSiOxNy)、氧化鋁(Al2O3)及諸如此類者。
接著,在步驟104中,於第2B圖所示的閘極堆疊200上形成氧化層230,以及形成如第3圖中的氧化層330。氧化層230的形成包括在控制閘極220上以及在閘極堆疊的側壁上形成該氧化層230。在一些實施例中,可選擇性的形成氧化層,例如在閘極堆疊的非金屬層上形成氧化層。可利用適當的電漿氧化或熱氧化方法來形成氧化層230。例如,在一些實施例中,可在含氧環境中以加熱方式來形成氧化層230,含氧環境可例如含有氧氣(O2)、臭氧(O3)、水蒸氣(H2O)、氫氣加氧氣(H2+O2)或類似物的環境。在一些實施例中,可在電漿氧化腔室中藉著暴露於含氧電漿中來形成氧化層230。
在一些實施例中,可由第一製程氣體來形成氧化層230,該第一製程氣體可包含氧氣(O2)、臭氧(O3)、水蒸氣(H2O)、氫氣加氧氣(H2+O2)或其類似物之其中至少一種,以及一選用性的惰氣。惰氣可包含氦(He)、氬(Ar)、氮(N2)、氨(NH3)或諸如此類者。在一些實施例中,第一製程氣體包含氫氣和氧氣(H2+O2)以及約5%至95%的氬氣或其他惰氣,以作為電漿中的分解性試劑(dissociative agent)。在一些實施例中,第一製程氣體包含氧氣(O2)以及約5%至95%的氬氣(Ar)或其他惰氣,以進行非選擇性氧化反應。當以1毫托(mTorr)至1托(Torr)的製程方案操作時,典型的總流量介於約100sccm至1000sccm之間。在製程氣體包含氫氣和氧氣(H2+O2)的實施例中,氫氣(H2)的提供量可約佔總氣體混合物的20%至80%。
在步驟104中,氧化層230的形成可在低於或等於約800℃的溫度執行。在一些實施例中,該溫度可能約700℃或更低。在一些實施例中,該溫度可能介在約400~500℃之間。在這些較低的溫度下,可使半導體元件200和300中的數種效應減至最小,例如相鄰層之界面處的氧化物擴散作用(例如鳥嘴缺陷)和降低多晶矽閘極結構206和306中的晶粒粗化作用,這些作用可能對元件性能造成不良影響;以及/或降低上述一或多種半導體元件中之高k介電閘極氧化物中的晶粒粗化或晶粒再結晶作用。在一些實施例中,可藉著使用本文中所述的低溫氧化製程來限制在金屬電極或金屬閘極上的氧化物形成作用,該些金屬電極或金屬閘極可例如是半導體元件300中之閘極堆疊340的金屬電極320。
接著,在步驟106中,可在該氧化層上執行氧化後退火(post-oxidation anneal)。氧化後退火有利於改善該利用低溫製程形成之氧化層的品質,進而降低因元件上之低品質氧化層造成元件可靠度不佳或元件故障的風險。氧化後退火可包括各種高溫製程,例如尖峰退火、浸入退火、閃光退火、雷射退火或其他諸如此類者,其將進一步說明如下。可在任何建構用來執行上述製程的適當腔室中執行氧化後退火。這類腔室可包括 RTP腔室(例如用於尖峰式或浸入式退火),或是動態表面退火(DSA)腔室(例如用於雷射退火),該些腔室可分別購自美國加州聖克拉拉市的應用材料公司。
在一些實施例中,氧化後退火的溫度可至少約700℃,或至少約800℃,或至少約950℃。以下參照沉積在半導體元件200中之閘極堆疊240上的氧化層230來說明氧化後退火方法。然而,以下所述的氧化後退火方法可應用於本文中所述的其他氧化層,例如第3圖中的氧化層330或高k介電閘極氧化物。
在各個氧化後退火製程中,可在第二製程氣體存在的情況下執行步驟106的氧化後退火。第二製程氣體可包含氧化氣體(oxidation gas)、惰氣及/或還原氣體(reducing gas)之其中至少一種。氧化氣體可能包括氧氣(O2)、一氧化氮(NO)、氧化亞氮(N2O)或其類似物之其中至少一者。惰氣可能包含氮氣(N2)、氦氣(He)、氬氣(Ar)或其類似物之其中至少一者。惰氣可包括含氫氣體,例如氫氣(H2)、氨氣(NH3)或其類似物之其中至少一者。
於一些實施例裡,氧氣(O2)在所提供之第二製程氣體的總流量中可能少於0.01%或最高達1%。可能以低分壓來供應氧氣(O2),以避免例如二氧化矽(SiO2)分解成一氧化矽(SiO)的情形。在一些實施例中,氧氣(O2)的分壓可介於約1mTorr至約10Torr之間,或者在一些實施例中,可介於約5mTorr至約10Torr。在一些實施例中,第二製程氣體可能包含氧氣(O2)和氮氣(N2),並且可以總氣體流量約50sccm且O2:N2介於約1:100至1:10000之間的流量比例來供應第二製程氣體。在一些實施例中,第二製程氣體可包括還原氣體,並且可以介於約10mTorr至約100Torr的分壓來提供該還原氣體。
在一些實施例中,可在第二製程氣體存在的情況下,利用尖峰快速熱退火於高於約950℃的溫度(例如,尖峰退火的期望溫度或峰值溫度)執行該氧化後退火。在一些實施例中,該溫度可能高達約1200℃。在一些實施例中,該溫度可能介在約1050℃至約1100℃之間,或介在約1050℃至約1200℃之間。在一些實施例中,可以每秒鐘約100℃至約200℃的速度來升高溫度,直到達到期望的溫度為止。尖峰退火的執行時間則定義為溫度從低於期望溫度約50℃升高到期望溫度,然後再回到低於期望溫度約50℃時所花費的時間。在一些實施例中,該時間約為3秒或更短。在一些實施例中,該時間可能介於約0.9秒至約3秒之間。在一些實施例中,該時間可能介於約2秒至約3秒之間。
或者,在一些實施例中,可在第二製程氣體存在的情況下,利用浸入式快速熱退火於高於約700℃的溫度(例如,浸入式退火之期望溫度或峰值溫度)執行該氧化後退火,或者在一些實施例中,該溫度可高達約800℃。在一些實施例中,該溫度可能高達約1150℃。在某些實施例中,該溫度可能介於約1000℃至約1100℃。在一些實施例中,可以每秒約100℃至約200℃的速度來升高溫度,直到達到期望溫度為止。浸入式退火的執行時間可定義為溫度從低於期望溫度約5℃升高到期望溫度,然後再回到低於期望溫度約5℃時所花費的時間。在一些實施例中,該時間約為60秒或更短。在一些實施例中,該時間可能介於約3秒至約60秒之間。
或者,在一些實施例中,可在第二製程氣體存在的情況下,利用閃光退火在高於約950℃的溫度執行該氧化後退火。在一些實施例中,該溫度可高達約1300℃。在某些實施例中,該溫度可能介於約1100℃至約1300℃。閃光退火製程的時間,舉例而言,可定義為半導體元件200或300暴露在閃光退火系統之弧光燈之輻射能量下的時間。在一些實施例中,該曝光時間最高約為3毫秒(millisecond)。在一些實施例中,該時間可能介於約1毫秒至約3毫秒之間。
或者,在一些實施例中,可在第二製程氣體存在的情況下,利用雷射退火(例如,動態表面退火)在高於約950℃的溫度執行該氧化後退火。在一些實施例中,配合雷射退火製程使用的第二製程氣體可為惰氣,例如以上所述之惰氣。雷射退火方法可包括:提供雷射光束,以連續施加至進行退火之物件的至少某些部位,例如施加至半導體元件200或300的膜層堆疊240或340。操作時,雷射光束對該膜層堆疊的第一部位進行退火且持續一段期望時間,並且移動基板及/或雷射光束,以對該膜層堆疊的第二部位進行退火且持續一段期望時間。可使用脈衝或連續模式以期望的波長範圍和強度來操作該雷射光束。可根據,例如,進行退火之材料的吸收性質(例如,吸收截面、消光係數,等等)來調整這些條件。在一些實施例中,雷射光束所照射之各部位的溫度可能高達1350℃。在一些實施例中,該溫度可介於約1100℃至約1350℃之間。雷射光束照射各個部位的時間可約為1毫秒或更短。在一些實施例中,該時間可介於約0.1毫秒至約1毫秒之間,或介於約0.2毫秒至約1毫秒之間。
完成步驟106的氧化後退火之後,製程通常到此結束,並且如有需要,該基板可進行進一步處理,以完成欲在基板上製造的結構或元件。
可在上述的多個個別製程腔室中實施包含氧化層形成步驟和氧化層退火步驟的本發明方法,該些製程腔室可獨立設置,或是作為一群集工具的一部分。以下將參照第4圖說明適合用來實施本發明實施例的群集工具。
大體上,群集工具是一種模組系統,其包含多個用來執行各種不同功能的腔室,該些功能包括,但不限於,基板定心和定向、除氣(degassing)、退火、沉積及/或蝕刻。根據本發明的一些實施例,群集工具可包含一電漿或熱氧化腔室,用以執行本文中所述之低溫氧化製程的實施例。此外,群集工具可能包含快速熱處理、雷射退火或閃光退火製程腔室,以執行該氧化後退火(POA)的實施例。群集工具的多個腔室可安裝至一中央傳送腔室,該中央傳送腔室中容納有一機械手,用以在該些腔室之間傳送基板。傳送腔室可保持在真空狀態,並且提供中間階段(intermediate stage)用以將基板從一腔室傳送到另一腔室,以及/或是用以將基板傳出或傳入位在群集工具前端處的一或多個裝載鎖定腔室。
適合用於本發明的習知群集工具生產線包括群集工具生產線,其可購自位於美國加州聖克拉拉市的應用材料公司。此類群集工具或分段式真空基板處理系統的細節揭示於1993年2月16日授與Tepman等人且標題為「分段式真空晶圓處理系統及方法(Staged-Vacuum Wafer Processing System and Method)」的美國專利5,186,718號中,其以引用方式納入本文中以供參考。然而,可根據製程所欲執行之特定步驟的目的來改變該些腔室的實際配置和組合方式,該製程包含本發明的氧化和氧化後退火製程。
舉例而言,群集工具400的一具體範例顯示於第4圖之平面圖中。群集工具400大致上包含多個腔室與機械手,並且該群集工具400較佳配備有一微處理器控制器402,其經過程式編輯以執行欲在群集工具400中實行的各種處理方法。圖中顯示前段環境(front-end environment)406設置成可選擇性地與一對裝載鎖定腔室408連通。晶舟裝載器設置在前段環境406內,並且能夠進行線性和旋轉運動(如箭頭404所示)以在裝載鎖定腔室408和多個晶舟412之間搬運基板匣盒,該些晶舟安置在前段環境406上。裝載鎖定腔室408在前段環境406和傳送腔室414之間提供第一真空界面。提供兩個裝載鎖定腔室408可藉著交替地連通傳送腔室414和前段環境416來提高產量。因此,當其中一個裝載鎖定腔室408與傳送腔室414連通時,第二個裝載鎖定腔室408則與前段環境406連通。機械手416置中地設置在傳送腔室414內,以將基板從裝載鎖定腔室408傳送到該些不同製程腔室418和伺服腔室(service chamber)420的其中一者。製程腔室418可執行任意種類的製程,例如義理氣相沉積、化學氣相沉積和蝕刻,同時伺服腔室420適用於除氣、定向、冷卻等作業。
舉例而言,設計用來執行本發明實施例的群集工具可建構成該些製程腔室418的其中一個腔室設計用來作為電漿氧化腔室或熱氧化腔室,以執行本發明某些實施例的至少一部分製程。該些製程腔室418中的另一個腔室則可作為快速熱處理(RTP)、雷射退火或閃光退火腔室之其中一者。當然,根據本文中的教示內容也可採用其他設備和其他的腔室配置方式。
因此,本文提供了數種形成氧化層的新穎方法。本發明方法可有助於限制不想要的氧化製程,有利於形成高品質的氧化膜層,該些不想要的氧化製程係例如形成鳥嘴狀缺陷、氧化物/氮化物/氧化物(ONO)之層內多矽介電層中的鳥嘴狀缺陷、多晶矽晶粒粗化或過度的金屬氧化作用,這些都可能在製程中的各個階段中發生。
雖然本發明的多個實施例已說明如上,然而可在不偏離本發明基本範圍的情況下做出本發明的其他和進一步實施例。故,本發明範圍當由後附申請專利範圍所界定。
100‧‧‧方法
102、104、106‧‧‧步驟
200‧‧‧半導體元件
202‧‧‧半導體基板
204、304‧‧‧穿隧氧化層
206‧‧‧浮動閘極層
210‧‧‧層間多層介電層
212、216、230、330‧‧‧氧化層
214、308...氮化層
220...控制閘極層
240、340...閘極堆疊
300...半導體結構
306...多晶矽閘極層
320...金屬電極層
400...群集工具
402...微處理器控制器
404...箭頭
406...前段環境
408...裝載鎖定腔室
410...晶舟裝載器
412...晶舟
414...傳送腔室
416...機械手
418A-D...製程腔室
420A-D...伺服腔室
為了詳細了解本發明的上述特徵,參照數個實施例將本發明更具體的描述概要整理如上,且部分實施例繪示於附圖中。但應注意的是,附圖中僅繪示出本發明的典型實施例,因此不應視為本發明範圍的限制,本發明允許其他等效實施例。
第1圖繪示根據部分實施例之本發明方法的流程圖。
第2A和2B圖顯示根據本發明一些實施例之半導體結構製程的數個製造階段。
第3圖顯示根據本發明一些實施例之半導體結構製程的數個製造階段。
第4圖繪示適合用來執行本發明的群集工具。
為了便於了解,盡可能地使用相同元件符號來代表各圖中共有的相同元件。該些圖式未按照比例繪製,並且經過簡化以求清晰。此外,無需進一步說明,便可了解一實施例中的元件和特徵能有益地併入其他實施例中。

Claims (25)

  1. 一種在一半導體基板上形成氧化層的方法,該方法包括以下步驟:使用具有一第一製程氣體的氧化製程在一低於約800℃的第一溫度下於一基板上形成氧化層;以及在一第二製程氣體存在的情況下以一至少約700℃的第二溫度退火形成於該基板上的該氧化層;其中該第二製程氣體包括一氧化氣體,並且以介於約1mTorr至約10Torr的分壓提供該氧化氣體。
  2. 如申請專利範圍第1項所述之方法,其中該氧化製程包括電漿氧化。
  3. 如申請專利範圍第1項所述之方法,其中該熱退火製程包括尖峰式快速熱退火、浸入式快速熱退火、閃光退火或雷射退火之其中至少一者。
  4. 如申請專利範圍第1至3項任一項所述之方法,其中該基板更包括一含矽層,且該含矽層上形成有一膜層堆疊。
  5. 如申請專利範圍第4項所述之方法,其中該膜層堆疊包含一穿隧氧化層、一浮動閘極層、一單層或多層式介電層以及一控制閘極層。
  6. 如申請專利範圍第4項所述之方法,其中該膜層堆疊包含一穿隧氧化層、一多晶矽閘極層、一氮化層以及一金屬電極層。
  7. 如申請專利範圍第1至3項任一項所述之方法,其中該氧化層是高k介電層。
  8. 如申請專利範圍第1至3項任一項所述之方法,其中該第一製程氣體包括氧氣(O2)、臭氧(O3)、氫氣和氧氣(H2+O2)或水蒸氣(H2O)之其中至少一者。
  9. 如申請專利範圍第1至3項任一項所述之方法,其中該第二製程氣體包括氧氣(O2)、一氧化氮(NO)、氧化亞氮(N2O)、氮氣(N2)、氫氣(H2)、氨氣(NH3)或惰氣之其中至少一者。
  10. 如申請專利範圍第1至3項任一項所述之方法,其中該第二製程氣體包括氧化氣體、還原氣體或惰氣之其中至少一者。
  11. 如申請專利範圍第10項所述之方法,其中該第二製程氣體包括一惰氣,該惰氣包括氦氣(He)與氬氣(Ar)之其中至少一者。
  12. 如申請專利範圍第10項所述之方法,其中該第二製程氣體包括氧氣(O2)和氮氣(N2),並且以介於約1:100至約1:10000之間的流速比例來提供氧氣(O2)和氮氣(N2)。
  13. 如申請專利範圍第10項所述之方法,其中該第二製程氣體包括一還原氣體,並且以介於約10mTorr至約100Torr的分壓提供該還原氣體。
  14. 如申請專利範圍第1至3項任一項所述之方法,其中該第二溫度係為至少約950℃。
  15. 如申請專利範圍第1或2項所述之方法,其中退火該氧化層的步驟包括尖峰式快速熱退火,其中該尖峰式快速熱退火是在介於約1050℃至約1200℃的一溫度下執行約0.9秒至約3秒的時間。
  16. 如申請專利範圍第1或2項所述之方法,其中退火該氧化層的步驟包括浸入快速熱退火,其中該浸入快速熱退火是在介於約1000℃至約1200℃的一溫度下執行約3秒至約60秒的時間。
  17. 如申請專利範圍第1或2項所述之方法,其中退火該氧化層的步驟包括閃光退火,其中該閃光退火是在介於約1100℃至約1300℃的一溫度下執行約1毫秒至約3毫秒的時間。
  18. 如申請專利範圍第1或2項所述之方法,其中退火該氧化層的步驟包括雷射退火,並且以介於約1100℃至約1350℃的一溫度執行約200奈秒至約1毫秒的時間。
  19. 如申請專利範圍第18項所述之方法,其中該雷射退火更包括從一雷射連續施加一能量束至該基板的一或多個部位而在介於約1100℃至1350℃的一溫度下持續約200奈秒至約1毫秒的時間。
  20. 如申請專利範圍第1至3項任一項所述之方法,其中形成該氧化層和退火該氧化層的步驟是在一主設備中的兩個別腔室中執行,該主設備的真空未被破除並且該兩製程之間的周遭環境受到控制。
  21. 如申請專利範圍第20項所述之方法,其中形成該氧化層和退火該氧化層之步驟執行的總時間少於約10分鐘。
  22. 如申請專利範圍第20項所述之方法,其中形成該氧化層和退火該氧化層之步驟執行的總時間少於約5分鐘。
  23. 如申請專利範圍第20項所述之方法,其中形成該氧化層和退火該氧化層之步驟執行的總時間少於約1分鐘。
  24. 如申請專利範圍第1至3項任一項所述之方法,其中形成該氧化層和退火該氧化層的步驟是在同一個腔室中執行,並且執行的總時間少於約1分鐘。
  25. 一種在一半導體基板上形成氧化層的方法,該方法包括以下步驟:使用具有一第一製程氣體的氧化製程在一低於約800℃的第一溫度下於一基板上形成氧化層;以及在一第二製程氣體存在的情況下以一至少約700℃的第二溫度退火形成於該基板上的該氧化層;其中該基板包括一含矽層,且該含矽層上形成有一膜層堆疊,且該膜層堆疊包含一穿隧氧化層、一多晶矽閘極層、一氮化層以及一金屬電極層。
TW098119781A 2008-06-14 2009-06-12 低溫熱氧化或電漿氧化之氧化後退火 TWI663654B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US6160308P 2008-06-14 2008-06-14
US61/061,603 2008-06-14
US12/143,626 US20090311877A1 (en) 2008-06-14 2008-06-20 Post oxidation annealing of low temperature thermal or plasma based oxidation
US12/143,626 2008-06-20

Publications (2)

Publication Number Publication Date
TW201017767A TW201017767A (en) 2010-05-01
TWI663654B true TWI663654B (zh) 2019-06-21

Family

ID=41415192

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098119781A TWI663654B (zh) 2008-06-14 2009-06-12 低溫熱氧化或電漿氧化之氧化後退火

Country Status (3)

Country Link
US (1) US20090311877A1 (zh)
TW (1) TWI663654B (zh)
WO (1) WO2009152327A2 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100219481A1 (en) * 2009-01-09 2010-09-02 Imec Method for manufacturing a dual work function semiconductor device and the semiconductor device made thereof
US20100297854A1 (en) * 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US8492292B2 (en) * 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
US20120280288A1 (en) 2011-05-04 2012-11-08 International Business Machines Corporation Inversion thickness reduction in high-k gate stacks formed by replacement gate processes
US8921238B2 (en) * 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8420519B1 (en) * 2011-11-01 2013-04-16 GlobalFoundries, Inc. Methods for fabricating integrated circuits with controlled P-channel threshold voltage
KR102028779B1 (ko) 2012-02-13 2019-10-04 어플라이드 머티어리얼스, 인코포레이티드 기판의 선택적 산화를 위한 방법 및 장치
CN103065972B (zh) * 2012-12-28 2016-02-03 昆山工研院新型平板显示技术中心有限公司 一种金属氧化物半导体薄膜及其制备方法与应用
JP6127770B2 (ja) * 2013-06-24 2017-05-17 富士通セミコンダクター株式会社 半導体装置の製造方法
KR102293862B1 (ko) * 2014-09-15 2021-08-25 삼성전자주식회사 반도체 소자의 제조 방법
KR20190045639A (ko) * 2017-10-24 2019-05-03 삼성전자주식회사 반도체 제조 장치, 메모리 소자, 메모리 소자의 제조 방법
CN113517229B (zh) * 2020-04-10 2023-09-12 联华电子股份有限公司 一种制作半导体元件的方法
US11791155B2 (en) * 2020-08-27 2023-10-17 Applied Materials, Inc. Diffusion barriers for germanium

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020177276A1 (en) * 2001-05-25 2002-11-28 Chin-Ta Su Method of forming tunnel oxide layer
US6552403B1 (en) * 1999-11-05 2003-04-22 North Carolina State University Binary non-crystalline oxide analogs of silicon dioxide for use in gate dielectrics

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07118522B2 (ja) * 1990-10-24 1995-12-18 インターナショナル・ビジネス・マシーンズ・コーポレイション 基板表面を酸化処理するための方法及び半導体の構造
JP3383140B2 (ja) * 1995-10-02 2003-03-04 株式会社東芝 不揮発性半導体記憶装置の製造方法
US6348380B1 (en) * 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
KR100848423B1 (ko) * 2000-09-19 2008-07-28 맷슨 테크놀로지, 인크. 유전체 코팅 및 유전체 코팅을 형성하는 방법
US6617266B2 (en) * 2001-04-12 2003-09-09 Applied Materials, Inc. Barium strontium titanate annealing process
US6716734B2 (en) * 2001-09-28 2004-04-06 Infineon Technologies Ag Low temperature sidewall oxidation of W/WN/poly-gatestack
US6812515B2 (en) * 2001-11-26 2004-11-02 Hynix Semiconductor, Inc. Polysilicon layers structure and method of forming same
US6806202B2 (en) * 2002-12-03 2004-10-19 Motorola, Inc. Method of removing silicon oxide from a surface of a substrate
US7115530B2 (en) * 2003-12-03 2006-10-03 Texas Instruments Incorporated Top surface roughness reduction of high-k dielectric materials using plasma based processes
US7135361B2 (en) * 2003-12-11 2006-11-14 Texas Instruments Incorporated Method for fabricating transistor gate structures and gate dielectrics thereof
WO2006098300A1 (ja) * 2005-03-16 2006-09-21 Hitachi Kokusai Electric Inc. 基板処理方法及び基板処理装置
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
KR100678632B1 (ko) * 2005-06-23 2007-02-05 삼성전자주식회사 반도체 집적 회로 장치의 제조 방법
KR100689679B1 (ko) * 2005-09-22 2007-03-09 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7355240B2 (en) * 2005-09-22 2008-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor product including logic, non-volatile memory and volatile memory devices and method for fabrication thereof
JP2008305942A (ja) * 2007-06-07 2008-12-18 Tokyo Electron Ltd 半導体メモリ装置およびその製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6552403B1 (en) * 1999-11-05 2003-04-22 North Carolina State University Binary non-crystalline oxide analogs of silicon dioxide for use in gate dielectrics
US20020177276A1 (en) * 2001-05-25 2002-11-28 Chin-Ta Su Method of forming tunnel oxide layer

Also Published As

Publication number Publication date
US20090311877A1 (en) 2009-12-17
WO2009152327A2 (en) 2009-12-17
TW201017767A (en) 2010-05-01
WO2009152327A3 (en) 2010-02-25

Similar Documents

Publication Publication Date Title
TWI663654B (zh) 低溫熱氧化或電漿氧化之氧化後退火
US9441298B2 (en) Devices including metal-silicon contacts using indium arsenide films and apparatus and methods
US9530898B2 (en) Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US9117661B2 (en) Method of improving oxide growth rate of selective oxidation processes
JP4895803B2 (ja) 誘電体膜及びゲートスタックの形成方法並びに誘電体膜の処理方法
US7429540B2 (en) Silicon oxynitride gate dielectric formation using multiple annealing steps
JP5595481B2 (ja) 選択的窒素化の方法
TWI553734B (zh) 用於半導體元件之低溫氧化的方法
JP2007311540A (ja) 半導体装置の製造方法
US20060205159A1 (en) Method of forming gate flash memory device
US7981795B2 (en) Semiconductor device manufacturing method
JP2005317647A (ja) 半導体装置及びその製造方法
KR20020002624A (ko) 반도체 소자의 게이트전극 형성 방법
JP4742867B2 (ja) Mis型電界効果トランジスタを備える半導体装置
US8211796B2 (en) Semiconductor device manufacturing method
JP2008072001A (ja) 半導体装置及びその製造方法
US7179707B2 (en) Method of forming gate electrode in semiconductor device
JP2007067229A (ja) 絶縁ゲート型電界効果トランジスタの製造方法
KR100714039B1 (ko) 반도체 소자의 제조 방법
JP2009049207A (ja) 半導体装置の製造方法
KR100914292B1 (ko) 실리콘 나노크리스탈을 갖는 전하트랩층 형성방법과, 이를이용한 불휘발성 메모리소자 및 그 제조방법
TW202324579A (zh) 用於閘極堆疊開發的整合濕式清潔
JP2005328072A (ja) 半導体装置およびその製造方法
KR20080000922A (ko) 반도체 소자의 게이트 패턴 형성 방법