JP2012104841A - 基板からの表面ドーパントの除去 - Google Patents

基板からの表面ドーパントの除去 Download PDF

Info

Publication number
JP2012104841A
JP2012104841A JP2011275325A JP2011275325A JP2012104841A JP 2012104841 A JP2012104841 A JP 2012104841A JP 2011275325 A JP2011275325 A JP 2011275325A JP 2011275325 A JP2011275325 A JP 2011275325A JP 2012104841 A JP2012104841 A JP 2012104841A
Authority
JP
Japan
Prior art keywords
substrate
dopant
plasma
chamber
volatile compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011275325A
Other languages
English (en)
Inventor
Ramaswamy Kartik
カーティック ラマスワミー,
Kenneth S Collins
ケニース エス. コリンズ,
Biagio Gallo
ビアジオ ギャロ,
Koji Hanawa
広二 塙
A Ford Majid
マジード エー. フォード,
A Hirsekorn Martin
マーティン エー. ヒルケーン,
Kartik Santhanam
カーティック サンザナム,
D Scotney-Castle Matthew
マシュー ディー. スコットニー−キャッスル,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012104841A publication Critical patent/JP2012104841A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • H01L21/2253Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】ドープ基板から余分なドーパントを除去するための方法および装置を提供する。
【解決手段】基板がエネルギーによるドーパントの打込みによってドープされる。反応性ガス混合物が、任意選択でプラズマを用いてプロセスチャンバに供給されて、表面上に吸着した余分なドーパントおよび表面付近の高濃度ドーパントと反応することによってそのドーパントを除去し、それによって揮発性化合物を形成する。反応性ガス混合物は、熱処理中に供給することができ、または前後に熱処理温度とは異なる温度で供給することができる。揮発性化合物は除去される。そのように処理された基板は、保管されているとき、またはプロセス装置の外に移送されるときに、毒性化合物を形成しない。
【選択図】図2

Description

本発明の実施形態は、一般に、半導体デバイスを製造する方法に関する。より詳細には、本発明の実施形態は、基板の表面付近の高濃度ドーパントを除去する方法に関する。
半導体製造プロセスでは、ドーピングエージェントの使用がしばしば望ましい。ドーピングとは、普通ならほぼ純粋な材料に不純物を打ち込むための、いくつかのプロセスのいずれかを指す。不純物が望ましいのは、それがドープ後の組成に付与する何らかの特性、またはそれが組成の特性にもたらす何らかの変化のためである。一部の用途では、ドーパントが、界面で2種の材料が互いの中に拡散するのを防ぐための界面バリアを形成することができる。例えば、フィルムコンデンサ内の導電層とインターフェースする誘電体材料の表面に対して、導電性元素が誘電体層内に拡散するのを防ぐためにドープを行うことができる。他の用途では、ドーパントが材料の熱特性を変更することができる。例えば、熱処理したい材料に、処理が容易になるように材料の熱特性または光学的性質を変更するために、ドーパントを打ち込むことができる。一般的な用途では、トランジスタデバイス用のソースとドレインの接合部を形成するために、ドーパントが基板の領域全体にわたって分散される。例えば、ドーパントをシリコン結晶に注入することができる。このドーパントは、シリコンとは異なる電子配置を有し、それにより、結晶を電流の流れが通る可能性が生み出される。
ドーパントを材料に注入するプロセスは一般に、2通りの方法の一方に従う。ドーパントを、ドープしたい材料の表面上に堆積させ、次いで、材料を加熱することにより「ドライブイン」して、ドーパントが材料内に拡散するように仕向けることができる。このプロセスでは通常、堆積したドーパントが熱処理中に昇華するのを防ぐために、ドーパント層の上に熱伝導性であるが堅牢なキャッピング層を形成する必要がある。ドーパントは、熱処理中に基板材料内に拡散し、その結果、一般に材料の表面付近の方が大きく、さらに深く材料内に向かうとより小さくなる濃度勾配が生じる。熱処理がより長くより強力なほど、より多く拡散し、濃度勾配がより平坦になる。ドーパントのシリコン結晶への打込みが関与するプロセスでは、この熱処理プロセスが、ドーパント原子を結晶格子内の位置を占有するように仕向けることによって「活性化させる」役割も果たし、また概して結晶格子全体にわたって秩序を高め、それにより、結晶転位による抵抗率が減少する。
これに代わる方法は、ドーパントイオンを基板にエネルギーにより打ち込むものである。このプロセスでは、遠隔またはその場でドーパントが電離してプラズマになり、また電磁界を使用してイオンが基板に向かって加速される。イオンは、基板の表面にぶつかって、結晶構造に潜り込む。各イオンが結晶に潜り込む深さは、主としてイオンの運動エネルギーに依存する。上記の「ドライブイン」実施形態と同様に、濃度分布は一般に、深さとともに単調に減少し、ドーパントを拡散および活性化させるために、アニールが同様に行われる。
各プロセスでは、ドーパントを打ち込みたい領域を、打込み前または打込み中に「非晶質化する」ことができる。領域を非晶質化すると、基板の結晶構造が乱れ、それにより、ドーパント原子またはイオンが基板に浸透するルートが形成する。非晶質化すると一般に、ドーパントが表面付近で、非晶質化せずに打ち込まれる場合ほど衝突に遭遇しないので、より深い打込みが生じる。これは、深い打込みが望ましい場合に有利となり得る。
どちらのプロセスも、最高濃度のドーパントが基板の表面付近に残る。前者のプロセスではしばしば、かなりの量のドーパントが基板の表面上に残る。どちらの場合にも、アニール後、基板がプロセスチャンバから取り除かれて、しばらくの間保管ボックス内に配置されることがある。その間、基板はしばしば脱ガスされる。特に、基板の表面付近の高濃度ドーパントが、空気中の水分と反応して、揮発性化合物を形成する。その一部は極めて有毒でもある。例えば、2種の広く使われているドーパントであるヒ素(As)およびリン(P)は、空気中の水分と反応して、アルシン(AsH)およびホスフィン(PH)を形成し、そのどちらも極めて毒性である。例えば、アルシンのOSHA許容暴露量は最近、その毒性のため、50ppbから5ppbに下げられている。したがって、ドープ基板の表面付近の領域から高濃度のドーパントを除去する方法が必要である。
本発明の実施形態は一般に、ドーパントを含有した基板を処理する方法であって、1つまたは複数のチャンバ内に基板を配設すること、1つまたは複数のチャンバにドーパント除去混合物を供給すること、そのチャンバのうち少なくとも1つのチャンバ内で、ドーパント除去混合物を基板に施与することによって1種または複数種の揮発性化合物を生成すること、および1つまたは複数のチャンバから1種または複数種の揮発性化合物を除去することを含む方法を提供する。
他の実施形態は、1つまたは複数のチャンバ内でドープ基板をアニールする方法であって、そのチャンバのうち少なくとも1つのチャンバに、1種または複数種のドーパント除去混合物を供給すること、ドーパント除去混合物の1または複数のプラズマを形成すること、ドープ基板を1または複数のプラズマに暴露すること、1つまたは複数のチャンバ内で、1または複数のプラズマを基板に施与することによって1種または複数種の揮発性化合物を生成すること、および1つまたは複数のチャンバから1種または複数種の揮発性化合物を除去することを含む方法を提供する。
別の実施形態は、1つまたは複数のプロセスチャンバ内でシリコン含有基板を処理するためのプロセスであって、基板の表面の少なくとも一部分上にドーパントの層を堆積させること、ドーパント層の少なくとも一部分の上にキャッピング層を形成すること、基板を熱処理して、ドーパントを基板内に拡散させ、それを活性化させること、そのプロセスチャンバのうち少なくとも1つのチャンバに反応性エッチャントを供給すること、ドーパント層からキャッピング層を除去すること、エッチャントをドーパントと反応させることによって、1種または複数種の揮発性化合物を形成すること、および1つまたは複数のプロセスチャンバから1種または複数種の揮発性化合物を除去することを含むプロセスを提供する。
他の実施形態は、基板の表面領域から高濃度ドーパントを除去する方法であって、表面領域を反応性ガス混合物に暴露すること、ガス混合物を表面領域内または表面領域上のドーパントと反応させることによって、1種または複数種の揮発性化合物を形成すること、および基板から1種または複数種の揮発性化合物を除去することを含む方法を提供する。
本発明の上で列挙した特徴を詳細に理解することができるように、上で簡潔に要約した本発明のより特定の説明を、そのいくつかが添付の図面に示してある実施形態を参照して行うことができる。しかし、添付の図面は、本発明の典型的な実施形態のみを示しており、したがって、本発明が他の同様に効果的な実施形態を含み得るため、本発明の範囲を限定するものと見なすべきではないことに留意されたい。
本発明の一実施形態による装置の概略断面図である。 図1Aに関するプラズマ源の斜視図である。 Aは、本発明の一実施形態によるプロセス流れ図である。B〜Iは、基板が図2Aのプロセス流れ図によって変更される一連の様子を示す図である。 Aは、本発明の別の実施形態によるプロセス流れ図である。B〜Gは、基板が図3Aのプロセス流れ図によって変更される一連の様子を示す図である。 Aは、本発明の別の実施形態によるプロセス流れ図である。B〜Hは、基板が図4Aのプロセス流れ図によって変更される一連の様子を示す図である。 Aは、本発明の別の実施形態によるプロセス流れ図である。B〜Hは、基板が図5Aのプロセス流れ図によって変更される一連の様子を示す図である。
本発明の実施形態は、一般に、ドープ基板の表面付近の領域からドーパントを除去するための方法および装置を提供する。ドーパントは、1つまたは複数のプロセスチャンバ内で、ドーパントから揮発性化合物を形成する材料に基板を暴露することによって、容易に除去できることが分かっている。次いで、1つまたは複数のプロセスチャンバからその揮発性化合物を除去することができる。ドーパントストリッピングは、プラズマを用いて、または用いずに、ドーパントの堆積もしくは打込み後、またはアニール後の任意の時点で実施することができる。「ドライブイン」打込みプロセス中に基板の表面上に堆積したドーパントが、まずキャッピング層をエッチング除去し、次いで残りのドーパントを、任意選択で容量結合または誘電結合により発生する遠隔プラズマまたはその場プラズマを組み込んで、水素(H)、窒素(N)、酸素(O)、アンモニア(NH)、三フッ化窒素(NF)、四フッ化ケイ素(SiF)、湿り空気、またはそれらの組合せなどのドーパント除去混合物と反応させることによってプロセスチャンバから除去される実施形態について説明する。
アルシン(AsH)、ホスフィン(PH)、ボラン(B3x)、およびボラジン(B)などの揮発性化合物が形成され、プロセスチャンバから除去される。ドーパント除去が熱処理前、熱処理中、および熱処理後に達成される他の実施形態について説明する。プラズマイオン打込みとその後に続くドーパント除去を特徴とする、さらに他の実施形態について説明する。最後に、複数のチャンバ内でドーパント除去が達成される実施形態について説明する。
図1Aは、本発明の一実施形態による装置の概略断面図である。図示の装置は、基板へのドーパントのプラズマ打込みなどのプラズマ支援プロセスを実施するように構成されている。このプラズマ反応器100は、チャンバ本体102を含み、チャンバ本体102は、底部124、上部126、および側壁122を有し、それらがプロセス領域104を取り囲む。基板支持組立体128が、チャンバ本体102の底部124から支持され、基板106を処理するために受け取るように適合される。ガス分配プレート130が、チャンバ本体102の上部126に、基板支持組立体128に面して結合される。チャンバ本体102内に排気ポート132が画定されており、真空ポンプ134に結合される。真空ポンプ134は、絞り弁136を介して排気ポート132に結合される。ガス源152がガス分配プレート130に結合されて、基板106に対して実施されるプロセス用にガス状前駆体化合物を供給する。
図1Aに示す反応器100はさらに、図1Bの斜視図に最もよく示されているプラズマ源190を含む。プラズマ源190は、互いに横切って(または、図1Bに示す例示的実施形態において示すように互いに直交して)配設された状態でチャンバ本体102の上部126の外側に取り付けられた、1対の別々の外部再入型管路140、140’を含む。第1の外部管路140は、上部126内に形成された開口198を通じてチャンバ本体102内のプロセス領域104の第1の側内に結合された、第1の端部140aを有する。第2の端部140bには、プロセス領域104の第2の側内に結合された開口196がある。第2の外部再入型管路140bは、プロセス領域104の第3の側内に結合された開口194がある第1の端部140a’、およびプロセス領域104の第4の側内に至る開口192がある第2の端部140b’を有する。一実施形態では、第1および第2の外部再入型管路140、140’が、互いに直交し、それにより、各外部再入型管路140、140’の2つの端部140a、140a’、140b、140b’が、チャンバ本体102の上部126の周縁部に約90度の間隔で配設されるように構成される。外部再入型管路140、140’を直交構成にすると、プロセス領域104全体にわたって均一に分配されたプラズマ源が可能になる。第1および第2の外部再入型管路140、140’は、プロセス領域104内に均一なプラズマ分布をもたらすのに利用される他の分配配置として構成できることが企図される。
透磁性環状コア142、142’が、外部再入型管路140、140’のうち対応する一方の一部分を取り囲む。導電コイル144、144’が、それぞれに対応するRFプラズマ源電力発生器146、146’に、それぞれに対応するインピーダンス整合回路または素子148、148’を介して結合される。各外部再入型管路140、140’は、それぞれ絶縁性環状リング150、150’によって中断された中空の導電性管であり、これらの絶縁性環状リングは、それぞれに対応する外部再入型管路140、140’の2つの端部140a、140b(および140a’、140b’)間の、そうでないなら連続するはずの電気経路を中断する。基板表面でのイオンエネルギーが、基板支持組立体128にインピーダンス整合回路または素子156を介して結合されたRFプラズマバイアス電力発生器154によって制御される。
図1Aを再度参照すると、プロセスガス源152から供給される、ガス状化合物を含有するプロセスガスが、オーバーヘッドのガス分配プレート130を通じてプロセス領域104に導入される。RF源プラズマ電力146が、管路140内に供給されたガスに導電コイル144および環状コア142によって結合されて、外部再入型管路140およびプロセス領域104を含む第1の閉じた環状経路内に循環プラズマ電流を形成する。また、RF源電力146’を、第2の管路140’内のガスに導電コイル144’および環状コア142’によって結合し、第1の環状経路を横切る(例えば直交する)第2の閉じた環状経路内に循環プラズマ電流を形成することができる。第2の環状経路は、第2の外部再入型管路140’およびプロセス領域104を含む。各経路内のプラズマ電流は、それぞれに対応するRF源電力発生器146、146’の周波数で(例えば逆方向に)発振し、この周波数は、同じでも、互いにわずかにオフセットしてもよい。
一実施形態では、プロセスガス源152がさまざまなプロセスガスを供給し、それを利用して、基板106に対して打ち込まれるイオンを形成することができる。プロセスガスの適切な例には、とりわけB、BF、SiH、SiF、PH、P、PO、PF、PF、およびCFがある。各プラズマ源電力発生器146、146’の電力は、それらの合わさった効果により、プロセスガス源152から供給されたプロセスガスが効率良く解離して、基板106の表面に所望のイオン束がもたらされるように作動される。RFプラズマバイアス電力発生器154の電力は、プロセスガスから解離されたイオンエネルギーを基板表面に向かって加速して、基板106の上面下の所望の深さに所望のイオン濃度で打ち込むことのできる、または基板106の表面上に堆積させることのできる、選択されたレベルで制御される。例えば、約50eV未満などの比較的低いRF電力がバイアス発生器154に印加される場合、比較的低いプラズマイオンエネルギーを得ることができる。低イオンエネルギーを有する解離イオンは、基板表面から約0Å〜約100Åの浅い深さに打ち込む、または基板106の表面上に単に堆積させることができる。あるいは、約50eVを上回るような高RF電力から与えられ、発生する高イオンエネルギーを有する解離イオンは、基板表面から100Åの深さを実質的に上回る深さを有して基板に打ち込むことができる。
バイアス電力発生器154は、図では、シャワーヘッド130が接地された状態で、整合回路網156を介して基板支持体128に結合されている。バイアス電力発生器154は、単極のRF駆動の電気バイアスを、管140および140’によって発生したプラズマに印加する。諸代替実施形態では、バイアス電力発生器154をシャワーヘッド130に結合することができ、または別々のバイアス回路を、シャワーヘッド130と基板支持体128の両方に独立に結合することができる。
制御されたRFプラズマ源電力とRFプラズマバイアス電力とが相まって、ガス混合物中のイオンが解離して、十分な運動量とプラズマ反応器100内での所望のイオン分布が得られる。イオンは、バイアスがかけられて基板表面に向かって駆動され、それにより、十分にエネルギーが与えられていれば、所望のイオン濃度、分布、および基板表面からの深さで基板に打ち込まれる。より低エネルギーのプラズマバイアス電力の場合には、ほとんど侵入することなく、基板の表面上への堆積を生じさせることができる。さらに、制御されたイオンエネルギーと、供給されるプロセスガスからのさまざまなタイプのイオン種により、基板106に打ち込んだイオンで、基板106上のゲート構造およびソースドレイン領域など、所望のデバイス構造を形成することが容易になる。
プラズマ反応器100はさらに、チャンバライナ(図示せず)を備えることができる。チャンバライナは一般に、処理中にチャンバ壁部を反応性成分から保護するために設けられる。そのようなライナは、セラミック、シリコン、または他の保護材料で形成することができ、定期的に交換するように設計することができる。諸代替実施形態では、処理前にチャンバの内面上にシリコンまたは酸化物の層を堆積させることによって、チャンバに化学的に裏張りすることができる。この類のその場チャンバライナも同じ機能を果たし、エッチングプロセスまたは洗浄プロセスによって除去および交換することができる。
図2Aは、本発明の一実施形態によるプロセスを示す。図2B〜2Iは、図2Aのプロセスによって変更される基板を示す。一実施形態は、ステップ250のように、シリコン含有基板の表面上にドーパント原子の層を堆積させることから開始する。トランジスタのソースドレイン領域を形成する際に一般に使用されるドーパント原子には、ホウ素(B)、リン(P)、ヒ素(As)、ゲルマニウム(Ge)、およびシリコン(Si)があるが、それらに限定する必要はない。他の必要に合わせて、窒素(N)、炭素(C)、酸素(O)、ヘリウム(He)、キセノン(Xe)、アルゴン(Ar)、フッ素(Fl)、および塩素(Cl)もしばしば基板に打ち込まれる。本明細書で本発明の諸実施形態として説明するプロセスを使用して、任意の適切なドーパントを、最小限の変更で除去することができる。堆積先行プロセスでは、ドーパント層202の深さを約100オングストロームまで、好ましくは約50オングストロームまでとすることができる。図2Bは、基板200と、その上に堆積したドーパント層202を示す。
堆積先行プロセスでは、ステップ254のようにドーパント層を熱処理して、基板200内への拡散を促進させる。熱処理中のドーパント層202の昇華を回避するために、ステップ252および図2Cに示すように、ドーパント層202の上にキャッピング層204を形成することができる。キャッピング層204は、全ての実施形態に求められるわけではないが、使用する場合には、キャッピング層204をシリコン、酸素、炭素、窒素、水素、金属、またはそれらの任意の適切な組合せで形成することができる。キャッピング層204は、熱伝導性であり、かつシリコンの融点(約1410℃)に近いアニール温度まで安定してさえいればよい。一般に使用されるキャッピング層の例が、窒化シリコン(Si)、炭化シリコン(Si)、酸窒化シリコン(Si)、酸化シリコン(Si)、および窒化金属(M)であり、この場合、金属は、チタン(Ti)、タンタル(Ta)、またはタングステン(W)などの、一般に使用される任意のバリア金属またはキャッピング金属とすることができる。そのような層は、プラズマを用いた、または用いない、物理気相成長または化学気相成長によって堆積させることができ、一般には、化学量論的な元素の組合せではない。例えば、上記の化合物では、a=1の場合、bは約0.3〜約1.5の範囲でよく、cは約0.3〜約1.2の範囲でよく、dは約0.5〜約2.5の範囲でよい。また、e=1の場合、fは約0.8〜約1.2の範囲でよい。
ステップ254での熱処理により、ドーパント原子が層202から基板200にドライブインされる。図2Dは、ドーパント原子が基板200全体にわたって分散されてドープ層206を形成する様子と、キャッピング層204を概して示し、表面ドーパント層202は消滅している。ドープ層206内のドーパントは、基板200の表面付近の方が濃度がより高くなる。多くの場合、ドーパント層202は、ドライブインプロセス中に消費されるのではなく、基板200のドープ層206とキャッピング層204の間に残る、ドーパント原子からなる10〜100オングストロームの層として存続し得ることに留意されたい。ステップ254では、熱処理が、基板上に堆積したドーパントを拡散および活性化させるのに適した任意の温度履歴を含んでよい。加熱アニール、冷却アニール、急速アニール、スパイクアニール、インパルスアニール、レーザアニール、またはフラッシュアニールの任意の組合せが、所望の拡散を引き起こすのに十分となり得る。レーザアニールの場合、アニールプロセスを支援するために、キャッピング層を反射防止層または吸収層とすることができる。
ステップ256では、プロセスチャンバに反応性混合物を供給することができる。反応性混合物は、水素(H)、酸素(O)、窒素(N)、アンモニア(NH)、三フッ化窒素(NF)、四フッ化ケイ素(SiF)、または硫化二水素(HS)などの物質を含むことができる。図2Eは、反応性混合物210がまずキャッピング層204に当たる一実施形態を示す。反応性混合物210は、この実施形態では、まずキャッピング層204と反応してそれを除去し、次いで、基板200のドープ層206内のドーパントと反応するものが選択される。したがって、反応性混合物210は、必ずしも上で列挙した物質に限定されるわけではない。
オプションのステップ258に示すように、反応性混合物210のプラズマを形成することが有利となる場合がある。図2Fは、反応性混合物210が電離してプラズマ212になった様子を示す。いくつかの実施形態では、プラズマを使用してキャッピング層204とドープ層206の表面付近のドーパントをどちらも除去することが有用となる場合がある。プラズマは、遠隔またはその場で容量結合または誘導結合により発生させることができる。この目的のために、フッ化水素(HF)などのエッチャントを使用することができる。ステップ260では、基板200からキャッピング層204がプラズマ212によってエッチング除去される。
ステップ262では、キャッピング層をエッチング除去するのに使用されたエッチャントが、基板200のドープ層206の表面またはその直下にあるドーパント原子と反応して、揮発性化合物を形成する。そのような化合物には、アルシン(AsH)、ホスフィン(PH)、ボラン(BH)、ジボラン(B)、ボラジン(B)、およびハロゲン化ホウ素(BXまたはB)があり得る。ドーパントのタイプに応じて、エッチャントは、ドーパントと反応して1種または複数種の揮発性化合物を形成するものを選択すべきである。揮発性化合物214は、図2Hにおいて基板200から発達し、図2Iにおいて真空によって除去される。ドーパントは、好ましくは、基板の表面下約10オングストロームまで除去されるが、反応性混合物により長く暴露させると、ドーパントを、基板の表面下約30オングストロームまでなど、基板内のより深くまで除去することができる。この実施形態では、単一の反応性混合物が示されている。しかし、キャッピング層を除去するのに使用される混合物が、ドーパントを除去するのに使用される混合物とは異なってよいことに留意されたい。
ドーパント除去にかけられている基板に熱制御を加えることが、一般に有利である。幾分高い温度が、揮発性化合物が基板から去るように仕向けるのに有用となり得る。この目的のために、いくつかの実施形態では、約50℃を上回る制御された基板温度が望ましい。
処理の終わりに、基板の上に保護酸化物層を形成することができる。この保護層は、その層の下の基板の組成に対するそれ以上の変化を最小限に抑える。いくつかの実施形態では、酸化物層は、基板を空気に暴露することによって生成する自然酸化物層とすることができる。他の実施形態では、プラズマ状態の、またはプラズマ状態でない酸化剤をプロセスチャンバに供給して、約40オングストロームまでの厚さの酸化物層を生成することができる。酸化物層は、それ以上ドーパントが基板の表面に移動して空気または水分と反応するのを防ぎ、また表面上への汚染物質のどんな望ましくない吸着も防ぐ。
この実施形態によれば、プロセスチャンバ内に、約300ミリメートル(mm)など、約200mm〜約450mmの寸法をもつ基板を配設することができる。基板は、シリコン含有基板、例えばポリシリコン基板とすることができる。基板は、非晶質シリコン基板とすることもできる。基板の表面上にホウ素ドーパントを、プラズマ支援を用いた、または用いない、化学気相成長または物理気相成長によって堆積させることができる。例えば、堆積チャンバに、ジボランとキャリヤガスを含むガス混合物を供給することができる。ガス混合物の組成は、重量で約5%〜約10%のジボランとし、残部が水素ガス(H)、ヘリウム(He)、またはそれらの組合せを含んでよい。ガス混合物は、約20標準立方センチメートル毎分(sccm)〜約300sccmの流量で供給することができる。水素ガス(H)、ヘリウム(He)、アルゴン(Ar)、またはそれらの組合せを含む追加の希釈ガスを、約10sccm〜約200sccmの流量で供給することもできる。チャンバ温度は一般に、約5℃〜約70℃に維持される。約6キロワット(kW)までの電力を上述した誘導源142および142’に供給することによって、プラズマを発生させることができ、約100ワット(W)〜約5kWのRF電力を、上述したバイアス電源154および結合を用いて基板支持体128に印加することによって、そのプラズマにバイアスをかけることができる。約3秒〜約200秒間処理することにより、一般に、基板の表面上に約300オングストロームまでの厚さのホウ素ドーパント層が得られる。
1300℃で最大約10秒間のアニールに続き、プロセスチャンバにアンモニア(NH)を約10〜約200sccmの流量で約3秒〜約200秒間供給することができる。アニール後、基板温度は一般に、約100℃未満の温度に下げられる。約13.56MHzの周波数のRF電力を印加して、アンモニアを電離させるための電界を発生させることにより、プラズマを発生させることができる。活性化されたアンモニアイオンが、基板の表面上、またその直下にあるホウ素ドーパントと反応する。一実施形態では、基板の表面上、およびそこから約10オングストローム以内にある余分なホウ素ドーパントが、揮発性化合物であるボラジン(B)に変換される。最後に、ガス混合物に酸素(O)を約30秒間添加して、ドーパント除去後の基板の上に約40オングストロームまでの厚さの保護酸化物層を形成することができる。保護酸化物層は、基板のストリップ後の表面からドーパントがさらに放出するのを防ぐことができる。
一代替実施形態では、ドーパントを表面上に堆積させるのではなく、エネルギーにより打ち込むことができる。上記で論じたように、そのような打込みは、カリフォルニア州サンタクララのApplied Materialsから入手可能なCENTURA(商標)チャンバを用いて実施されるプラズマイオン浸漬打込み(P3I)法を使用して行われているように、ドーパント原子を電離させ、それを電磁界を用いて基板に向かって加速させる必要がある。一代替実施形態では、エネルギーによる打込みを、カリフォルニア州サンタクララのApplied Materialsから入手可能なQUANTUM X PLUS(商標)イオンビーム打込み装置を用いて達成することができる。デバイス幾何形状がより小さくなるにつれて、打込み領域がより薄くなり、一部の現在の用途では、100オングストロームに近づいている。打込み領域がより薄くなるにつれて、イオンが結晶に潜り込むのに必要なエネルギーが小さくなり、過度の打込みを回避するためにそのエネルギーを制限しなければならない。電離電界および加速電磁界のエネルギー密度の空間変動のため、ドーパントイオンはエネルギー分布を有する。より高エネルギーのイオンは深く打ち込まれ、低エネルギーのイオンは、基板の表面上に単に吸着し得る。図3Bはこの現象を示している。打込みステップ350では、イオン306が基板300に向かって加速されている。一部は深く打ち込まれて、基板300内にドープ層302を形成し、一部は表面304上に吸着する。
打込みに続き、表面上に吸着した余分なドーパント、および表面直下の高濃度ドーパントを、アニール前に除去することができる。ステップ352のように、プロセスチャンバに反応性混合物または物質、すなわち図3Cの308を供給することができる。混合物は、水素(H)、酸素(O)、窒素(N)、アンモニア(NH)、三フッ化窒素(NF)、四フッ化ケイ素(SiF)、硫化二水素(HS)、およびドーパント原子と反応して揮発性化合物を形成するものが選択された他のガスを含むことができる。反応性混合物は、任意選択で電離してプラズマ、すなわちステップ354および図3Dのプラズマ310にすることができる。ステップ356において、反応性混合物がドーパント原子と結合して、1種または複数種の揮発性化合物、すなわち図3Eの312を形成し、それが基板から発達する。基板300の表面上に吸着したドーパントの層が除去され、基板300の表面付近の高濃度ドーパントも同様であり、ステップ358および図3Fにおいて、生成した揮発性化合物312がプロセスチャンバから真空314によって除去される。その後、基板300内に残っているドーパントを拡散により分散させ、活性化させなければならず、したがってそれを達成するために、ステップ360において基板300が熱処理される。
この実施形態によれば、プロセスチャンバ内に、約300mmなど、約200mm〜約450mmの寸法をもつ基板を配設することができる。基板は、シリコン含有基板、例えばポリシリコン基板または非晶質シリコン基板とすることができる。基板にリンドーパントを、プラズマイオン浸漬打込みによって打ち込むことができる。例えば、重量で約5%〜約10%のホスフィン(PH)と、H、He、またはそれらの組合せを含む残部とを含んだガス混合物を、プロセスチャンバに約3sccm〜約200sccmの流量で供給することができる。約6kWまでの電力を上述した誘導結合源に供給することによって、プラズマを発生させることができる。プラズマ条件にさらされたリンイオンがホスフィンガスから解離し、それを、約100W〜約5kWのRF電力を用いて電気バイアスをシャワーヘッドに印加することによって、基板の方に誘導することができる。約3秒〜約200秒間処理することにより、基板の表面下約300オングストロームまでリンイオンが打ち込まれる。上述したように、いくつかの実施形態では、打ち込まれたリンイオンの濃度が、基板の表面、またはその付近で最も高くなる。プラズマに水素を約20sccm〜約300sccmの流量で約3秒〜約200秒間添加し、電離させて、基板の表面上、および表面下最大約20オングストロームの堆積したリンと反応させることができる。この反応により、ホスフィンガス(PH)が再度生成し、それがチャンバから除去される。処理中に水素を添加すると、基板の表面上へのドーパントの堆積が最小限に抑えられる。次いで、基板をアニールして、残りのドーパントを活性化させることができ、また少しの間、酸素(O)または水蒸気(HO)などの酸化ガスに暴露して、保護酸化物層を生成させることができる。
他の実施形態では、余分なドーパントを、基板の熱処理と同時に除去することができる。図4Aは、本発明の一実施形態によるプロセス流れ図であり、これは、ステップ452において熱処理を開始してからステップ462において熱処理を終了するまでの間に、プロセスチャンバに反応性物質408を供給すること、すなわちステップ454を特徴とするものである。除去したいドーパントに応じて、ステップ456においてプラズマ410を任意選択で使用することができる。反応性成分が、ステップ458においてドーパントと反応することによって揮発性化合物を形成し、ステップ460において真空によって除去される。この実施形態は、余分なステップおよび余分な処理時間が不要になるように、拡散および活性化が余分なドーパントの除去と同時に進むことを可能にする。
この実施形態によれば、プロセスチャンバ内に、約300mmなど、約200mm〜約450mmの寸法をもつ基板を配設することができる。基板は、シリコン含有基板、例えばポリシリコン基板または非晶質シリコン基板とすることができる。基板にヒ素ドーパントを、プラズマイオン浸漬打込みによって打ち込むことができる。例えば、重量で約5%〜約10%のアルシン(AsH)と、H、He、またはそれらの組合せを含む残部とを含んだガス混合物を、プロセスチャンバに約3sccm〜約200sccmの流量で供給することができる。約6kWまでの電力を上述した誘導結合源に供給することによって、プラズマを発生させることができる。プラズマ条件にさらされたヒ素イオンがアルシンガスから解離し、それを、約100W〜約5kWのRF電力を用いて電気バイアスをシャワーヘッドに印加することによって、基板の方に誘導することができる。約3秒〜約200秒間処理することにより、基板の表面下約300オングストロームまでヒ素イオンが打ち込まれる。上述したように、いくつかの実施形態では、打ち込まれたイオンの濃度が、基板の表面、またはその付近で最も高くなる。
次いで、基板を、約1300℃で最大約10秒間アニールすることができる。アニールプロセス中、チャンバに水素を約20sccm〜約300sccmの流量で約3秒〜約200秒間添加して、基板の表面上、および表面下最大約20オングストロームの堆積したヒ素と反応させることができる。この反応により、アルシンガス(PH)が再度生成し、それが、アニールが進行する間チャンバから除去される。次いで、基板を少しの間、酸素(O)または水蒸気(HO)などの酸化ガスに暴露して、保護酸化物層を生成することができる。
さらに他の実施形態では、基板の熱処理後に余分なドーパントを除去することができる。図5Aは、この実施形態を示すプロセス流れ図である。この実施形態では、基板の熱処理がステップ552において実施される。図5Dは、熱処理552が吸着ドーパント層504に及ぼす圧縮効果を示す。熱処理が進むにつれて、当初基板500の表面上に吸着したドーパント層504が、基板500内に一部拡散することによって、また昇華して蒸気になることによって圧縮されて、ずっと薄い余分な層が残る。余分なドーパントの除去は、ステップ554によって示すように、熱処理とは異なる温度で実施することができる。例えば、ドーパント除去を、約200℃未満の温度など、熱処理温度未満の温度で実施することが有利となり得る。より低い温度の場合、基板内への拡散過程が停止し、したがって、実質的にドーパントのないシリコンからなる、非常に薄い表面層がもたらされる。
前述の各プロセスは、他の様式で実施することができる。例えば、いくつかの実施形態では、上述した処理を複数のチャンバ内で実施することができる。ドーパントの堆積または打込み後にドーパント除去が実施される実施形態では、ドーパント除去プロセスを、打込みまたは堆積プロセスとは別のプロセスチャンバ内で実施することができる。基板を、打込みまたは堆積チャンバから、搬送チャンバ内に収容された搬送デバイスによって取り除き、熱処理チャンバまたはドーパント除去に適した他の装置など、別のチャンバに移送することができる。同様に、ドーパント除去プロセスを、複数のチャンバを用いて複数のステップで実施することができる。第1のプロセスチャンバが、ドーパントの一部分を除去することができ、後続の各チャンバが、ドーパントの更なる増分を除去することができる。1つのチャンバ、多数のチャンバ、またはかかる全てのチャンバ内で、プラズマおよび熱を使用することができる。さらに、ドーパント除去が達成される1つまたは複数のチャンバは、換気ボックスを含むことができる。ドーパントを含んだ基板を換気ボックスに移送し、湿り空気などのドーパント除去混合物に暴露して、揮発性ガスを生成することができる。
本発明の実施形態について説明したが、本発明の他の実施形態および更なる実施形態を、本発明の基本的な範囲から逸脱することなく考案することができ、本発明の範囲は、添付の特許請求の範囲によって定められる。

Claims (17)

  1. 基板を処理する方法であって、
    基板を処理チャンバ内に配置するステップと、
    前記基板の表面上にドーパントの層を堆積させるステップと、
    ドーパントを、前記ドーパントの層から前記基板内に拡散させるステップと、
    ドーパントを前記基板内に拡散させた後、前記基板をドーパント除去混合物に暴露するステップと、
    前記ドーパント除去混合物を前記基板表面に施与することによって、前記基板表面上にドーパントから揮発性化合物を形成するステップと、
    前記処理チャンバから前記揮発性化合物を除去するステップと、
    を含む、方法。
  2. 前記ドーパントが、ホウ素(B)、ヒ素(As)、リン(P)、またはそれらの組合せを含む、請求項1に記載の方法。
  3. 前記ドーパント除去混合物が、水素(H)、窒素(N)、酸素(O)、アンモニア(NH)、三フッ化窒素(NF)、四フッ化ケイ素(SiF)、または空気を含む、請求項1に記載の方法。
  4. 前記揮発性化合物が、アルシン(AsH)、ホスフィン(PH)、ボラン(BH)、ジボラン(B)、ボラジン(B)、またはそれらの誘導体を含む、請求項1に記載の方法。
  5. 前記基板が、前記揮発性化合物の前記基板からの蒸発を促進するように選択された温度に熱制御される、請求項1に記載の方法。
  6. 前記温度が少なくとも約50℃である、請求項5に記載の方法。
  7. 基板を処理する方法であって、
    基板を処理チャンバ内に配置するステップと、
    プラズマ浸漬処理を用いて前記基板の表面内にドーパントイオンを打ち込んでドープ基板を形成するステップと、
    前記チャンバに1つまたは複数のドーパント除去混合物を供給するステップと、
    前記ドーパント除去混合物からプラズマを形成するステップと、
    前記ドープ基板を前記プラズマに暴露するステップと、
    前記プラズマを前記基板に施与することによって、前記打ち込まれたドーパントから揮発性化合物を生成するステップと、
    前記チャンバから前記揮発性化合物を除去するステップと、
    を含む、方法。
  8. 前記基板に打ち込まれたドーパントイオンが、ホウ素(B)、ヒ素(As)、リン(P)、またはそれらの組合せを含む、請求項7に記載の方法。
  9. 前記プラズマが誘導結合プラズマである、請求項7に記載の方法。
  10. 前記基板内でのドーパントの拡散および活性化を引き起こすように選択された1種または複数種の温度で熱処理するステップをさらに含む、請求項7に記載の方法。
  11. 前記プラズマが、1つまたは複数のチャンバの外側で発生される、請求項7に記載の方法。
  12. 前記温度が、1つまたは複数の揮発性化合物を生成するための第1の温度と、前記基板を熱処理するための第2の温度とを含む、請求項10に記載の方法。
  13. 前記第1の温度が少なくとも約50℃である、請求項12に記載の方法。
  14. 基板を処理する方法であって、
    基板をプラズマ浸漬チャンバ内に配置するステップと、
    前記プラズマ浸漬チャンバでプラズマ浸漬処理を用いて前記基板の表面内にドーパントを打ち込むステップと、
    前記表面領域をガス混合物に暴露するステップと、
    前記ガス混合物を前記表面領域に打ち込まれたドーパントと反応させることによって、揮発性化合物を形成するステップと、
    前記基板から前記揮発性化合物を除去するステップと、
    を含む、方法。
  15. 前記ドーパントが、ホウ素(B)、ヒ素(As)、またはリン(P)を含む、請求項14に記載の方法。
  16. 前記基板が、前記1つまたは複数の揮発性化合物が前記基板の表面領域から蒸発することを促進するように選択された温度に熱制御される、請求項14に記載の方法。
  17. 前記反応性ガス混合物からプラズマを形成するステップをさらに含む、請求項14に記載の方法。
JP2011275325A 2007-12-21 2011-12-16 基板からの表面ドーパントの除去 Pending JP2012104841A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/963,034 2007-12-21
US11/963,034 US7989329B2 (en) 2007-12-21 2007-12-21 Removal of surface dopants from a substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010539805A Division JP5064572B2 (ja) 2007-12-21 2008-12-18 基板からの表面ドーパントの除去

Publications (1)

Publication Number Publication Date
JP2012104841A true JP2012104841A (ja) 2012-05-31

Family

ID=40789145

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010539805A Expired - Fee Related JP5064572B2 (ja) 2007-12-21 2008-12-18 基板からの表面ドーパントの除去
JP2011275325A Pending JP2012104841A (ja) 2007-12-21 2011-12-16 基板からの表面ドーパントの除去

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010539805A Expired - Fee Related JP5064572B2 (ja) 2007-12-21 2008-12-18 基板からの表面ドーパントの除去

Country Status (6)

Country Link
US (2) US7989329B2 (ja)
JP (2) JP5064572B2 (ja)
KR (2) KR101117438B1 (ja)
CN (1) CN101903981A (ja)
TW (2) TW201207919A (ja)
WO (1) WO2009085965A1 (ja)

Families Citing this family (408)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
US7968441B2 (en) * 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8389317B2 (en) * 2009-05-28 2013-03-05 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. MEMS device and method of fabricating the same
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8691675B2 (en) * 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
KR101129028B1 (ko) 2010-03-24 2012-03-23 주식회사 하이닉스반도체 반도체 소자의 패시베이션 어닐 공정 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8501605B2 (en) * 2011-03-14 2013-08-06 Applied Materials, Inc. Methods and apparatus for conformal doping
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI492298B (zh) 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
MY166017A (en) * 2011-12-16 2018-05-21 Applied Materials Inc Demagnetization of magnetic media by c doping for hdd patterned media application
CN104737268A (zh) 2012-01-12 2015-06-24 第一太阳能有限公司 在半导体器件的不同层中提供掺杂剂浓度控制的方法和系统
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
DE102012018746A1 (de) * 2012-09-21 2014-03-27 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Dotierung von Halbleitersubstraten
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2014236093A (ja) * 2013-05-31 2014-12-15 サンケン電気株式会社 シリコン系基板、半導体装置、及び、半導体装置の製造方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN111261498A (zh) * 2014-04-01 2020-06-09 Ev 集团 E·索尔纳有限责任公司 用于衬底表面处理的方法及装置
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
DE102015102055A1 (de) * 2015-01-16 2016-07-21 Infineon Technologies Ag Verfahren zum Bearbeiten einer Halbleiteroberfläche
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
FR3033079B1 (fr) * 2015-02-19 2018-04-27 Ion Beam Services Procede de passivation d'un substrat et machine pour la mise en oeuvre de ce procede
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) * 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10651003B2 (en) * 2016-11-28 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Ion implanting method
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11127601B2 (en) * 2019-05-21 2021-09-21 Applied Materials, Inc. Phosphorus fugitive emission control
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01112732A (ja) * 1987-10-27 1989-05-01 Fujitsu Ltd レジストのアッシング方法
JP2004506339A (ja) * 2000-08-11 2004-02-26 アプライド マテリアルズ インコーポレイテッド 外部から励磁されるトロイダルプラズマ源
US20070243700A1 (en) * 2006-04-14 2007-10-18 Shu Qin Method of photoresist strip for plasma doping process of semiconductor manufacturing
US20090042373A1 (en) * 2007-08-08 2009-02-12 Freescale Semiconductor, Inc. Process of forming an electronic device including a doped semiconductor layer

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4668304A (en) * 1985-04-10 1987-05-26 Eaton Corporation Dopant gettering semiconductor processing by excimer laser
JP3464247B2 (ja) * 1993-08-24 2003-11-05 株式会社東芝 半導体装置の製造方法
US5898207A (en) * 1996-02-13 1999-04-27 Matsushita Electric Industrial Co., Ltd. Method for making a semiconductor device
EP0932191A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method of plasma etching doped polysilicon layers with uniform etch rates
US6274464B2 (en) 1998-02-06 2001-08-14 Texas Instruments Incorporated Epitaxial cleaning process using HCL and N-type dopant gas to reduce defect density and auto doping effects
US7090890B1 (en) * 1998-04-13 2006-08-15 The Trustees Of Princeton University Modification of polymer optoelectronic properties after film formation by impurity addition or removal
FR2784501B1 (fr) 1998-10-07 2003-01-31 St Microelectronics Sa Procede d'epitaxie sur un substrat de silicium comprenant des zones fortement dopees au bore
US6586318B1 (en) * 1999-12-28 2003-07-01 Xerox Corporation Thin phosphorus nitride film as an N-type doping source used in laser doping technology
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
JP4802364B2 (ja) * 2000-12-07 2011-10-26 ソニー株式会社 半導体層のドーピング方法、薄膜半導体素子の製造方法、及び半導体層の抵抗制御方法
JP2002184710A (ja) * 2000-12-18 2002-06-28 Sony Corp 半導体層のドーピング方法、薄膜半導体素子の製造方法、及び薄膜半導体素子
KR100428769B1 (ko) * 2001-06-22 2004-04-28 삼성전자주식회사 반도체 롬 장치 형성 방법
US20030040171A1 (en) * 2001-08-22 2003-02-27 Weimer Ronald A. Method of composite gate formation
US6764551B2 (en) * 2001-10-05 2004-07-20 International Business Machines Corporation Process for removing dopant ions from a substrate
JP2004006537A (ja) * 2002-05-31 2004-01-08 Ishikawajima Harima Heavy Ind Co Ltd 薄膜形成方法及び装置並びに太陽電池の製造方法並びに太陽電池
KR100578786B1 (ko) 2004-05-28 2006-05-11 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
WO2004006303A2 (en) * 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
JP2004207585A (ja) * 2002-12-26 2004-07-22 Fujitsu Ltd 半導体装置の製造方法
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7285473B2 (en) * 2005-01-07 2007-10-23 International Business Machines Corporation Method for fabricating low-defect-density changed orientation Si
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7504314B2 (en) * 2005-04-06 2009-03-17 International Business Machines Corporation Method for fabricating oxygen-implanted silicon on insulation type semiconductor and semiconductor formed therefrom

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01112732A (ja) * 1987-10-27 1989-05-01 Fujitsu Ltd レジストのアッシング方法
JP2004506339A (ja) * 2000-08-11 2004-02-26 アプライド マテリアルズ インコーポレイテッド 外部から励磁されるトロイダルプラズマ源
US20070243700A1 (en) * 2006-04-14 2007-10-18 Shu Qin Method of photoresist strip for plasma doping process of semiconductor manufacturing
US20090042373A1 (en) * 2007-08-08 2009-02-12 Freescale Semiconductor, Inc. Process of forming an electronic device including a doped semiconductor layer

Also Published As

Publication number Publication date
JP5064572B2 (ja) 2012-10-31
KR101117438B1 (ko) 2012-03-13
US20090162996A1 (en) 2009-06-25
US7989329B2 (en) 2011-08-02
KR20110119798A (ko) 2011-11-02
TW200945425A (en) 2009-11-01
WO2009085965A1 (en) 2009-07-09
WO2009085965A4 (en) 2009-08-27
TW201207919A (en) 2012-02-16
CN101903981A (zh) 2010-12-01
KR20100109921A (ko) 2010-10-11
US20110256691A1 (en) 2011-10-20
JP2011508970A (ja) 2011-03-17

Similar Documents

Publication Publication Date Title
JP5064572B2 (ja) 基板からの表面ドーパントの除去
US8501605B2 (en) Methods and apparatus for conformal doping
KR101497902B1 (ko) 원자층 증착에 의해 보조되는 등각 플라즈마 잠입 이온 주입(piii)을 위한 방법
JP5599437B2 (ja) 半導体基板を処理する方法
US7968441B2 (en) Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7858503B2 (en) Ion implanted substrate having capping layer and method
WO1999060620A1 (en) Semiconductor device fabrication process
JP5383501B2 (ja) 低エネルギーの高用量ヒ素、リン、ホウ素注入ウエハの安全な取り扱い
JP2018532272A (ja) 共形ドーパント堆積を使用した3d si構造における共形ドーピング
JP2012507867A (ja) P3iプロセスにおけるドーピングプロファイルの調整

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131105

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140408