KR20100109921A - 기판으로부터 표면 도펀트들의 제거 - Google Patents

기판으로부터 표면 도펀트들의 제거 Download PDF

Info

Publication number
KR20100109921A
KR20100109921A KR1020107015029A KR20107015029A KR20100109921A KR 20100109921 A KR20100109921 A KR 20100109921A KR 1020107015029 A KR1020107015029 A KR 1020107015029A KR 20107015029 A KR20107015029 A KR 20107015029A KR 20100109921 A KR20100109921 A KR 20100109921A
Authority
KR
South Korea
Prior art keywords
substrate
dopants
dopant
chambers
volatile compounds
Prior art date
Application number
KR1020107015029A
Other languages
English (en)
Other versions
KR101117438B1 (ko
Inventor
카틱 라마스와미
케네쓰 에스. 콜린스
비아지오 갈로
히로지 하나와
마지드 에이. 포드
마르틴 에이. 힐케네
카틱 산타남
매튜 디. 스코트니-캐슬
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20100109921A publication Critical patent/KR20100109921A/ko
Application granted granted Critical
Publication of KR101117438B1 publication Critical patent/KR101117438B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • H01L21/2253Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Abstract

도핑된 기판으로부터 과다 도펀트들을 제거하기 위한 방법 및 장치가 제공된다. 일 실시예에서, 기판은 도펀트의 표면 증착에 의해 도핑되고, 캡핑층의 형성 및 열적 확산 드라이브-인이 이에 뒤따른다. 반응성 에칭제 혼합물은 선택적인 플라즈마를 이용하여 프로세스 챔버에 제공되어, 캡핑층을 에칭하고 과다 도펀트와 반응함으로써 휘발성 화합물들을 형성한다. 다른 실시예에서, 기판은 도펀트의 고에너지 주입에 의해 도핑된다. 반응성 가스 혼합물은 선택적인 플라즈마를 이용하여 프로세스 챔버에 제공되어, 휘발성 화합물들을 형성하기 위해 도펀트와 반응함으로써 기판상에 흡착된 과다 도펀트 및 기판 근처의 고-농도 도펀트를 제거한다. 반응성 가스 혼합물은 열처리 동안 제공될 수 있거나, 열처리 온도와 상이한 온도들에서 그 전에 또는 그 후에 제공될 수 있다. 휘발성 화합물들은 제거된다. 이렇게 처리된 기판들은 프로세스 장비 외부에서 저장 또는 운송될 때 독성 화합물들을 형성하지 않는다.

Description

기판으로부터 표면 도펀트들의 제거{REMOVAL OF SURFACE DOPANTS FROM A SUBSTRATE}
본 발명의 실시예들은 일반적으로 반도체 소자들을 제조하는 방법에 관한 것이다. 보다 구체적으로, 본 발명의 실시예들은 기판 표면 근처의 고-농도 도펀트들을 제거하는 방법들에 관한 것이다.
반도체 제조 프로세스들에서, 도핑제(doping agent)들의 이용이 때때로 요구된다. 도핑은, 그렇지 않으면 실질적으로 순수한 물질 내로 불순물들을 주입하기 위한 임의의 다수의 프로세스들을 지칭한다. 불순물들은, 도핑된 혼합물에 그것들이 부여하는 어떤 특성 또는 상기 혼합물의 특성들에 있어서 그것들이 유발하는 어떤 변화를 위해 요구된다. 몇몇 응용들에서, 도펀트들은 계면에서 2개의 물질들이 서로 확산되는 것을 방지하기 위한 계면 배리어(barrier)를 제공할 수 있다. 예를 들어, 박막 커패시터에서 전도층과 접하는 유전 물질의 표면은, 전도성 엘리먼트들이 유전 층 내로 확산되는 것을 방지하도록 도핑될 수 있다. 다른 응용들에서, 도펀트들은 물질의 열적 특성들을 변화시킬 수 있다. 예를 들어, 처리를 용이하게 하도록 물질의 열적 또는 광학적 특성들을 변화시키기 위해 열처리 되도록 도펀트들이 물질에 주입될 수 있다. 공통된 응용에서, 트랜지스터 소자에 대한 소스 및 드레인 접합들을 형성하기 위해 도펀트들은 기판의 영역 도처에 분산된다. 예를 들어, 도펀트들은 실리콘 결정 내로 주입될 수 있다. 도펀트들은 실리콘과는 상이한 전자적 구성을 가지고, 결정을 통하는 전류 흐름에 대해 전위를 생성한다.
물질 내로 도펀트들을 주입하는 프로세스는 일반적으로 2개의 경로들 중 하나를 따른다. 도펀트들은 도핑될 물질의 표면상에 증착될 수 있고 그 후 도펀트들이 물질 내로 확산되도록 촉진하기 위해 물질을 가열함으로써 "드라이브-인(drive-in)"될 수 있다. 이러한 프로세스는 보통, 열처리 동안 증착된 도펀트의 승화(sublimation)를 방지하기 위해 도펀트 층 위에 열적으로 전도성이 있지만 견고한 캡핑층(capping layer)을 형성하는 것을 포함한다. 열처리 동안 도펀트들은 기판 내로 확산될 것이고, 결과적으로 농도 구배(concentration gradient)가 일반적으로 물질의 표면 근처에서 더 높고 물질 내부로 들어가면 더 낮은 결과를 낳는다. 열처리가 길어지고 집중될수록, 더욱 많이 확산되고, 농도 구배는 더 평탄해진다. 실리콘 결정 내로 도펀트들의 주입을 포함하는 프로세스들에서, 이러한 열처리 프로세스는 또한, 도펀트 원자들이 결정 격자 내에서 위치들을 차지하도록 촉진함으로써 도펀트 원자들을 "활성화(activate)"시키도록 기능하고, 그것은 결정 격자를 통해 일반적으로 오더(order)를 증가시키며, 결정 변위(dislocation)에 기인하는 전기 저항을 감소시킨다.
대안적인 경로는 기판 내로 도펀트 이온들의 고에너지(energetic) 주입을 포함한다. 이러한 프로세스에서, 도펀트들은 플라즈마로 이온화되고(원격(remotely)으로 또는 인 시튜로), 이러한 이온들을 기판을 향해 가속시키기 위해 전자기장이 이용된다. 이러한 이온들은 기판 표면에 충돌하고 결정 구조 내로 파고든다. 각각의 이온이 결정 내로 파고드는 깊이는 대개 이온의 운동 에너지에 의존한다. 상기 "드라이브-인" 실시예에서 처럼, 농도 분포는 일반적으로 깊이에 따라 단조롭게 감소하고, 도펀트들을 확산 및 활성화시키기 위해 어닐링이 유사하게 수행된다.
각각의 프로세스에서, 도펀트들로 주입될 영역은 주입 이전에 또는 주입 동안 "비정질화(amorphized)" 될 수 있다. 영역을 비정질화하는 것은 기판의 결정 구조를 혼란(disrupt)시키고, 도펀트 원자들 또는 이온들이 기판에 침투하도록 도관(conduit)들을 생성한다. 비정질화는 일반적으로, 도펀트들이 비정질화 없이 주입될 때보다 표면 근처에서 더 적은 충돌들을 하기 때문에, 결과적으로 보다 깊게 주입된다. 이것은 깊은 주입이 요구될 때 유리할 수 있다.
양자 모두의 프로세스들은 기판 표면 근처에 고 농도의 도펀트가 잔존하게 되는 결과를 낳는다. 전자의 프로세스는 때때로, 상당한 양의 도펀트가 기판 표면상에 남겨져 있게 되는 결과를 낳는다. 어느 경우든, 어닐링 이후에, 기판은 프로세스 챔버로부터 제거될 수 있고 일정 시간 기간 동안 저장 박스 내로 위치될 수 있다. 이러한 시간 동안, 기판은 때때로 가스가 제거된다(degas). 특히, 기판 표면 근처의 고농도 도펀트들은 공기 중 수분과 반응하여 휘발성 화합물들을 형성한다. 이것들 중 몇몇은 또한 독성이 높다. 예를 들어, 비소(As) 및 인(P), 2개의 널리 이용되는 도펀트들은 공기 중 수분과 반응하여 수소화비소(AsH3) 및 수소화인(PH3)을 형성하고, 이것들 양자 모두는 독성이 높다. 예를 들어 수소화비소의 OSHA 허용가능 노출은 그것의 독성 때문에 50 ppb(parts-per-billion)에서 5 ppb로 최근 감소되었다. 그러므로, 도핑된 기판 표면 근처의 영역들로부터 고농도의 도펀트들을 제거하기 위한 방법이 필요하다.
본 발명의 실시예들은 일반적으로 도펀트들을 함유하는 기판을 처리하는 방법을 제공하고, 상기 방법은 하나 이상의 챔버들에 기판을 배치하는 단계; 하나 이상의 챔버들에 도펀트 제거 혼합물을 제공하는 단계; 기판에 도펀트 제거 혼합물을 가함으로써 챔버들 중 적어도 하나에서 하나 이상의 휘발성 화합물들을 생성하는 단계; 및 하나 이상의 챔버들로부터 하나 이상의 휘발성 화합물들을 제거하는 단계를 포함한다.
다른 실시예들은 하나 이상의 챔버들에서 도핑된 기판을 어닐링하는 방법을 제공하고, 상기 방법은 챔버들 중 적어도 하나에 하나 이상의 도펀트 제거 혼합물들을 제공하는 단계; 도펀트 제거 혼합물의 하나 이상의 플라스마들을 형성하는 단계; 하나 이상의 플라즈마들에 도핑된 기판을 노출시키는 단계; 기판에 하나 이상의 플라즈마들을 가함으로써 하나 이상의 챔버들에서 하나 이상의 화합물들을 생성하는 단계; 및 하나 이상의 챔버들로부터 하나 이상의 휘발성 화합물들을 제거하는 단계를 포함한다.
추가적인 실시예들은 하나 이상의 프로세스 챔버들에서 실리콘-함유 기판을 처리하기 위한 프로세스를 제공하고, 상기 프로세스는 기판 표면의 적어도 일부 상에 도펀트들의 층을 증착하는 단계; 도펀트 층의 적어도 일부 위에 캡핑층을 형성하는 단계; 기판 내로 도펀트들을 확산시키고 도펀트들을 활성화하기 위해 기판을 열처리하는 단계; 프로세스 챔버들 중 적어도 하나에 반응성 에칭제를 제공하는 단계; 도펀트 층으로부터 캡핑층을 제거하는 단계; 도펀트들과 에칭제를 반응시킴으로써 하나 이상의 휘발성 화합물들을 형성하는 단계; 및 하나 이상의 프로세스 챔버들로부터 하나 이상의 휘발성 화합물들을 제거하는 단계를 포함한다.
다른 실시예들은 기판 표면 영역으로부터 고-농도 도펀트들을 제거하는 방법을 제공하고, 상기 방법은 반응성 가스 혼합물에 기판 영역을 노출시키는 단계, 기판 영역 내에서 또는 기판 영역상에서 도펀트들과 가스 혼합물을 반응시킴으로써 하나 이상의 휘발성 화합물들을 형성하는 단계, 및 기판으로부터 하나 이상의 휘발성 화합물들을 제거하는 단계를 포함한다.
상기 내용이 본 발명의 특징들을 기술한 방식이 상세하게 이해될 수 있도록, 위에서 간단히 요약된 본 발명에 대한 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있고, 이러한 실시예들 중 몇몇은 첨부된 도면들에서 도시된다. 그러나 본 발명은 다른 동일하게 효과적인 실시예들을 허용할 수 있기 때문에 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 예시하는 것이고 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점이 주목되어야 한다.
도 1a는 본 발명의 일 실시예에 따른 장치의 개략적인 단면 다이어그램이다.
도 1b는 도 1a에 대한 플라즈마 소스에 대한 투시도이다.
도 2a는 본 발명의 일 실시예에 따른 프로세스 흐름 다이어그램이다.
도 2b 내지 도 2i는 도 2a의 프로세스 흐름 다이어그램에 의해 수정된 기판에 대한 하나의 시퀀스를 도시한다.
도 3a는 본 발명의 또 다른 실시예에 따른 프로세스 흐름 다이어그램이다.
도 3b 내지 도 3g는 도 3a의 프로세스 흐름 다이어그램에 의해 수정된 기판에 대한 하나의 시퀀스를 도시한다.
도 4a는 본 발명의 또 다른 실시예에 따른 프로세스 흐름 다이어그램이다.
도 4b 내지 도 4h는 도 4a의 프로세스 흐름 다이어그램에 의해 수정된 기판에 대한 하나의 시퀀스를 도시한다.
도 5a는 본 발명의 또 다른 실시예에 따른 프로세스 흐름 다이어그램이다.
도 5b 내지 도 5h는 도 5a의 프로세스 흐름 다이어그램에 의해 수정된 기판에 대한 하나의 시퀀스를 도시한다.
본 발명의 실시예들은 일반적으로 도핑된 기판 표면 근처의 영역들로부터 도펀트들을 제거하기 위한 방법들 및 장치를 제공한다. 하나 이상의 챔버들에서, 도펀트들로부터 휘발성 화합물들을 형성하는 물질들에 기판을 노출시킴으로써 도펀트들은 손쉽게 제거될 수 있음이 발견되었다. 휘발성 화합물은 그 후 하나 이상의 프로세스 챔버들로부터 제거될 수 있다. 도펀트 스트리핑(stripping)은, 도펀트들의 증착 또는 주입, 또는 어닐링 이후 임의의 시점에서 플라즈마를 이용하여 또는 플라즈마 없이 수행될 수 있다. 우선 캡핑층을 에칭하고, 그 후 수소(H2), 질소(N2), 산소(O2), 암모니아(NH3), 3불화 질소(NF3), 4불화 실리콘(SiF4), 습한 공기, 또는 이들의 조합물들과 같은, 선택적으로 용량성 또는 유도성 커플링에 의해 생성된 원격의 또는 인 시튜 플라즈마를 포함하는, 도펀트 제거 혼합물과 잔존하는 도펀트들을 반응시킴으로써, "드라이브-인" 주입 프로세스 동안 기판 표면상에 증착된 도펀트들이 프로세스 챔버로부터 제거되는 실시예들이 기술된다.
수소화비소(AsH3), 수소화인(PH3), 보란들(BxH3x), 및 보라진(B3H6N3)과 같은 휘발성 화합물들이 형성되고 프로세스 챔버로부터 제거된다. 열처리 이전, 열처리 동안, 그리고 열처리 이후에 도펀트 제거가 성취되는 다른 실시예들이 기술될 것이다. 플라즈마 이온 주입에 뒤따르는 도펀트 제거에 특징이 있는 또 다른 실시예들이 기술될 것이다. 마지막으로, 다수의 챔버들에서 도펀트 제거가 성취되는 다른 실시예들이 기술될 것이다.
도 1a는 본 발명의 일 실시예에 따른 장치의 개략적 단면 다이어그램이다. 도시된 장치는 기판에서 도펀트들의 플라즈마 주입과 같은 플라즈마-보조 프로세스들을 수행하도록 구성된다. 플라즈마 반응기(100)는 프로세스 영역(104)을 둘러싸는 하부(124), 상부(126), 및 측벽들(122)을 갖는 챔버 바디(102)를 포함한다. 기판 지지 어셈블리(128)는 챔버 바디(102)의 하부(124)로부터 지지되고 처리를 위해 기판(106)을 수용하도록 적응된다. 가스 분배 플레이트(130)는 기판 지지 어셈블리(128)와 마주하며 챔버 바디(102)의 상부(126)에 연결된다. 펌핑 포트(132)는 챔버 바디(102)에서 한정되고 진공 펌프(134)에 연결된다. 진공 펌프(134)는 펌핑 포트(132)에 스로틀 밸브(136)를 통해 연결된다. 가스 소스(152)는 가스 분배 플레이트(130)에 연결되어 기판(106)상에서 수행되는 프로세스들을 위해 가스 전구체(precursor) 화합물들을 공급한다.
도 1a에 도시된 반응기(100)는 도 1b의 투시도에 가장 잘 도시된 플라즈마 소스(190)를 더 포함한다. 플라즈마 소스(190)는, 서로 가로질러 배치된(또는 도 1b에 묘사된 예시적인 실시예에서 도시된 것처럼, 서로 직교하는), 챔버 바디(102)의 상부(126)의 외부상에 설치된 한 쌍의 분리된 외부 재진입(reentrant) 도관들(140 및 140')을 포함한다. 제 1 외부 도관(140)은 챔버 바디(102)에서 프로세스 영역(104)의 제 1 측면으로 상부(126)에서 형성된 개구(198)를 통해 연결된 제 1 단부(140a)를 포함한다. 제 2 단부(140b)는 프로세스 영역(104)의 제 2 측면으로 연결된 개구(196)를 포함한다. 제 2 외부 재진입 도관(140b)은 프로세스 영역(104)의 제 3 측면으로 연결된 개구(194)를 갖는 제 1 단부(140a') 및 프로세스 영역(104)의 제 4 측면으로의 개구(192)를 갖는 제 2 단부(140b')를 가진다. 일 실시예에서, 제 1 및 제 2 외부 재진입 도관들(140, 140')은 서로 직교하도록 구성되고, 이에 의해 챔버 바디(102)의 상부(126)의 주변부 주위에서 약 90 도 간격으로 배치된 각각의 외부 재진입 도관들(140, 140')의 2개의 단부들(140a, 140a', 140b, 140b')을 제공한다. 외부 재진입 도관들(140, 140')의 직교 구성은 프로세스 영역(104)을 통해 균일하게 분포된 플라즈마 소스를 허용한다. 제 1 및 제 2 외부 재진입 도관들(140, 140')이, 프로세스 영역(104) 내로 균일한 플라즈마 분포를 제공하기 위해 이용되는 다른 분포들로 구성될 수 있음이 예상된다.
자기적으로 침투가능한 토로이드 코어들(142, 142')이 외부 재진입 도관들(140, 140')중 대응하는 하나의 도관의 일부를 둘러싼다. 전도성 코일들(144, 144')은 각각의 임피던스 정합 회로들 또는 엘리먼트들(148, 148')을 통해 각각의 RF 플라즈마 소스 전력 발생기(power generator)들(146, 146')에 연결된다. 각각의 외부 재진입 도관(140, 140')은, 각각의 외부 재진입 도관들(140, 140')의 2개의 단부들(140a, 140b)(및 140a', 140b') 간에 만약 그렇지 않으면 연속적인 전기적 경로를 인터럽트(interrupt)하는 절연 환상(annular) 고리(150, 150') 각각에 의해 인터럽트되는 속이 빈 전도성 튜브이다. 기판 표면에서의 이온 에너지는 임피던스 정합 회로 또는 엘리먼트(156)를 통해 기판 지지 어셈블리(128)에 연결된 RF 플라즈마 바이어스 전력 발생기(154)에 의해 제어된다.
다시 도 1a를 참조하면, 프로세스 가스 소스(152)로부터 공급되는 가스 화합물들을 포함하는 프로세스 가스들은, 프로세스 영역(104) 내로, 위에 있는(overhead) 가스 분배 플레이트(130)를 통해 도입된다. RF 소스 플라즈마 전력(146)은 전도성 코일(144) 및 토로이드 코어(142)에 의해 도관(140)에서 공급되는 가스들과 결합되고, 외부 재진입 도관(140) 및 프로세스 영역(104)을 포함하는 제 1 폐쇄형 토로이드 경로에 순환하는 플라즈마 전류를 생성한다. 또한 RF 소스 전력(146')은 전도성 코일(144') 및 토로이드 코어(142')에 의해 제 2 도관(140')에서 가스들로 연결될 수 있고, 제 1 토로이드 경로를 가로지르는(가령, 직교하는) 제 2 폐쇄형 토로이드 경로에 순환하는 플라즈마 전류를 생성한다. 제 2 토로이드 경로는 제 2 외부 재진입 도관(140') 및 프로세스 영역(104)을 포함한다. 각각의 경로들에서의 플라즈마 전류들은 각각의 RF 소스 전력 발생기들(146, 146')의 주파수들에서 진동하고(가령 역 방향), 이것들은 동일하거나 서로 약간 상쇄(offset)될 수 있다.
일 실시예에서, 프로세스 가스 소스(152)는 기판(106)으로 주입된 이온들을 제공하기 위해 이용될 수 있는 상이한 프로세스 가스들을 제공한다. 프로세스 가스들의 적합한 예들은 특히 B2H6, BF3, SiH4, SiF4, PH3, P2H5, PO3, PF3, PF5 및 CF4를 포함한다. 각각의 플라즈마 소스 전력 발생기들(146, 146')의 전력은, 그것들의 결합된 효과가 프로세스 가스 소스(152)로부터 공급되는 프로세스 가스들을 효율적으로 해리(dissociate)시키고 기판(106)의 표면에서 원하는 이온 플럭스(flux)를 생성하도록, 작동된다. RF 플라즈마 바이어스 전력 발생기(154)의 전력은, 프로세스 가스들로부터 해리된 이온 에너지가 기판 표면을 향해 가속될 수 있고 원하는 이온 농도로 기판(106)의 상부 표면 아래에 원하는 깊이로 주입될 수 있거나, 또는 기판(106)의 표면상에 증착될 수 있는 선택된 레벨로, 제어된다. 예를 들어, 약 50 eV 미만과 같이, 비교적 낮은 RF 전원이 바이어스 전력 발생기(154)에 인가되면서, 비교적 낮은 플라즈마 이온 에너지가 획득될 수 있다. 낮은 이온 에너지를 갖는 해리된 이온들은 기판 표면으로부터 약 0Å 내지 약 100Å 사이의 얕은 깊이로 주입될 수 있거나, 단지 기판(106)의 표면상에 증착될 수 있다. 대안적으로, 약 50 eV 초과와 같이, 높은 RF 전력으로부터 제공 및 생성된 높은 이온 에너지를 갖는 해리된 이온들은 기판 표면으로부터 실질적으로 100Å을 넘는 깊이를 가지고 기판 내로 주입될 수 있다.
바이어스 전력 발생기(154)는, 샤워헤드(showerhead)(130)가 접지되는 채로, 정합 망(matching network)(156)을 통해 기판 지지부(128)에 연결되는 것으로 도시된다. 바이어스 전력 발생기(154)는 튜브들(140 및 140')에 의해 생성된 플라즈마에 단극(monopolar) RF-구동 전기적 바이어스를 인가한다. 대안적인 실시예들에서, 바이어스 전력 발생기(154)는 샤워헤드(130)에 연결될 수 있거나, 분리된 바이어스 회로들이 샤워헤드(130) 및 기판 지지부(128) 양자 모두에 독립적으로 연결될 수 있다.
제어된 RF 플라즈마 소스 전력 및 RF 플라즈마 바이어스 전력의 조합은 플라즈마 반응기(100)에서 충분한 운동량 및 원하는 이온 분포를 갖는 가스 혼합물 내의 이온들을 해리시킨다. 이러한 이온들은 바이어스되고 기판 표면을 향해 드라이빙되어(drive), 충분한 에너지를 갖는 경우, 원하는 이온 농도, 분포 및 기판 표면으로부터의 깊이로 기판 내로 이온들을 주입하게 된다. 보다 낮은 플라즈마 바이어스 전력은 거의 침투됨이 없이 기판 표면상에 증착되는 결과를 낳을 수 있다. 또한, 공급된 프로세스 가스들로부터의 상이한 유형의 이온 종류들 및 제어된 이온 에너지는 이온들이 기판(106)에 주입되는 것을 용이하게 하고, 원하는 소자 구조, 예를 들어 기판(106)상에 게이트 구조 및 소스 드레인 영역을 형성한다.
플라즈마 반응기(100)는 챔버 라이너(도시되지 않음)를 더 포함할 수 있다. 챔버 라이너들은 처리 동안 반응성 컴포넌트들로부터 챔버 벽들을 보호하기 위해 일반적으로 제공된다. 이러한 라이너들은 세라믹, 실리콘, 또는 다른 보호 물질들로 만들어질 수 있고, 주기적으로 교체되도록 설계될 수 있다. 대안적인 실시예들에서, 처리 이전에 챔버의 안쪽 표면상에 실리콘 또는 산화층을 증착함으로써 챔버는 화학적으로 라이닝될 수 있다. 이러한 종류의 인-시튜 챔버 라이너는 동일한 기능을 하고, 에칭 또는 세정 프로세스들에 의해 제거 및 교체될 수 있다.
도 2a는 본 발명의 일 실시예에 따른 프로세스를 도시한다. 도 2b 내지 도 2i는 도 2a의 프로세스에 의해 수정된 기판을 도시한다. 하나의 실시예는, 단계(250)에서 처럼, 실리콘-함유 기판의 표면상에 도펀트 원자들의 층을 증착하는 것으로 시작된다. 트랜지스터들의 소스 드레인 영역들을 형성하는데 일반적으로 이용되는 도펀트 원자들은 붕소(B), 인(P), 비소(As), 게르마늄(Ge), 및 실리콘(Si)을 포함하지만 이들에 제한될 필요는 없다. 질소(N), 탄소(C), 산소(O), 헬륨(He), 제논(Xe), 아르곤(Ar), 불소(Fl), 및 염소(Cl) 또한 다른 필요들 때문에 기판들에 주입된다. 본 발명의 실시예들로서 이곳에서 기술되는 프로세스들은 최소 수정으로 임의의 적합한 도펀트를 제거하기 위해 이용될 수 있다. 증착-우선 프로세스에서, 도펀트 층(202)은 깊이에 있어서 약 100 옹스트롬 까지, 바람직하게 약 50 옹스트롬 까지일 수 있다. 도 2b는 도펀트 층(202)이 그 위에 증착된 기판(200)을 도시한다.
증착-우선 프로세스에서, 단계(254)에서 처럼, 기판(200) 내로 확산을 촉진하기 위해 도펀트 층은 열처리된다. 열처리 동안 도펀트 층(202)의 승화를 막기 위해서, 단계(252) 및 도 2c에 도시된 것처럼, 캡핑층(204)이 도펀트 층(202) 위에 형성될 수 있다. 캡핑층(204)은 모든 실시예들에 대해 요구되는 것은 아니지만, 이용된다면 캡핑층(204)은 실리콘, 산소, 탄소, 질소, 수소, 금속, 또는 이들의 임의의 적합한 조합물로 형성될 수 있다. 캡핑층(204)은 실리콘의 녹는점(약 1410℃)에 근접하는 어닐링 온도들까지 단지 안정적이고 열적으로 전도성이 있을 필요가 있다. 일반적으로 이용되는 캡핑층들의 예들은 질화실리콘(SiaNb), 탄화실리콘(SiaCc), 질산화실리콘(SiaOdNb), 산화실리콘(SiaOd), 및 금속 질화물들(MeNf)이고, 여기서 상기 금속은 임의의 일반적으로 이용되는 배리어 또는 캡핑 금속, 예를 들면 티타늄(Ti), 탄탈(Ta), 또는 텅스텐(W)일 수 있다. 이러한 층들은 플라즈마를 이용하여 또는 플라즈마 없이 물리 또는 화학 기상 증착에 의해 증착될 수 있고 일반적으로 엘리먼트들의 화학양론적 조합물들이 아니다. 예를 들어, 상기 화합물들에서, a=1인 경우, b는 약 0.3 내지 약 1.5의 범위이고, c는 약 0.3 내지 약 1.2의 범위이며, d는 약 0.5 내지 약 2.5의 범위일 수 있다. 또한 e=1인 경우, f는 약 0.8 내지 약 1.2의 범위일 수 있다.
단계(254)에서 열처리는 도펀트 원자들을 층(202)으로부터 기판(200)으로 드라이빙한다. 도 2d는 표면 도펀트 층(202)이 사라지면서, 도펀트 원자들이 기판(200)의 도처에 분산되어, 전체적으로 도핑된 층(206), 캡핑층(204)을 형성하는 것을 도시한다. 도핑된 층(206) 내의 도펀트들은 기판(200)의 표면 근처에서 농도가 보다 높을 것이다. 많은 경우들에서 도펀트 층(202)은 드라이브-인 프로세스 동안 소모되지 않고, 기판(200)의 도핑된 층(206) 및 캡핑층(204) 사이에 남아있는 도펀트 원자들의 10 내지 100 옹스트롬 층으로 잔존할 수 있음을 주목해야 한다. 단계(254)에서, 열처리는 기판에 증착된 도펀트들을 확산 및 활성화시키기에 적합한 임의의 온도 히스토리를 포함할 수 있다. 가열, 냉각, 스파이크(spike), 임펄스(impulse), 레이저, 또는 플래시(flash) 어닐링의 임의의 조합은 원하는 확산을 유발하기에 충분할 수 있다. 레이저 어닐링의 경우, 캡핑층은 어닐링 프로세스에 도움이 되기 위한 반사-방지(anti-reflective) 층 또는 흡착층일 수 있다.
단계(256)에서, 반응성 혼합물이 프로세스 챔버에 공급될 수 있다. 반응성 혼합물은 수소(H2), 산소(O2), 질소(N2), 암모니아(NH3), 3불화 질소(NF3), 4불화 실리콘(SiF4), 또는 황화이수소(H2S)와 같은 물질들을 포함할 수 있다. 도 2e는 반응성 혼합물(210)이 캡핑층(204)상에 먼저 침범(impinge)하는 실시예를 도시한다. 이 실시예에서, 반응성 혼합물(210)은 캡핑층(204)과 먼저 반응하여 그것을 제거하도록, 그리고 그 후 기판(200)의 도핑된 층(206) 내의 도펀트들과 반응하도록 선택된다. 이로서, 반응성 혼합물(210)은 위에서 열거된 물질들에 반드시 제한되는 것은 아니다.
선택적인 단계(258)에 도시된 것처럼, 반응성 혼합물(210)의 플라즈마를 형성하는 것이 유리할 수 있다. 도 2f는 반응성 혼합물(210)이 플라즈마(212)로 이온화되었음을 도시한다. 몇몇 실시예들에서, 도핑된 층(206)의 표면 근처의 도펀트들 및 캡핑층(204) 양자 모두를 제거하기 위해 플라즈마를 이용하는 것이 도움이 될 수 있다. 플라즈마는 용량성 또는 유도성 커플링에 의해 원격으로 또는 인 시튜로 생성될 수 있다. 불화수소(HF)와 같은 에칭제가 이러한 목적을 위해 이용될 수 있다. 단계(260)에서, 캡핑층(204)은 플라즈마(212)에 의해 기판(200)으로부터 에칭된다.
단계(262)에서, 캡핑층을 에칭하기 위해 이용되는 에칭제는 기판(200)의 도핑된 층(206)의 표면에서 또는 바로 그 아래에서 도펀트 원자들과 반응하여 휘발성 화합물들을 형성한다. 이러한 화합물들은 수소화비소(AsH3), 수소화인(PH3), 보란(BH3), 디보란(B2H6), 보라진(B3N3H6), 및 할로겐화 붕소(BX3 또는 B2X4)를 포함할 수 있다. 도펀트의 유형에 따라, 에칭제는 도펀트들과 반응하여 하나 이상의 휘발성 화합물들을 형성하도록 선택되어야 한다. 휘발성 화합물들(214)은 도 2h에서 기판(200)으로부터 전개(evolve)되고 도 2i에서 진공에 의해 제거된다. 도펀트는 바람직하게는 기판 표면 아래 약 10 옹스트롬까지 제거되지만, 반응성 혼합물에 더 오랫동안 노출되면 기판 내에서 더 깊이, 예를 들어 기판 표면 아래 약 30 옹스트롬까지 도펀트를 제거할 수 있다. 이러한 실시예에서는, 하나의 반응성 혼합물이 예시된다. 그러나, 캡핑층을 제거하는데 이용되는 혼합물은 도펀트들을 제거하는데 이용되는 것과는 상이할 수 있음을 주목해야 한다.
도펀트 제거를 거치는 기판에 열적 제어를 가하는 것이 일반적으로 유리하다. 다소 상승된 온도는 휘발성 화합물들이 기판을 떠나도록 촉진하는데 도움이 될 수 있다. 약 50℃를 초과하는 제어된 기판 온도가 몇몇 실시예들에서 이러한 목적을 위해 요구될 것이다.
보호 산화층이 처리의 마지막에서 기판 위에 형성될 수 있다. 이러한 보호층은 층 아래 기판의 조성(composition)에 대한 임의의 추가적인 변화들을 최소화한다. 몇몇 실시예들에서, 산화층은 기판을 공기에 노출시킴으로써 생성되는 자연(native) 산화층일 수 있다. 다른 실시예들에서 산화제는, 플라즈마를 이용하여 또는 플라즈마 없이, 약 40 옹스트롬 두께까지 산화층을 생성하도록 프로세스 챔버에 제공될 수 있다. 산화층은 임의의 추가적인 도펀트들이 기판 표면으로 이동(migrate)하여 공기 또는 수분과 반응하는 것을 방지하고, 표면상에서 오염물들의 임의의 원치않는 흡착을 방지한다.
이러한 실시예에 따라, 약 200 밀리미터(mm) 내지 약 450 mm 사이, 예를 들어 300 mm 크기의 기판이 프로세스 챔버에 배치될 수 있다. 기판은 실리콘-함유 기판, 예를 들어 폴리실리콘 기판일 수 있다. 기판은 또한 비정질 실리콘 기판일 수 있다. 붕소 도펀트는, 플라즈마의 조력으로 또는 플라즈마의 조력 없이, 화학 또는 물리 기상 증착에 의해 기판 표면상에 증착될 수 있다. 예를 들어, 디보란 및 캐리어 가스를 포함하는 가스 혼합물이 증착 챔버에 제공될 수 있다. 가스 혼합물의 조성은 중량으로 약 5% 내지 약 10% 디보란일 수 있고 나머지는 수소 가스(H2), 헬륨(He), 또는 이들의 조합물일 수 있다. 가스 혼합물은 약 20 sccm(standard cubic centimeters per minute) 내지 약 300 sccm 사이의 유속으로 제공될 수 있다. 수소 가스(H2), 헬륨(He), 아르곤(Ar), 또는 이들의 조합물들을 포함하는 보충 희석 가스(supplemental diluent gas)는 또한 약 10 sccm 내지 약 200 sccm 사이의 유속으로 제공될 수 있다. 챔버 온도는 일반적으로 약 5℃ 내지 약 70℃ 사이에서 유지된다. 플라즈마는 상기 유도성 소스들(142 및 142')에 약 6 킬로와트(kW) 까지의 전력을 제공함으로써 생성될 수 있고, 상기 플라즈마는 상기 커플링 및 바이어스 전력 공급기(154)를 이용하여 기판 지지부(128)에 약 100 와트(W) 내지 약 5 kW 사이의 RF 전력을 인가함으로써 바이어스될 수 있다. 약 3 초(sec) 내지 약 200 초 동안의 처리는 일반적으로 기판 표면상에 약 300 옹스트롬 두께까지 붕소 도펀트 층을 생성한다.
약 10 초까지 동안 1300℃의 어닐링에 뒤이어, 암모니아(NH3)가 대략 3 초 내지 약 200 초 동안 약 10 내지 약 200 sccm의 유속으로 프로세스 챔버에 제공될 수 있다. 기판 온도는 일반적으로 약 100℃ 미만의 온도까지 어닐링에 뒤이어 감소된다. 플라즈마는 암모니아를 이온화하도록 전기장을 생성하기 위해 약 13.56 MHz의 주파수로 RF 전력을 가함으로써 생성될 수 있다. 활성화된 암모니아 이온들은 기판 표면상에서 그리고 기판 표면 바로 아래에서 붕소 도펀트들과 반응한다. 하나의 실시예에서, 기판 표면상에서 그리고 기판 표면의 약 10 옹스트롬 내에서 과다(excess) 붕소 도펀트는 휘발성 화합물인 보라진(B3H6N3)으로 변환된다. 마지막으로, 도펀트 제거 이후 기판 위 약 40 옹스트롬 두께까지 보호 산화층을 형성하기 위해 산소(O2)가 약 30 초 동안 가스 혼합물에 부가될 수 있다. 보호 산화층은 기판의 스트리핑된 표면으로부터 도펀트들의 추가적인 전개(evolution)를 방지할 수 있다.
대안적인 실시예에서, 도펀트들은 기판상에 증착되기 보다는, 고에너지로(energetically) 주입될 수 있다. 위에서 논의된 것처럼 이러한 주입은, 캘리포니아 산타클라라의 어플라이드 머티리얼스로부터 이용가능한 CENTURA™를 이용하여 구현되는 플라즈마 이온 침지(Immersion) 주입(P3I) 프로세스를 이용하여 실시되는 것처럼, 도펀트 원자들을 이온화하고 전자기장을 이용하여 기판을 향해 이러한 원자들을 가속시키는 것을 포함한다. 대안적인 실시예에서, 고에너지 주입은 캘리포니아 산타클라라의 어플라이드 머티리얼스로부터 이용가능한 QUANTUM X PLUS™를 이용하여 성취될 수 있다. 디바이스 기하구조가 더 작아짐에 따라, 주입 영역들은 더 얇아지고, 몇몇 현재 응용들에서는 100 옹스트롬에 접근한다. 주입 영역들이 더 얇아짐에 따라, 이온들이 결정 내로 파고들기 위해 필요한 에너지는 더 작아지고 과도-주입(over-implantation)을 막기 위해 제한되어야 한다. 이온화 장 및 가속 전자기장의 에너지 밀도에 있어서 공간적 변동들 때문에, 도펀트 이온들은 에너지의 분포를 가진다. 보다 높은 에너지 이온들은 깊게 주입되는 반면, 낮은 에너지 이온들은 단지 기판 표면상으로 흡착될 수 있다. 이온들(306)은 주입 단계(350)에서 기판(300)을 향해 가속된다. 몇몇은 깊게 주입되어 기판(300)에서 도핑된 층(302)을 형성하고, 몇몇은 기판 상으로 흡착된다(304).
주입에 뒤이어, 표면상으로 흡착된 과다 도펀트 및 표면 바로 밑의 고-농도 도펀트는 어닐링 이전에 제거될 수 있다. 도 3c에서 반응성 혼합물 또는 물질(308)은 단계(352)에서처럼 프로세스 챔버에 제공될 수 있다. 이러한 혼합물은 수소(H2), 산소(O2), 질소(N2), 암모니아(NH3), 3불화 질소(NF3), 4불화 실리콘(SiF4), 또는 황화이수소(H2S), 및 도펀트 원자들과 반응하여 휘발성 화합물들을 형성하도록 선택되는 다른 가스들을 포함할 수 있다. 반응성 혼합물은 선택적으로 플라즈마로 이온화될 수 있다(단계(354) 및 도 3d의 플라즈마(310)). 반응성 혼합물은 단계(356)에서 도펀트 원자들과 결합(bond)하여 하나 이상의 휘발성 화합물들(도 3e의 312)을 형성하고, 이러한 화합물들은 기판으로부터 전개된다. 기판(300)의 표면상으로 흡착된 도펀트 층은, 기판(300)의 표면 근처의 고-농도 도펀트처럼, 제거되고, 생성된 휘발성 화합물들(312)은 단계(358) 및 도 3f에서 진공(314)에 의해 프로세스 챔버로부터 제거된다. 그 후 기판(300)에 잔존하는 도펀트는 확산에 의해 분산되어야 하고 활성화되어야 하므로, 기판(300)은 이를 성취하기 위해 단계(360)에서 열처리된다.
이러한 실시예에 따라, 약 200 mm 내지 약 450 mm 사이, 예를 들어 약 300 mm 크기의 기판이 프로세스 챔버에 배치될 수 있다. 기판은 실리콘-함유 기판, 예를 들어 폴리실리콘 또는 비정질 실리콘 기판일 수 있다. 인 도펀트는 플라즈마 이온 침지 주입에 의해 기판 내로 주입될 수 있다. 예를 들어, 중량으로 약 5% 내지 약 10% 수소화인(PH3)을 포함하고 나머지는 H2, He, 또는 이들의 조합물을 포함하는 가스 혼합물이 약 3 sccm 내지 약 200 sccm의 유속으로 프로세스 챔버에 제공될 수 있다. 플라즈마는, 상기 유도성 커플링된 소스에 약 6 kW까지의 전력을 제공함으로써 생성될 수 있다. 플라즈마 조건들에 종속되는 인 이온들은, 약 100 W 내지 약 5 kW의 RF 전력을 이용하여 샤워헤드에 전기적 바이어스를 인가함으로써, 수소화인 가스로부터 해리되고 기판을 향해 지향될 수 있다. 약 3 초 내지 약 200 초 동안의 처리는 기판 표면 아래 약 300 옹스트롬까지 인 이온들을 주입할 것이다. 위에서 기술된 것처럼, 몇몇 실시예들에서 주입된 인 이온들의 농도는 기판 표면에서 또는 그 근처에서 최대가 될 것이다. 수소가 약 3 초 내지 약 200 초 동안 약 20 sccm 내지 약 300 sccm의 유속으로 플라즈마에 부가되고 이온화되어, 기판 표면상에서 그리고 표면 아래 약 20 옹스트롬까지 증착된 인과 반응할 수 있다. 반응은 수소화인 가스(PH3)를 재생성하고, 이것은 챔버로부터 제거된다. 처리 동안의 부가 수소는 기판 표면상에서 도펀트들의 증착을 최소화한다. 그 후 기판은 잔존 도펀트들을 활성화하기 위해 어닐링될 수 있고, 산소(O2) 또는 수증기(H2O)와 같은 산화 가스에 잠시 동안 노출되어 보호 산화층을 생성할 수 있다.
다른 실시예들에서, 과다 도펀트는 기판의 열처리와 동시에 제거될 수 있다. 도 4a는 본 발명의 일 실시예에 따른 프로세스 흐름 다이어그램이고, 이는 단계(452)에서의 열처리의 시작 및 단계(462)에서의 열처리의 끝 사이에서 프로세스 챔버에 반응성 물질(408)을 제공하는 것(단계 454)을 특징으로 한다. 제거될 도펀트들에 따라, 플라즈마(410)는 선택적으로 단계(456)에서 이용될 수 있다. 반응성 컴포넌트들은 단계(458)에서 도펀트들과 반응함으로써 휘발성 화합물들을 형성하고, 단계(460)에서 진공(414)에 의해 제거된다. 이러한 실시예는, 추가적인 단계들 및 추가적인 처리 시간이 요구되지 않도록, 확산 및 활성화가 과다 도펀트 제거와 동시에 진행되도록 한다.
이러한 실시예에 따라, 약 200 mm 내지 450 mm 사이, 예를 들어 약 300 mm 크기의 기판이 프로세스 챔버에 배치될 수 있다. 기판은 실리콘-함유 기판, 예를 들어 폴리실리콘 또는 비정질 실리콘 기판일 수 있다. 비소 도펀트는 플라즈마 이온 침지 주입에 의해 기판 내로 주입될 수 있다. 예를 들어, 중량으로 약 5% 내지 약 10% 수소화비소(ArH3)를 포함하고 나머지는 H2, He, 또는 이들의 조합물을 포함하는 가스 혼합물이 약 3 sccm 내지 약 200 sccm의 유속으로 프로세스 챔버에 제공될 수 있다. 플라즈마는, 상기 유도성 커플링된 소스에 약 6 kW까지의 전력을 제공함으로써 생성될 수 있다. 플라즈마 조건들에 종속되는 비소 이온들은, 약 100 W 내지 약 5 kW의 RF 전력을 이용하여 샤워헤드에 전기적 바이어스를 인가함으로써, 수소화비소 가스로부터 해리되고 기판을 향해 지향될 수 있다. 약 3 초 내지 약 200 초 동안의 처리는 기판 표면 아래 약 300 옹스트롬까지 비소 이온들을 주입할 것이다. 위에서 기술된 것처럼, 몇몇 실시예들에서 주입된 이온들의 농도는 기판 표면에서 또는 그 근처에서 최대가 될 것이다.
기판은 그 후 약 10 초까지 동안 약 1300℃에서 어닐링될 수 있다. 어닐링 프로세스 동안, 수소가 약 3 초 내지 약 200 초 동안 약 20 sccm 내지 약 300 sccm의 유속으로 챔버에 부가되어 기판 표면상에서 그리고 표면 아래 약 20 옹스트롬까지 증착된 비소와 반응할 수 있다. 반응은 수소화비소(AsH3)를 재생성하고, 이것은 어닐링이 진행되는 동안 챔버로부터 제거된다. 그 후 기판은 산소(O2) 또는 수증기(H2O)와 같은 산화 가스에 잠시 동안 노출되어 보호 산화층을 생성할 수 있다.
다른 실시예들에서, 과다 도펀트는 기판의 열처리 후에 제거될 수 있다. 도 5a는 이러한 실시예를 도시하는 프로세스 흐름 다이어그램이다. 이러한 실시예에서, 기판의 열처리는 단계(552)에서 수행된다. 도 5d는 흡착된 도펀트 층(504)에 대한 열처리(552)의 압축(compressive) 효과를 도시한다. 열처리가 진행됨에 따라, 원래 기판(500)의 표면상으로 흡착된 도펀트 층(504)은 기판(500) 내로 부분적 확산 및 기체로의 승화에 의해 압축되어 훨씬 얇은 과다 층을 남겨놓는다. 과다 도펀트 제거는 단계(554)에 의해 도시된 것처럼 열처리와는 상이한 온도에서 수행될 수 있다. 예를 들어, 200℃ 미만의 온도와 같이, 열처리 온도보다 낮은 온도에서 도펀트 제거를 수행하는 것이 유리할 수 있다. 보다 낮은 온도는 기판에서 확산 프로세스들을 중단시키고, 따라서 실질적으로 무-도펀트(dopant-free) 실리콘의 매우 얇은 표면 층을 제공한다.
이상의 프로세스들은 다른 방법들로 수행될 수 있다. 예를 들어, 몇몇 실시예들에서 상기 처리들은 다수의 챔버들에서 수행될 수 있다. 도펀트 제거가 도펀트 증착 또는 주입 이후에 수행되는 실시예들에서, 도펀트 제거 프로세스는 주입 또는 증착 프로세스와는 별개인 프로세스 챔버에서 수행될 수 있다. 기판은 이송 챔버에서 하우징된 이송 소자에 의해 주입 또는 증착 챔버로부터 제거될 수 있고, 도펀트 제거를 위해 열처리 챔버 또는 다른 적합한 장치와 같은 상이한 챔버로 운송(transport)될 수 있다. 유사하게, 도펀트 제거 프로세스들은 다수의 챔버들을 이용하여 다수의 단계들에서 수행될 수 있다. 제 1 프로세스 챔버가 도펀트의 일부를 제거할 수 있고 이후의 챔버들이 도펀트의 추가적인 증분들을 제거할 수 있다. 플라즈마 및 열이 하나의, 많은, 또는 모든 이러한 챔버들에서 이용될 수 있다. 또한, 도펀트 제거가 성취되는 하나 이상의 챔버들은 환기된 박스를 포함할 수 있다. 도펀트들을 가진 기판들은, 휘발성 가스들을 생성하기 위해, 환기된 박스로 운송되고 습한 공기와 같은 도펀트 제거 혼합물에 노출될 수 있다.
상기 내용이 본 발명의 실시예들로 지향되는 동안, 본 발명의 다른 실시예들 및 추가적인 실시예들이 본원의 기본적 범위를 벗어나지 않고 고안될 수 있으며, 본원의 범위는 뒤따르는 청구항들에 의해 결정된다.

Claims (15)

  1. 도펀트들을 함유하는 기판을 처리하는 방법으로서,
    상기 기판을 하나 이상의 챔버들에 배치하는 단계;
    상기 하나 이상의 챔버들에 도펀트 제거 혼합물을 제공하는 단계;
    상기 기판에 상기 도펀트 제거 혼합물을 가함으로써 상기 챔버들 중 적어도 하나에서 하나 이상의 휘발성 화합물들을 생성하는 단계; 및
    상기 하나 이상의 챔버들로부터 상기 하나 이상의 휘발성 화합물들을 제거하는 단계
    를 포함하는, 도펀트들을 함유하는 기판을 처리하는 방법.
  2. 제 1 항에 있어서,
    상기 도펀트들은 붕소(B), 비소(As), 인(P), 또는 이들의 조합물들을 포함하는,
    도펀트들을 함유하는 기판을 처리하는 방법.
  3. 제 1 항에 있어서,
    상기 도펀트 제거 혼합물은 수소(H2), 질소(N2), 산소(O2), 암모니아(NH3), 3불화 질소(NF3), 4불화 실리콘(SiF4), 공기, 또는 이들의 조합물들을 포함하는,
    도펀트들을 함유하는 기판을 처리하는 방법.
  4. 제 1 항에 있어서,
    상기 하나 이상의 휘발성 화합물들은 수소화비소(AsH3), 수소화인(PH3), 보란(BH3), 디보란(B2H6), 보라진(B3H6N3), 또는 이들의 조합물 및 유도체들을 포함하는,
    도펀트들을 함유하는 기판을 처리하는 방법.
  5. 제 1 항에 있어서,
    상기 기판은 적어도 50℃의 온도에서 유지되는,
    도펀트들을 함유하는 기판을 처리하는 방법.
  6. 하나 이상의 챔버들에서 도핑된 기판을 어닐링하는 방법으로서,
    상기 챔버들 중 적어도 하나에 하나 이상의 도펀트 제거 혼합물들을 제공하는 단계;
    상기 도펀트 제거 혼합물의 하나 이상의 플라즈마들을 형성하는 단계;
    상기 하나 이상의 플라즈마들에 상기 도핑된 기판을 노출시키는 단계;
    상기 기판에 상기 하나 이상의 플라즈마들을 가함으로써 상기 하나 이상의 챔버들에서 하나 이상의 휘발성 화합물들을 생성하는 단계; 및
    상기 하나 이상의 챔버들로부터 상기 하나 이상의 휘발성 화합물들을 제거하는 단계
    를 포함하는, 하나 이상의 챔버들에서 도핑된 기판을 어닐링하는 방법.
  7. 제 6 항에 있어서,
    상기 도핑된 기판에 주입되는 도펀트들은 붕소(B), 비소(As), 인(P), 또는 이들의 조합물들을 포함하는,
    하나 이상의 챔버들에서 도핑된 기판을 어닐링하는 방법.
  8. 제 6 항에 있어서,
    상기 기판에서 도펀트들의 확산 및 활성화를 유발하도록 선택되는 하나 이상의 온도들에서 상기 기판을 열 처리하는 단계를 더 포함하는,
    하나 이상의 챔버들에서 도핑된 기판을 어닐링하는 방법.
  9. 제 8 항에 있어서,
    상기 하나 이상의 온도들은 상기 하나 이상의 휘발성 화합물들을 생성하기 위한 제 1 온도 및 상기 기판을 열처리하기 위한 제 2 온도를 포함하고, 상기 제 1 온도는 적어도 50℃인,
    하나 이상의 챔버들에서 도핑된 기판을 어닐링하는 방법.
  10. 프로세스 챔버에서 실리콘-함유 기판을 처리하기 위한 방법으로서,
    상기 기판의 표면의 적어도 일부상에 도펀트들의 층을 증착하는 단계;
    상기 도펀트 층의 적어도 일부 위에 캡핑층(capping layer)을 형성하는 단계;
    상기 도펀트들을 상기 기판 내로 확산시키고 상기 도펀트들을 활성화시키기 위해 상기 기판을 열처리하는 단계;
    상기 프로세스 챔버에 반응성 에칭제(etchant)를 제공하는 단계;
    상기 도펀트 층으로부터 상기 캡핑층을 제거하는 단계;
    도펀트들과 상기 에칭제를 반응시킴으로써 하나 이상의 휘발성 화합물들을 형성하는 단계; 및
    상기 프로세스 챔버로부터 상기 하나 이상의 휘발성 화합물들을 제거하는 단계
    를 포함하는, 프로세스 챔버에서 실리콘-함유 기판을 처리하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 도펀트들은 붕소(B), 비소(As), 인(P), 또는 이들의 조합물들을 포함하는,
    프로세스 챔버에서 실리콘-함유 기판을 처리하기 위한 방법.
  12. 제 10 항에 있어서,
    상기 캡핑층은 실리콘, 산소, 탄소, 질소, 금속, 또는 이들의 조합물들을 포함하는,
    프로세스 챔버에서 실리콘-함유 기판을 처리하기 위한 방법.
  13. 제 10 항에 있어서,
    상기 에칭제는 3불화 질소(NF3), 4불화 실리콘(SiF4), 또는 이들의 조합물들을 포함하는 플라즈마인,
    프로세스 챔버에서 실리콘-함유 기판을 처리하기 위한 방법.
  14. 기판의 표면 영역으로부터 고-농도 도펀트들을 제거하는 방법으로서,
    상기 표면 영역을 가스 혼합물에 노출시키는 단계;
    상기 기판을 적어도 50℃의 온도에서 유지하는 단계;
    상기 표면 영역에서 도펀트들과 상기 가스 혼합물을 반응시킴으로써 하나 이상의 휘발성 화합물들을 형성하는 단계; 및
    상기 기판으로부터 상기 하나 이상의 휘발성 화합물들을 제거하는 단계
    를 포함하는, 기판의 표면 영역으로부터 고-농도 도펀트들을 제거하는 방법.
  15. 제 14 항에 있어서,
    상기 도펀트들은 붕소(B), 비소(As), 인(P), 또는 이들의 조합물들을 포함하는,
    기판의 표면 영역으로부터 고-농도 도펀트들을 제거하는 방법.
KR1020107015029A 2007-12-21 2008-12-18 기판으로부터 표면 도펀트들의 제거 KR101117438B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/963,034 2007-12-21
US11/963,034 US7989329B2 (en) 2007-12-21 2007-12-21 Removal of surface dopants from a substrate
PCT/US2008/087446 WO2009085965A1 (en) 2007-12-21 2008-12-18 Removal of surface dopants from a substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020117021517A Division KR20110119798A (ko) 2007-12-21 2008-12-18 기판으로부터 표면 도펀트들의 제거

Publications (2)

Publication Number Publication Date
KR20100109921A true KR20100109921A (ko) 2010-10-11
KR101117438B1 KR101117438B1 (ko) 2012-03-13

Family

ID=40789145

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020117021517A KR20110119798A (ko) 2007-12-21 2008-12-18 기판으로부터 표면 도펀트들의 제거
KR1020107015029A KR101117438B1 (ko) 2007-12-21 2008-12-18 기판으로부터 표면 도펀트들의 제거

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020117021517A KR20110119798A (ko) 2007-12-21 2008-12-18 기판으로부터 표면 도펀트들의 제거

Country Status (6)

Country Link
US (2) US7989329B2 (ko)
JP (2) JP5064572B2 (ko)
KR (2) KR20110119798A (ko)
CN (1) CN101903981A (ko)
TW (2) TW201207919A (ko)
WO (1) WO2009085965A1 (ko)

Families Citing this family (401)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
US7968441B2 (en) * 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8389317B2 (en) * 2009-05-28 2013-03-05 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. MEMS device and method of fabricating the same
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8691675B2 (en) * 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
KR101129028B1 (ko) 2010-03-24 2012-03-23 주식회사 하이닉스반도체 반도체 소자의 패시베이션 어닐 공정 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8501605B2 (en) * 2011-03-14 2013-08-06 Applied Materials, Inc. Methods and apparatus for conformal doping
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI492298B (zh) 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013090574A1 (en) * 2011-12-16 2013-06-20 Applied Materials, Inc. Demagnetization of magnetic media by c doping for hdd patterned media application
EP2803078A1 (en) 2012-01-12 2014-11-19 First Solar, Inc Method and system of providing dopant concentration control in different layers of a semiconductor device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
DE102012018746A1 (de) * 2012-09-21 2014-03-27 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Dotierung von Halbleitersubstraten
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2014236093A (ja) * 2013-05-31 2014-12-15 サンケン電気株式会社 シリコン系基板、半導体装置、及び、半導体装置の製造方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
SG11201607719TA (en) * 2014-04-01 2016-11-29 Ev Group E Thallner Gmbh Method and device for the surface treatment of substrates
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
DE102015102055A1 (de) * 2015-01-16 2016-07-21 Infineon Technologies Ag Verfahren zum Bearbeiten einer Halbleiteroberfläche
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
FR3033079B1 (fr) * 2015-02-19 2018-04-27 Ion Beam Services Procede de passivation d'un substrat et machine pour la mise en oeuvre de ce procede
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) * 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10651003B2 (en) * 2016-11-28 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Ion implanting method
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11127601B2 (en) * 2019-05-21 2021-09-21 Applied Materials, Inc. Phosphorus fugitive emission control
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4668304A (en) 1985-04-10 1987-05-26 Eaton Corporation Dopant gettering semiconductor processing by excimer laser
JPH01112732A (ja) 1987-10-27 1989-05-01 Fujitsu Ltd レジストのアッシング方法
JP3464247B2 (ja) * 1993-08-24 2003-11-05 株式会社東芝 半導体装置の製造方法
US5898207A (en) * 1996-02-13 1999-04-27 Matsushita Electric Industrial Co., Ltd. Method for making a semiconductor device
EP0932191A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method of plasma etching doped polysilicon layers with uniform etch rates
US6274464B2 (en) 1998-02-06 2001-08-14 Texas Instruments Incorporated Epitaxial cleaning process using HCL and N-type dopant gas to reduce defect density and auto doping effects
US7090890B1 (en) 1998-04-13 2006-08-15 The Trustees Of Princeton University Modification of polymer optoelectronic properties after film formation by impurity addition or removal
FR2784501B1 (fr) 1998-10-07 2003-01-31 St Microelectronics Sa Procede d'epitaxie sur un substrat de silicium comprenant des zones fortement dopees au bore
US6586318B1 (en) 1999-12-28 2003-07-01 Xerox Corporation Thin phosphorus nitride film as an N-type doping source used in laser doping technology
JP5204941B2 (ja) * 2000-08-11 2013-06-05 アプライド マテリアルズ インコーポレイテッド 外部から励磁されるトロイダルプラズマチャンバ
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7064399B2 (en) 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
JP4802364B2 (ja) 2000-12-07 2011-10-26 ソニー株式会社 半導体層のドーピング方法、薄膜半導体素子の製造方法、及び半導体層の抵抗制御方法
JP2002184710A (ja) 2000-12-18 2002-06-28 Sony Corp 半導体層のドーピング方法、薄膜半導体素子の製造方法、及び薄膜半導体素子
KR100428769B1 (ko) 2001-06-22 2004-04-28 삼성전자주식회사 반도체 롬 장치 형성 방법
US20030040171A1 (en) * 2001-08-22 2003-02-27 Weimer Ronald A. Method of composite gate formation
US6764551B2 (en) 2001-10-05 2004-07-20 International Business Machines Corporation Process for removing dopant ions from a substrate
JP2004006537A (ja) * 2002-05-31 2004-01-08 Ishikawajima Harima Heavy Ind Co Ltd 薄膜形成方法及び装置並びに太陽電池の製造方法並びに太陽電池
KR100578786B1 (ko) 2004-05-28 2006-05-11 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
WO2004006303A2 (en) 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
JP2004207585A (ja) * 2002-12-26 2004-07-22 Fujitsu Ltd 半導体装置の製造方法
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7285473B2 (en) * 2005-01-07 2007-10-23 International Business Machines Corporation Method for fabricating low-defect-density changed orientation Si
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7504314B2 (en) 2005-04-06 2009-03-17 International Business Machines Corporation Method for fabricating oxygen-implanted silicon on insulation type semiconductor and semiconductor formed therefrom
US7737010B2 (en) * 2006-04-14 2010-06-15 Micron Technology, Inc. Method of photoresist strip for plasma doping process of semiconductor manufacturing
US7560354B2 (en) * 2007-08-08 2009-07-14 Freescale Semiconductor, Inc. Process of forming an electronic device including a doped semiconductor layer

Also Published As

Publication number Publication date
US20110256691A1 (en) 2011-10-20
CN101903981A (zh) 2010-12-01
JP5064572B2 (ja) 2012-10-31
US7989329B2 (en) 2011-08-02
TW200945425A (en) 2009-11-01
KR20110119798A (ko) 2011-11-02
TW201207919A (en) 2012-02-16
JP2011508970A (ja) 2011-03-17
WO2009085965A4 (en) 2009-08-27
US20090162996A1 (en) 2009-06-25
WO2009085965A1 (en) 2009-07-09
KR101117438B1 (ko) 2012-03-13
JP2012104841A (ja) 2012-05-31

Similar Documents

Publication Publication Date Title
KR101117438B1 (ko) 기판으로부터 표면 도펀트들의 제거
US8501605B2 (en) Methods and apparatus for conformal doping
KR101497902B1 (ko) 원자층 증착에 의해 보조되는 등각 플라즈마 잠입 이온 주입(piii)을 위한 방법
US7968441B2 (en) Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7858503B2 (en) Ion implanted substrate having capping layer and method
TWI375260B (en) Plasma immersed ion implantation process
US10043890B2 (en) Method of forming spacers for a gate of a transistor
US9947768B2 (en) Method for forming spacers for a transistor gate
JP5383501B2 (ja) 低エネルギーの高用量ヒ素、リン、ホウ素注入ウエハの安全な取り扱い
TWI524391B (zh) 改善p3i腔室中共形摻雜之方法
US8288257B2 (en) Doping profile modification in P3I process

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee