JP2009524257A - 太いワイヤ構造およびそれを形成するためのデュアル・ダマシン方法(太いワイヤ構造を形成するためのデュアル・ダマシン・プロセス) - Google Patents

太いワイヤ構造およびそれを形成するためのデュアル・ダマシン方法(太いワイヤ構造を形成するためのデュアル・ダマシン・プロセス) Download PDF

Info

Publication number
JP2009524257A
JP2009524257A JP2008551545A JP2008551545A JP2009524257A JP 2009524257 A JP2009524257 A JP 2009524257A JP 2008551545 A JP2008551545 A JP 2008551545A JP 2008551545 A JP2008551545 A JP 2008551545A JP 2009524257 A JP2009524257 A JP 2009524257A
Authority
JP
Japan
Prior art keywords
layer
etch stop
etching
forming
stop layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008551545A
Other languages
English (en)
Inventor
クールボー、ダグラス、ディー
ダウンズ、キース、イー
リンドグレン、ピーター、ジェイ
スタンパー、アンソニー、ケイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009524257A publication Critical patent/JP2009524257A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

【課題】 極太ワイヤを有する半導体デバイスと、デュアル・ダマシン・プロセスを使用してそれを製造する方法を提供することにある。
【解決手段】 この方法では、スタック構造内で少なくとも1つの部分ビア(26)がエッチングされ、少なくとも1つの部分ビア(26)の周りにボーダ(32)が形成される。この方法は、少なくとも1つのエッチング・ストップ層(22)までビア・エッチングを続行しながら、選択エッチングを使用して太い配線を形成するステップをさらに含む。
【選択図】 図6

Description

本発明は、一般に、半導体デバイスおよび製造方法に関し、詳細には、アナログまたは極太ワイヤを有する半導体デバイスと、デュアル・ダマシン・プロセス(dual-damascene process)を使用してそれを製造する方法とに関する。
極太ダマシン銅(Cu)ワイヤ(たとえば、太さ2μmを超えるもの)は、現在、シングル・ダマシン処理によって形成されている。シングル・ダマシン・プロセスの使用は、主に、ビアおよびワイヤ・エッチング・プロセス中にMIMキャパシタと下にある配線層の両方に接触するという問題を含む、デュアル・ダマシン処理に関連する集積問題によるものである。
極太デュアル・ダマシンCuワイヤ・プロセスでは、従来のリソグラフィ・ステップを使用して、ビアおよびトレンチが定義される。これらの従来のプロセスでは、ビアの高さは約5.5μmであり、幅は約1.5μmである。ビアの形成後、ビアは、トラフ(溝、凹部)・リソグラフィ・ステップのために下にあるCu配線層Mxまで、たとえば、反射防止コーティング(ARC:anti-reflective coating)などのスピン・オン有機材料(spinon organic material)で充填される。
しかし、第2のデュアル・ダマシン・リソグラフィ・ステップは極太ダマシンCuワイヤ・プロセスで加工品(ワーク)を作成するには難しいものであることが判明している。一例として、ビア先トレンチ後プロセス(via first, trench last process)の場合、ARCはビア内に砂時計形構造(hourglass formation)を形成し、その結果、ビア内に大きいボイドが形成されることが判明している。より具体的には、業界標準の中紫外線(MUV:mid UV)または遠紫外線(DUV:Deep UV)ARCが40%を超える充填を達成し、そのすべてがビア内に大きいボイドを残し、それがトラフ・エッチング中に開放されることが判明している。これらのボイドにより、その後のエッチングでは、エッチング液がボイドを貫通してエッチングすることによって下にある金属層で腐食を引き起こしていた。
たとえば、ARCがより厚くなる場合、ビア内の充填特性はより良好になるが、その後のエッチング・プロセス中に他の問題が発生する。たとえば、受け入れられるビア充填は、0.8μm層を使用して達成することができるが、これは、非常に長いARC開口(オープン)ステップが必要であることと、トラフRIE中にビアの周りに大きいフェンスまたはレールが生成されることにより、トラフRIEを非常に複雑なものにする。より具体的には、より厚いARC充填を使用するRIEプロセス中に、トラフRIEプロセスの早い時期に、ビアの側面にフェンスが形成される。これにより、下にある金属(Mx)層までビア・エッジに沿って優先エッチングが行われることになる。したがって、ARCが約0.8μmのものである場合、レジスト侵食、大規模フェンス、およびトラフRIE(反応性イオン・エッチング)問題が発生することが判明している。
本発明は、上記の諸問題のうちの1つまたは複数を克服することを対象とする。
本発明の第1の態様の方法は、スタック構造内に少なくとも1つの部分ビアをエッチングするステップと、少なくとも1つの部分ビアの周りにボーダを形成するステップとを含む。この方法は、少なくとも1つのエッチング・ストップ層までビア・エッチングを続行しながら、選択エッチングを使用して太い配線を形成するステップをさらに含む。
諸実施形態では、この太い配線を形成するステップはデュアル・ダマシン・プロセスの一部である。ボーダを形成するステップは、スタック構造上にネガ・フォトレジストを形成するステップと、少なくとも1つの部分ビアから離れたネガ・フォトレジストの部分を露出するステップとを含む。少なくとも1つのエッチング・ストップ層は、Mx−1金属層の上の第1のエッチング・ストップ層と、MIM(metal insulator metal)キャパシタの上の第2のエッチング・ストップ層とを含む。MIMキャパシタの上のエッチング・ストップ層は、Mx−1金属層の上のエッチング・ストップ層より厚く形成される。
この方法は、スタック構造内にMIMキャパシタを組み込むステップをさらに含み、太い配線はMIMキャパシタの上の少なくとも1つのエッチング・ストップ層まで延びる。スタック構造を形成するステップは、第1の低誘電率(k)誘電体材料内に形成されたダマシン銅線を準備するステップと、第1の低誘電率誘電体材料上にエッチング・ストップ層を形成するステップとを含む。層間誘電体層および第2の誘電体層はエッチング・ストップ層上に形成される。第2のエッチング・ストップ層は第2の誘電率誘電体層上に形成され、第3の低誘電率誘電体層はエッチング・ストップ層上に形成される。
MIMキャパシタは、W、WN、TiN、Ta、TaN、およびTiSiNのうちの少なくとも1つを含む耐熱金属または合金を含む。第1および第2の低誘電率誘電体材料ならびに第2の誘電体層のうちの少なくとも1つは、フッ素ドープ・シリケート・ガラス(FSG:fluorine doped silicate glass)である。エッチング・ストップ層および第2のエッチング・ストップ層は、少なくとも、窒化シリコン、炭窒化シリコン(silicon carbo nitride)、酸炭窒化シリコン(silicon oxycarbo nitride)、および炭化シリコンである。MIMキャパシタは層間誘電体層に埋め込まれる。MIMキャパシタは、窒化シリコン、炭窒化シリコン、酸炭窒化シリコン、および炭化シリコン・エッチング・ストップ層のうちの少なくとも1つを有する複数のプレートである。
少なくとも1つの部分ビアをエッチングするステップは、下にある金属層およびMIMキャパシタのうちの少なくとも1つと位置合わせされたスタック構造に部分的にエッチングするステップを含む。太い配線を形成するステップは、少なくとも1つの部分ビアの形成後にスタック構造上にネガ・フォトレジストを付着させるステップと、少なくとも1つの部分ビアから離れたネガ・フォトレジストを露出してボーダを形成するステップと、さらにスタック構造内に入るように少なくとも1つの部分ビアをエッチングし、選択エッチングを行い少なくとも1つのトラフを形成するステップとを含む。この選択エッチングは、下にある金属層およびMIMキャパシタのうちの少なくとも1つに付着させた少なくとも1つのエッチング・ストップ層に対して選択的なものである。
諸実施形態では、集積回路チップを形成するために本発明の諸ステップが使用される。本発明の諸ステップは、デュアル・ダマシン銅後工程(BEOL:back end of line)プロセスであり、ワイヤおよびビア高として定義された銅層は約3.5ミクロン以上の厚さを有する。少なくとも1つのエッチング・ストップ層までビア・エッチングを続行しながら、選択エッチングを使用して太い配線を形成するステップは、ビアが少なくとも1つのエッチング・ストップ層まで延びる前に上部埋め込みエッチング層まで延びるトラフ・エッチングを含む。
本発明の他の態様では、この方法は、デュアル・ダマシン銅BEOL構造を形成することを対象とする。この方法は、少なくとも1つの下にある金属層と位置合わせされた部分高ビアを形成するステップと、ネガ・フォトレジスト材料を塗布するステップとを含む。この方法は、部分高ビアに最も近いネガ・フォトレジスト材料内にボーダを形成するステップと、追加の深さまで部分高ビアをエッチングし、選択エッチングを行いトラフを形成するステップとをさらに含む。この方法は、BEOL構造内にMIMキャパシタを組み込むステップをさらに含む。
諸実施形態では、エッチングするステップは、金属層およびMIMキャパシタの上の少なくとも1つのエッチング・ストップ層までエッチングするステップを含む。この方法は、第1の低誘電率誘電体材料内に形成された下にある金属層を準備するステップと、第1の低誘電率誘電体材料上にエッチング・ストップ層を形成するステップと、エッチング・ストップ層上に酸化物層および層間誘電体層を形成するステップと、酸化物(二酸化シリコン)層内にMIMキャパシタを埋め込むステップと、MIMキャパシタ上にキャップ層を形成するステップと、層間誘電体層上に第2のエッチング・ストップ層を形成するステップと、エッチング・ストップ層上に第3の低誘電率誘電体層を形成するステップとをさらに含む。
エッチング・ストップ層および第2のエッチング・ストップ層は、窒化シリコン、炭窒化シリコン、酸炭窒化シリコン、および炭化シリコンからなるエッチング・ストップ層のうちの少なくとも1つである。MIMキャパシタは、窒化シリコン、炭窒化シリコン、酸炭窒化シリコン、および炭化シリコンからなるエッチング・ストップ層のうちの少なくとも1つを有する複数のプレートを使用して形成される。追加の深さまで部分高ビアをエッチングし、選択エッチングを行いトラフを形成するステップは、ビアが少なくとももう1つのエッチング・ストップ層に突き当たる前に上部埋め込みエッチング層までトラフをエッチングするステップを含む。ボーダを形成するステップは、部分高ビアから離れたネガ・フォトレジストの部分を露出するステップを含む。トラフをエッチングするステップは、MIMキャパシタの上のキャップ層および下にある金属層のうちの少なくとも1つまで選択的にエッチングするステップを含む。追加の深さまで部分高ビアをエッチングし、選択エッチングを行いトラフを形成するステップにおいて、形成されるトラフは下にある金属層およびMIMキャパシタのうちの少なくとも1つと位置合わせされる。
本発明の他の態様では、太いワイヤ構造を形成するためのデュアル・ダマシン方法は、スタック構造内に部分ビアを形成するステップと、部分ビアの形成後にスタック構造上にネガ・フォトレジストを付着させるステップとを含む。この方法は、部分ビアから離れたネガ・フォトレジストを露出して、部分ビアの上にボーダを形成するステップをさらに含む。この部分ビアはさらにスタック構造内に入るようにエッチングされる。この方法は、部分ビア内に選択的にエッチングしてトラフを形成するステップをさらに含む。この選択エッチングは、下にある金属層の少なくとも1つに付着させた少なくとも1つのエッチング・ストップ層に対して選択的なものである。MIMは、少なくとも、上部プレートと、MIM誘電体と、下部プレートとを有する。
諸実施形態では、太いワイヤ構造は約3.5ミクロン以上の厚さを有する。諸ステップは、集積回路チップを形成するために設計されている。MIMキャパシタは、ビアが上部プレートを貫通して完全にエッチングされず、MIM誘電体と接触しないようなスパッタ除去と同等の、10ナノメートル未満の酸化物からなるMIM上部プレートのスパッタ洗浄除去によって形成される。少なくとも1つのエッチング・ストップは、第1のエッチング・ストップと第2のエッチング・ストップである。第1のエッチング・ストップは下にある金属層の上に形成され、第2のエッチング・ストップは、MIMキャパシタの表面上に形成され、第1のエッチング・ストップの高さより大きい高さまで形成される。
本発明の他の態様では、太いワイヤ構造は、第1の誘電体層内に形成されたダマシン銅線と、ダマシン銅線を覆うエッチング・ストップ層とを含む。第2の誘電体層はエッチング・ストップ層上に形成される。第2のエッチング・ストップ層は第2の誘電体層上に形成され、第3の誘電体層はエッチング・ストップ層上に形成される。約1.5ミクロン以上の高さのビアは、第1、第2、および第3の誘電体層を貫通して形成され、ダマシン銅線に接触する。約2ミクロン以上の高さのトラフは、第2のエッチング・ストップに最も近く形成され、実質的に軸方向にビアと一直線になり、ビアより大きい幅を有する。MIMキャパシタは酸化物層内に埋め込まれ、エッチング・ストップ層はMIMキャパシタの上に形成される。ビアは、MIMキャパシタの上に形成されたエッチング・ストップ層と一直線になり、そのエッチング・ストップ層まで延び、トラフは、MIMキャパシタの上に形成されたビアと軸方向に一直線になり、第2のエッチング・ストップの近くで止まる。誘電体スタックは約5.5μmの高さであり、約3.5μmの高さのワイヤを有し、ビアは約1.2μmの最小幅を有する。
本発明の一態様では、太いワイヤ構造は、FSG(フッ素ドープ・シリケート・ガラス)誘電体材料内に形成された下にあるワイヤを含む。第1の窒化物キャップ層は下にあるワイヤを覆う。層間層は第1の窒化物キャップ層上に形成される。MIMキャパシタは層間層の一部分に埋め込まれる。MIMエッチング・ストップ・キャップ層はMIMキャパシタ層上に形成され、MIMキャップ層は第1の窒化物キャップより大きい厚さを有する。第2の窒化物キャップ層は層間層上に形成される。FSG誘電体層は第2の窒化物キャップ上に形成される。ビアは、下にあるワイヤおよびMIMキャパシタのうちの少なくとも1つと一直線になり、MIM窒化物キャップ層および第1の窒化物キャップ層に最も近いところまで延びる。トラフは、実質的に軸方向にビアと一直線になり、ビアより大きい幅を有し、第2の窒化物キャップ層まで延びる。諸実施形態では、MIMキャパシタは、W、WN、TiN、Ta、TaN、およびTiSiNのうちの少なくとも1つを含む耐熱金属または合金からなる。
本発明は、一般に、半導体デバイスおよび製造方法に関し、詳細には、デュアル・ダマシン・プロセスを使用して、太いワイヤを有するデバイスを製造する方法に関する。本発明のデュアル・ダマシン・プロセスを使用することにより、ビアのエッジにおける突き抜け現象、ビアのアンダー・エッチング(under etch)、トラフのアンダー・エッチングまたはオーバー・エッチング(overetch)などの問題が解消される。さらに、本発明のプロセスは、下にある金属層またはMIM(金属−絶縁体−金属)キャパシタあるいはその両方に対する腐食作用を解消する。一実施例では、本発明のデュアル・ダマシン・プロセスは、たとえば、部分深さビアを形成するステップと、ネガ・フォトレジスト材料を塗布するステップと、次にトラフをエッチングし、ビア・エッチングを完了するステップとを含む。この方法は、任意選択で、後工程(BEOL)構造内に、MIMキャパシタまたは薄膜抵抗などのその他の受動素子を組み込むステップを含む。
諸実施形態では、結果の構造はデュアル・ダマシン銅後工程(BEOL)構造であり、その構造内でワイヤおよびビアで構成される銅層は少なくとも3.5ミクロンの厚さを有する。本発明のプロセスによって形成された少なくとも1つの構造では、使用される誘電体スタックは約5.5μmの高さであり、約3.5μmの高さのワイヤを有する。諸実施形態では、ワイヤおよびビアはそれぞれ約3μmおよび約2μmの最終高を有し、ビアおよびワイヤはいずれも約1.2μmの最小幅を有する。
図1は、本発明による開始構造を示している。この開始構造は、隣接金属ラインを絶縁するために使用される低誘電率(k)誘電体材料10内に形成されたダマシン銅線12を含む。低誘電率誘電体材料10は、金属ライン間の不要な容量結合、したがって、「クロストーク」を低減する。諸実施形態では、誘電体材料10は、たとえば、SiO2、フッ素ドープSiO2(FSG)、SiCOH、ポリアリーレン(エーテル)、多孔性誘電体、部分エアギャップ、または完全エアギャップにすることができる。諸実施形態では、当技術分野で知られている通り、均一寸法を保証するために銅配線なしのエリア内にダミー充填形状を設けることができるが、本発明を実施するためにダミー充填形状は不要であることを理解しなければならない。
誘電体材料10上には、たとえば、窒化シリコン、炭窒化シリコン、酸炭窒化シリコン、または炭化シリコンからなるキャップ層のうちの1つまたは複数を、高密度プラズマ(HDP)、プラズマ促進CVD、またはスピン・オン層のうちの1つまたは複数を用いてビア・エッチング・ストップ層14が形成される。諸実施形態では、エッチング・ストップ層14は、Mx−1層の上で最小化することができ、一実施形態では、約25〜75nmの範囲内である。
さらに図1を参照すると、エッチング・ストップ層14上には、層10について前述したように、たとえば、酸化物ベースの材料などの層間誘電体層16を付着させる。一実施形態では、MIMキャパシタ18は、従来のプロセスを使用して、層間誘電体層16内に埋め込まれる。MIMキャパシタ18は、当業者にとって既知の任意の従来のスタック構造にすることができる。しかし、一実施形態では、MIMキャパシタ10は、TiN上部プレートとともにTiN/W/TiN下部プレートを含む。他の諸実施形態では、MIMキャパシタ18は、TaN/Ta、TaN/Ta/TaN、またはTaN/Ta/TiN下部プレートを使用する。MIMキャパシタ18が含まれる場合、誘電体層16は、MIM形成のための第1のステップと、MIM形成後の第2のステップという2つのステップで付着させることになるであろう。当技術分野で知られている通り、25〜75nmの標準的な厚さ範囲内の二酸化シリコン、窒化シリコン、アルミナ、五酸化タンタルのうちの1つまたは複数などの任意のMIM誘電体を使用できるであろう。
第2の誘電体付着後に、平坦化ステップ、たとえば、化学機械的研磨(CMP)を実行し、MIM高さの約1.5〜3倍に等しい厚さの誘電体を除去し、続いて、当技術分野で知られている通り、標準的なウェハ洗浄によってウェハを平坦化することができる。また、CMPステップは、最後の誘電体層付着(層24a)後に実行することもできるであろう。後者が実施される場合、MIMの上の誘電体層はMIM(図示せず)のプロファイルに従うことになるであろう。
MIMキャパシタ18を含む一実施形態では、エッチング・ストップ層18a、たとえば、上部窒化シリコン・キャップ層、炭窒化シリコン、酸炭窒化シリコン、または炭化シリコン・エッチング・ストップ層、あるいは組成の点で層14と同様の膜がMIMキャパシタ18上に形成される。一実施形態では、上部窒化物層18aは、エッチング・ストップ層14の約2倍の厚さであり、窒化シリコンから形成される。例示的な一例として、窒化物層18aは約150nmの厚さにすることができる。以下により詳細に論じる通り、これにより、その後のエッチング・プロセスでエッチング・ストップ層14をエッチングする前に、MIMキャパシタ18を露出しないことを保証する。MIMキャパシタ18は、諸実施形態では、当業者によって知られている通り、約0.4μmの全高と、TiN、TaN、Ta、W、WN、TiSiN、TaSiN、WSiNのうちの1つまたは複数などの耐熱金属の上部および下部導電プレートと、SiO2、Si34、Al23、Ta25、Al23/Ta25/Al23多層膜のうちの1つまたは複数などのMIM誘電体とを有する。一実施形態では、上部MIMプレートはTiNからなり、MIM誘電体を貫通するエッチングおよび下部MIMプレートの露出を回避するためにMIM誘電体に対して選択的にTiN RIEエッチングが施される。MIM誘電体はAl23/Ta25/Al23多層膜であり、MIM下部プレートは、任意選択でTiNまたはTaNによって下および上が被覆されたWまたはTaなどの低抵抗耐熱金属である。
二酸化シリコン層18上には、低誘電体材料20、たとえば、FSGが形成される。低誘電体材料20および酸化物層18の厚さは、一実施形態では約0.3μmであるが、本発明では他の厚さも企図されている。低誘電体材料20上には、約100nmの範囲内のエッチング・ストップ層22が形成される。諸実施形態では、エッチング・ストップ層22は窒化シリコン・キャップ層である。後続の誘電体層24の付着力を改善するために、エッチング・ストップ層22上に任意選択の酸化物層22aを形成することができる。たとえば、層22は200nmのSiO2を含むことができ、層24は3μmのFSGから構成することができるであろう。窒化シリコンの上のFSG膜の付着力またはその他の特性を改善するために、FSGの下にドープなし酸化物の存在が示されている。
低誘電体材料24、たとえば、FSGは、任意の従来の方法で窒化物層22上に形成される。諸実施形態では、低誘電体材料24は約3μmの厚さである。任意選択のステップで、低誘電体材料24上に二酸化シリコン層24aを形成することができる。この任意選択のステップでは、二酸化シリコン層24aは約0.3μm〜0.5μmの厚さにすることができ、低誘電体材料24は約2.5μmの厚さにすることができる。理解される通り、二酸化シリコン層24aは、銅CMP(化学機械的研磨)プロセス中にあまり可変性および侵食をもたらさない可能性があり、その後のエッチングおよびCMP処理中に完全に除去される場合もあれば除去されない場合もある。
図2は、図1の構造内に部分ビアを形成するためのエッチング・プロセスを表している。諸実施形態では、1つまたは複数の部分ビア26が構造内に形成される。諸実施形態では、部分ビア26は、従来方法のフォトレジスト、パターン形成、およびエッチングによって形成される。たとえば、フォトレジストは、低誘電体材料24または二酸化シリコン層24a上に形成することができる。フォトレジストを光に曝してパターンを形成する。次に、たとえば、従来のRIEプロセスを使用してパターンをエッチングして、部分ビア26を形成する。フォトレジストは好ましくは剥離または除去される。
諸実施形態では、部分ビア26は、その後のエッチングおよびワイヤ形成のために金属層12またはMIMキャパシタ18あるいはその両方と位置合わせされて低誘電体材料24内に形成される。誘電体材料24(ならびに、代替諸実施形態では、酸化シリコン層24a)の厚さに応じて、層24および24aの厚さの合計の約2/3の深さならびに約1.2μmの幅まで、部分ビア26をエッチングすることができる。諸実施形態では、エッチング液の化学反応は、RIEエッチングが完了した後にレジストがウェハ内に残存するように、当業者によって知られている通り、従来の平行板RIEリアクタを使用するCF4/Ar/COなどの標準的なRIEベースの化学反応である。代わって、当技術分野で知られている通り、リソグラフィ・パターン形成の前に任意の標準的なハード・マスクまたは低温誘電体でコーティングされたARCを使用できるであろう。
図3は、トラフ(trough、溝)を形成する開始プロセスを示している。この実施形態では、部分ビア26内に加えて、低誘電体材料24上または二酸化シリコン層24a上にネガ・フォトレジスト28が形成される。フォトレジスト28により部分ビア26内で良好な充填特性が得られていることが判明している。特に、未露出のネガ・フォトレジストは現像プロセス中に除去される。これは、配線トラフなしのエリアは光に曝され、配線トラフを有することになるエリアは光に曝されないことを意味する。未露出のネガ・レジストは部分的にエッチングされたビア26内にあるので、それはレジスト現像プロセス中に容易に除去することができる。このプロセスにより、ARC層の使用が解消され、したがって、ワイヤ・トラフRIEエッチング中のエッチングおよびビア内のARC充填に関連する問題が解消される。
図4に示されている通り、ワイヤ・トラフ開口部30は、部分ビア26のエッジから離れたネガ・フォトレジストの部分を露出することによって形成される。開口部30は、実質的に軸方向に(軸に対して対称に)部分ビア26と位置合わせされている。開口部30は、部分ビア26から離れたネガのレジストを露出することによって形成され、その結果、部分ビア26の側面にビア・ボーダ32が形成される。ビア・ボーダ32は約0.6ミクロン以下であるが、ゼロより大きい。ビア・ボーダ32は、諸実施形態では、図8および図9に示されている通り、転写されない部分ビア26のエッジからネガ・フォトレジストの露出中に光がしみ出すのを回避するために必要である。
図5は、本発明による追加の処理ステップを示している。ワイヤ・トラフ開口部30を有するレジスト層28のパターン形成の後で、プロセスはエッチング液プロセスを続行し、ビア26がエッチング・ストップ層22を貫通してエッチングされ、トラフ34がエッチング・ストップ層22を貫通してエッチングされないように、誘電体層24内に部分ビア26およびトラフ34をエッチングする。プロセスのこの時点で、ビア26はエッチング・ストップ層18aに到達していない。図5に示されている通り、このエッチング・プロセスは、層間誘電体層16(図示)または誘電体層20内に部分ビア26をエッチングすることになる。一実施形態では、トラフRIEエッチングが窒化物ストップ層14に突き当たる前にビアがMIMエッチング・ストップ層18aに突き当たるのを防止するために、ビア高が最適化される(たとえば、層16の厚さに応じて、ビアは約2ミクロンの範囲内になる可能性がある)。
このエッチング・ステップでは、エッチング液の化学反応は、エッチング・ストップ層に対して非選択的なものであり、すなわち、層24、20、および16のRIEエッチング速度はエッチング・ストップ層22のRIEエッチング速度とほぼ同じである。この非選択エッチング・プロセスは、誘電体層16または20の一部分のみをエッチングするように時間設定される。金属層12およびMIMキャパシタ18の上にある誘電体層の部分はエッチングされず、したがって、エッチング液はエッチング・ストップ層14および18a内にエッチングせず、たとえば、エッチングは窒化物層14(金属層12を保護するもの)および窒化物層18a(MIMキャパシタ18を保護するもの)の上で止まることになる。
以下の表1は、業界標準の平行板RIEチャンバで実行されるトラフ用のRIEエッチング条件(第2のデュアル・ダマシン・ステップ)を示している。上記で論じた集積要件が満たされる限り、他のRIEリアクタで可能であるように他の化学反応を使用できる可能性があることに留意されたい。
Figure 2009524257
図6は、本発明による選択的RIEエッチング・プロセスを表している。この処理ステップでは、窒化シリコンに対して選択的なRIEエッチング・プロセスを使用して、トラフ34を形成する。このRIE処理ステップでは、理解される通り、エッチング化学反応によって、好ましくはエッチング・ストップ層14および18a(たとえば、窒化シリコン層)の少し上までビアをさらにエッチングすることになる。しかし、諸実施形態では、このエッチング・プロセス中にRIEプロセスは、エッチング・ストップ層14までエッチングする前にエッチング・ストップ層18aまでエッチングすることになる。このように、実施例によっては、エッチング・ストップ層18aをわずかにエッチングで除去する可能性があるが、MIMキャパシタ18の上部層が露出されないような低速で行われる。このため、エッチング・ストップ層18aはエッチング・ストップ層14より厚くなる可能性があり、MIMキャパシタ18がエッチング・プロセス中に露出されないことが保証される。
エッチング・ストップ層18aに対するRIE選択性を維持するという一態様は、ビアのみをエッチングすることにより、RIEチャンバ内で使用可能な酸素を制限することである。ビアが完全にエッチングされる前にエッチング・ストップ層22を貫通してトラフ34のパターンがエッチングされる場合、トラフ開口部内でエッチングされた誘電体から酸素が解放されることにより、エッチング・ストップ層18aに対するRIE選択性が低減または除去され、その結果、MIMキャパシタの上部プレート内にRIEエッチングが進行し、結果的にMIMの歩留まりまたは誘電体の信頼性が劣化する。エッチングのこの部分およびその他の部分は、既知のエッチング速度を使用するか、または発光分光法(または任意のその他の既知の方法)を使用してエッチングを終点とすることによって、固定時間に基づいて実行することができる。
諸実施形態では、トラフ34は、幅が約1ミクロン〜100ミクロンの範囲であり、高さが約3ミクロン〜3.5ミクロンの範囲である。これに対して、ビアは、処理後、約2ミクロンの高さになる。しかし、上記の寸法は非制限的で例示的な一例として示されており、本発明の実施例によって他の寸法も等しく達成可能であることを理解されたい。
さらに図6を参照すると、上記のエッチング液プロセスにおいて、一実施形態では、選択性を増すために、2sccmのC48トラフRIE(反応性イオン・エッチング)を追加することができる。このエッチング液プロセスは、トラフ・エッジにおける窒化シリコン突き抜け現象を解消し、MIMキャパシタに対する良好な選択性をもたらし、ポリマRIEストップおよびビア・バーRIE問題(たとえば、ビア・バーはエッチング液の化学反応に対する抵抗力がかなり低いことが知られている)を回避する。また、この選択エッチング・ステップでは、エッチング・ストップ層14および18aは、選択的エッチング液プロセス中にエッチング・ストップとして作用し、その結果、下にある金属(たとえば、銅)層12またはMIMキャパシタ18が露出されないこと、たとえば、エッチング・ストップ層がRIEを停止するのに十分な厚さになることを保証する。当業者によって知られている通り、追加のプロセス・ステップとして、トラフ32が形成された後、フォトレジスト28が剥離され、窒化シリコン層14(ならびに任意の他の露出誘電体)にエッチングを施して下にあるワイヤ12を露出し、任意選択の脱フッ素プラズマ洗浄が実行され、30秒の100:1DHF洗浄などのウェハ洗浄が実行され、耐熱金属ライナである銅シードを付着させ、銅を電気メッキし、CMPを使用して余分な金属を除去することにより、ウェハが金属被覆される。
エッチング時間対ワイヤおよびビア高をモデル化して、プロセスを最適化し、エッチング・ストップ層18aを貫通するエッチングを回避することは可能である。図7は、1.5μmの部分ビア深さの場合のエッチング時間対層間深さ目標のグラフを示している。このグラフに示されている通り、水平線はエッチングがエッチング・ストップ層14に突き当たるまでの時間を表し、傾斜線はビアがMIMキャパシタ18のエッチング・ストップ層18aに突き当たるまでの時間を表している。酸化物トラフ・エッチングは、ビアがMIMキャパシタ18のエッチング・ストップ層18aに突き当たる前に、エッチング・ストップ層(たとえば、キャップ)22上で終点(EP:endpoint)となる。図7では、最適ビア高は、処理後、1.9μmになる。
図8および図9は、本発明により処理された中間構造(ビアの周りに600nmのトラフ・ボーダあり)と、ボーダなしの構造の比較を示している。この表現では、図8は、本発明により形成された構造の側面図と平面図を示している。本発明により形成されたボーダありの部分ビア内にいかなる残留レジストも残存しないことは明白である。しかし、図9に示されている通り、ボーダなしのビアを使用すると、その結果、残留レジストがビア内に残存することになる。すなわち、ビア内に浮きかす(スカム、scumming)が存在する。この浮きかすは、光がビア内に流出し、後で現像できないことによるものであり、このため、ビアおよびトラフを形成するためのその後のエッチング・プロセスが損なわれることになる。
典型的なMIMキャパシタは図10に示されており、各層は表2に記載されている。MIM上部プレート52および下部プレート50は、導体であり、複数の層で構成することができる。ダマシン銅配線で形成されたMIMキャパシタの場合、プレートは、典型的には、上述の通り、W、WN、TiN、Ta、TaN、TiSiNなどの耐熱金属または合金からなる。PECVD酸化シリコンまたは窒化シリコンあるいはCVDアルミナ被覆五酸化タンタルの1つまたは複数の層を使用するMIMキャパシタの場合、TiN、TaN、またはTaがMIM誘電体51に直接接触すると、優れたMIM信頼性が得られている。追加の下部プレート要件は、MIM品質要素を改善するために、低いシート抵抗を有することである。
MIM下部プレート50は上からビアに接触し、その結果、上部プレートのないエリア内で接触することになる。これは、有効下部プレート抵抗を最小化し、MIM品質要素を最大化するために、MIM下部プレート50が比較的厚く、すなわち、100〜400nmになる必要があることを意味する。MIM上部プレート52は上のビアに接触し、上からの配線でストラップされ得るので、そのシート抵抗は比較的重要ではなく、重要なパラメータは、MIMの上のビアが形成されたときに実行されるRIEエッチング、ウェット・エッチング、および洗浄に対するそのエッチング耐性である。
ビアがMIM上部プレートに接触する(すなわち、トラフ・エッチング中にエッチング・ストップ層18aを貫通してエッチングする)場合、MIM誘電体の完全性は帯電損傷のために劣化する可能性がある。ビアがMIM上部プレートを完全に貫通してエッチングし、MIM誘電体に接触する場合、MIM上部プレート52および下部プレート50は、短絡されるか、または誘電漏洩特性が不十分になる。最後に、ハード・マスクまたはエッチング・ストップ層53および54は、ビアがMIMプレート内にエッチングするのを防止するのに十分な厚さである必要がある。MIMはビア高に適合しなければならないので、これは、前の配線レベルの上のMIM高が制限され、MIMプレート抵抗、MIMハード・マスクまたはエッチング・ストップ層の厚さなどの間でトレードオフがあることを意味する。ビアおよびトラフRIE後にウェハが金属被覆されると、30秒間の100:1DHFなどのウェット洗浄と、それに続くアルゴン・スパッタ洗浄が実行される。上述の通り、MIM上部プレート52と下部プレート50との短絡を回避するために、MIM上部プレートのアルゴン・スパッタ洗浄除去は最小限にしなければならない。たとえば、MIMキャパシタ形成は、MIM上部プレートと下部プレートとの短絡を回避するためのスパッタ除去と同等の10ナノメートル未満の酸化物のMIM上部プレートのスパッタ洗浄除去を含む。
Figure 2009524257
FSG誘電体は、ドープなし酸化シリコンよりかなり高い圧縮応力を有する傾向がある。このため、ドープなし酸化シリコンは任意選択でビア誘電体スタックの一部に使用され、全体的なウェハの曲げを低減するために配線容量に対する影響は最も少ない。高応力膜のために過剰な曲げを有するウェハは、リソグラフィ・アライナ、RIEなどの処理ツールにおけるチャッキングが困難である。しかし、FSGおよびドープなし二酸化シリコンだけでなく、任意の誘電体を層に使用できることが企図されている。ドープなし二酸化シリコンも任意選択で図1の層24の上に約100〜500nm、たとえば、300nmの厚さまで使用することができる。ドープなし酸化シリコンは、大気中またはウェット洗浄中の湿気によりFSGより反応性が低くなり、フォトレジスト中毒を低減することができ、層14のエッチングおよびその後のCu CMPプロセス中にウェハ上に部分的に残るかまたは完全に除去された場合、最終的な配線容量に対する影響はごくわずかであるか、まったくない。
ビア・エッチングはトラフRIE中に完了するので、トラフRIE化学反応は、ビア・ホールとビア・バーの両方をエッチングするために最適化しなければならない。トラフRIE中に、ビア・バーとビア・ホールはトラフのようにエッチングされる。選択的トラフ・エッチングを埋め込みエッチング・ストップ層上で終点とすると、その化学反応は、ビア・ホールとビア・バー用に最適化された超選択的ビア・エッチングに切り替わることになる。
上述の方法は、CMOS、SiGe、SRAM、DRAMトランジスタなどの集積回路チップを形成する際に使用される。結果として得られる集積回路チップは、生ウェハの形で(すなわち、複数のパッケージ化していないチップを有する単一ウェハとして)、むき出しのダイとして、またはパッケージ化した形で、メーカから配布することができる。後者の場合、チップは単一チップ・パッケージ内(マザーボードまたはその他の高レベル・キャリアに取り付けられるリードを含むプラスチック・キャリアなど)またはマルチチップ・パッケージ内(表面相互接続または埋め込み相互接続のいずれか一方または両方を有するセラミック・キャリアなど)に取り付けられる。いずれの場合も、チップは、(a)マザーボードなどの中間製品または(b)最終製品の一部として、他のチップ、ディスクリート回路素子、またはその他の信号処理装置、あるいはこれらの組み合わせと統合される。最終製品は、玩具およびその他のローエンド応用例から、ディスプレイ、キーボードまたはその他の入力装置、中央処理装置を有する高度コンピュータ製品に及ぶ、集積回路チップを含む任意の製品にすることができる。
模範的な諸実施形態に関して本発明を説明してきたが、当業者であれば、特許請求の範囲の精神および範囲内で、変更例とともに本発明を実施できることを認識するであろう。
本発明による初期構造を示す図である。 本発明により実施される処理ステップを示す図である。 本発明により実施される処理ステップを示す図である。 本発明により実施される処理ステップを示す図である。 本発明により実施される処理ステップを示す図である。 本発明により実施される処理ステップを示す図である。 1.5μmの部分ビア深さの場合のエッチング時間対層間深さ目標のグラフである。 本発明により処理された中間構造(ボーダあり)を示す図である。 本発明により処理されたボーダなしの構造を示す図である。 本発明により実施されるMIMキャパシタの一例を示す図である。

Claims (36)

  1. スタック構造内に少なくとも1つの部分ビアをエッチングするステップと、
    前記少なくとも1つの部分ビアの周りにボーダを形成するステップと、
    少なくとも1つのエッチング・ストップ層までビア・エッチングを続行しながら、選択エッチングを使用して太い配線を形成するステップと、
    を含む、方法。
  2. 前記太い配線を形成するステップがデュアル・ダマシン・プロセスの一部である、請求項1に記載の方法。
  3. 前記ボーダを形成するステップが、前記スタック構造上にネガ・フォトレジストを形成するステップと、前記少なくとも1つの部分ビアから離れた前記ネガ・フォトレジストの部分を露出するステップとを含む、請求項1に記載の方法。
  4. 前記少なくとも1つのエッチング・ストップ層が、Mx−1金属層を覆う第1のエッチング・ストップ層と、MIM(金属−絶縁体−金属)キャパシタを覆う第2のエッチング・ストップ層とを含む、請求項1に記載の方法。
  5. 前記MIMキャパシタを覆う前記エッチング・ストップ層が、前記Mx−1金属層を覆う前記エッチング・ストップ層より厚く形成される、請求項1に記載の方法。
  6. 前記スタック構造内にMIMキャパシタを組み込むステップをさらに含み、前記太い配線が前記MIMキャパシタを覆う前記少なくとも1つのエッチング・ストップ層まで延びる、請求項1に記載の方法。
  7. 前記スタック構造を形成するステップが、
    第1の低誘電率(k)誘電体材料内に形成されたダマシン銅線を準備するステップと、
    前記第1の低誘電率誘電体材料上にエッチング・ストップ層を形成するステップと、
    前記エッチング・ストップ層上に層間誘電体層および第2の誘電体層を形成するステップと、
    前記第2の誘電率誘電体層上に第2のエッチング・ストップ層を形成するステップと、
    前記エッチング・ストップ層上に第3の低誘電率誘電体層を形成するステップと、
    を含む、請求項1に記載の方法。
  8. 前記MIMキャパシタが、W、WN、TiN、Ta、TaN、およびTiSiNのうちの少なくとも1つを含む耐熱金属または合金を含む、請求項4に記載の方法。
  9. 前記第1および第2の低誘電率誘電体材料ならびに前記第2の誘電体層のうちの少なくとも1つが、フッ素ドープ・シリケート・ガラス(FSG)である、請求項7に記載の方法。
  10. 前記エッチング・ストップ層および前記第2のエッチング・ストップ層が、少なくとも、窒化シリコン、炭窒化シリコン、酸炭窒化シリコン、および炭化シリコンである、請求項7に記載の方法。
  11. 前記層間誘電体層にMIMキャパシタを埋め込むステップをさらに含む、請求項7に記載の方法。
  12. 前記MIMキャパシタが、窒化シリコン、炭窒化シリコン、酸炭窒化シリコン、および炭化シリコンからなるエッチング・ストップ層のうちの少なくとも1つを有する複数のプレートである、請求項11に記載の方法。
  13. 前記少なくとも1つの部分ビアをエッチングするステップが、下にある金属層およびMIMキャパシタのうちの少なくとも1つと位置合わせされた前記スタック構造を部分的にエッチングするステップを含む、請求項1に記載の方法。
  14. 前記太い配線を形成するステップが、前記少なくとも1つの部分ビアの前記形成後に前記スタック構造上にネガ・フォトレジストを付着させるステップと、前記少なくとも1つの部分ビアから離れた前記ネガ・フォトレジストを露出してボーダを形成するステップと、さらに前記スタック構造内に入るように前記少なくとも1つの部分ビアをエッチングし、選択エッチングを行い少なくとも1つのトラフを形成するステップとを含み、前記選択エッチングが、下にある金属層およびMIMキャパシタのうちの少なくとも1つに付着させた前記少なくとも1つのエッチング・ストップ層に対して選択的なものである、請求項1に記載の方法。
  15. 集積回路チップを形成するために請求項1に記載の前記ステップを実施するステップをさらに含む、請求項1に記載の方法。
  16. 請求項1に記載の前記ステップが、デュアル・ダマシン銅後工程(BEOL)プロセスであり、ワイヤおよびビア高として定義された銅層が3.5ミクロン以上の厚さを有する、請求項1に記載の方法。
  17. 前記少なくとも1つのエッチング・ストップ層までビア・エッチングを続行しながら、選択エッチングを使用して太い配線を形成するステップが、前記ビアが前記少なくとも1つのエッチング・ストップ層まで延びる前に上部埋め込みエッチング層まで延びるトラフ・エッチングを含む、請求項1に記載の方法。
  18. デュアル・ダマシン銅後工程(BEOL)構造を形成する方法であって、
    少なくとも1つの下にある金属層と位置合わせされた部分高ビアを形成するステップと、
    ネガ・フォトレジスト材料を塗布するステップと、
    前記部分高ビアに最も近い前記ネガ・フォトレジスト材料内にボーダを形成するステップと、
    追加の深さまで前記部分高ビアをエッチングし、選択エッチングを行いトラフを形成するステップと、
    前記BEOL構造内にMIMキャパシタを組み込むステップと、
    を含む、方法。
  19. 前記エッチングするステップが、金属層および前記MIMキャパシタの上の少なくとも1つのエッチング・ストップ層までエッチングするステップを含む、請求項18に記載の方法。
  20. 第1の低誘電率(k)誘電体材料内に形成された前記下にある金属層を準備するステップと、
    前記第1の低誘電率誘電体材料上にエッチング・ストップ層を形成するステップと、
    前記エッチング・ストップ層上に酸化物層および層間誘電体層を形成するステップと、
    二酸化シリコン層内に前記MIMキャパシタを埋め込むステップと、
    前記MIMキャパシタ上にキャップ層を形成するステップと、
    前記層間誘電体層上に第2のエッチング・ストップ層を形成するステップと、
    前記エッチング・ストップ層上に第3の低誘電率誘電体層を形成するステップと、
    をさらに含む、請求項18に記載の方法。
  21. 前記エッチング・ストップ層および前記第2のエッチング・ストップ層が、窒化シリコン、炭窒化シリコン、酸炭窒化シリコン、および炭化シリコンからなるエッチング・ストップ層のうちの少なくとも1つである、請求項20に記載の方法。
  22. 前記MIMキャパシタが、窒化シリコン、炭窒化シリコン、酸炭窒化シリコン、および炭化シリコンからなるエッチング・ストップ層のうちの少なくとも1つを有する複数のプレートを使用して形成される、請求項20に記載の方法。
  23. 前記追加の深さまで前記部分高ビアをエッチングし、選択エッチングを行いトラフを形成するステップが、前記ビアが少なくとも別のエッチング・ストップ層に突き当たる前に上部埋め込みエッチング層まで前記トラフをエッチングするステップを含む、請求項18に記載の方法。
  24. 前記ボーダを形成するステップが、前記部分高ビアから離れた前記ネガ・フォトレジストの部分を露出するステップを含む、請求項18に記載の方法。
  25. 前記トラフをエッチングするステップが、前記MIMキャパシタを覆うキャップ層および下にある金属層のうちの少なくとも1つまで選択的にエッチングするステップを含む、請求項18に記載の方法。
  26. 前記追加の深さまで部分高ビアをエッチングし、選択エッチングを行いトラフを形成するステップが、前記下にある金属層および前記MIMキャパシタのうちの少なくとも1つと位置合わせされる、請求項18に記載の方法。
  27. 太いワイヤ構造を形成するためのデュアル・ダマシン方法であって、
    スタック構造内に部分ビアを形成するステップと、
    前記部分ビアの前記形成後に前記スタック構造上にネガ・フォトレジストを付着させるステップと、
    前記部分ビアから離れた前記ネガ・フォトレジストを露出して、前記部分ビアの上にボーダを形成するステップと、
    さらに前記スタック構造内に入るように前記部分ビアをエッチングするステップと、
    前記部分ビア内に選択エッチングを行いトラフを形成するステップであって、前記選択エッチングが下にある金属層の少なくとも1つに付着させた少なくとも1つのエッチング・ストップ層に対して選択的なものであり、MIMが、少なくとも、上部プレートと、MIM誘電体と、下部プレートとを有するステップと、
    を含む、方法。
  28. 前記太いワイヤ構造が3.5ミクロン以上の厚さを有する、請求項27に記載の方法。
  29. 集積回路チップを形成するために請求項1に記載の前記ステップを実施するステップをさらに含む、請求項27に記載の方法。
  30. 前記ビアが前記上部プレートを貫通して完全にエッチングされず、前記MIM誘電体と接触しないようなスパッタ除去と同等の、10ナノメートル未満の酸化物からなるMIM上部プレートのスパッタ洗浄除去を含む、前記MIMキャパシタを形成するステップをさらに含む、請求項27に記載の方法。
  31. 前記少なくとも1つのエッチング・ストップが、第1のエッチング・ストップと第2のエッチング・ストップであり、前記第1のエッチング・ストップが前記下にある金属層の上に形成され、前記第2のエッチング・ストップが前記MIMキャパシタの表面上に形成され、前記第1のエッチング・ストップの高さより大きい高さまで形成される、請求項30に記載の方法。
  32. 第1の誘電体層内に形成されたダマシン銅線と、
    前記ダマシン銅線を覆うエッチング・ストップ層と、
    前記エッチング・ストップ層上の第2の誘電体層と、
    前記第2の誘電体層上の第2のエッチング・ストップ層と、
    前記エッチング・ストップ層上の第3の誘電体層と、
    前記第1、第2、および第3の誘電体層を貫通して形成され、前記ダマシン銅線に接触する、1.5ミクロン以上の高さのビアと、
    前記第2のエッチング・ストップに最も近く形成され、実質的に軸方向に前記ビアと一直線になり、前記ビアより大きい幅を有する、2ミクロン以上の高さのトラフと、
    を含む、太いワイヤ構造。
  33. 酸化物層内に埋め込まれたMIMキャパシタと、前記MIMキャパシタの上に形成されたエッチング・ストップ層とをさらに含み、前記ビアが前記MIMキャパシタの上に形成された前記エッチング・ストップ層と一直線になり、前記エッチング・ストップ層まで延び、前記トラフが前記MIMキャパシタの上に形成された前記ビアと軸方向に一直線になり、前記第2のエッチング・ストップの近くで止まる、請求項32に記載の構造。
  34. 誘電体スタックが5.5μmの高さであり、3.5μmの高さのワイヤを有し、前記ビアが1.2μmの最小幅を有する、請求項32に記載の構造。
  35. FSG(フッ素ドープ・シリケート・ガラス)誘電体材料内に形成された下にあるワイヤと、
    前記下にあるワイヤを覆う第1の窒化物キャップ層と、
    前記第1の窒化物キャップ層上に形成された層間層と、
    前記層間層の一部分に埋め込まれたMIMキャパシタと、
    前記MIMキャパシタ層上に形成されたMIMエッチング・ストップ・キャップ層であって、前記第1の窒化物キャップより大きい厚さを有するMIMエッチング・ストップ・キャップ層と、
    前記層間層上に形成された第2の窒化物キャップ層と、
    前記第2の窒化物キャップ上に形成されたFSG誘電体層と、
    前記下にあるワイヤおよび前記MIMキャパシタのうちの少なくとも1つと一直線になり、前記MIM窒化物キャップ層および前記第1の窒化物キャップ層に最も近いところまで延びるビアと、
    実質的に軸方向に前記ビアと一直線になり、前記ビアより大きい幅を有し、前記第2の窒化物キャップ層まで延びるトラフと、
    を含む、太いワイヤ構造。
  36. 前記MIMキャパシタが、W、WN、TiN、Ta、TaN、およびTiSiNのうちの少なくとも1つを含む耐熱金属または合金からなる、請求項35に記載の構造。
JP2008551545A 2006-01-19 2007-01-19 太いワイヤ構造およびそれを形成するためのデュアル・ダマシン方法(太いワイヤ構造を形成するためのデュアル・ダマシン・プロセス) Pending JP2009524257A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/275,604 US7602068B2 (en) 2006-01-19 2006-01-19 Dual-damascene process to fabricate thick wire structure
PCT/US2007/060767 WO2007084982A2 (en) 2006-01-19 2007-01-19 Dual-damascene process to fabricate thick wire structure

Publications (1)

Publication Number Publication Date
JP2009524257A true JP2009524257A (ja) 2009-06-25

Family

ID=36316858

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008551545A Pending JP2009524257A (ja) 2006-01-19 2007-01-19 太いワイヤ構造およびそれを形成するためのデュアル・ダマシン方法(太いワイヤ構造を形成するためのデュアル・ダマシン・プロセス)

Country Status (6)

Country Link
US (4) US7602068B2 (ja)
EP (1) EP1974379A4 (ja)
JP (1) JP2009524257A (ja)
CN (1) CN101366116B (ja)
TW (1) TWI397948B (ja)
WO (1) WO2007084982A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112885781A (zh) * 2019-11-29 2021-06-01 长鑫存储技术有限公司 有源区的制备方法及半导体器件

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100703025B1 (ko) * 2005-07-05 2007-04-06 삼성전자주식회사 반도체 장치에서 금속 배선 형성 방법.
US7602068B2 (en) * 2006-01-19 2009-10-13 International Machines Corporation Dual-damascene process to fabricate thick wire structure
US7759244B2 (en) * 2007-05-10 2010-07-20 United Microelectronics Corp. Method for fabricating an inductor structure or a dual damascene structure
US8283258B2 (en) * 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
US7470616B1 (en) * 2008-05-15 2008-12-30 International Business Machines Corporation Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US8089135B2 (en) * 2008-07-30 2012-01-03 International Business Machine Corporation Back-end-of-line wiring structures with integrated passive elements and design structures for a radiofrequency integrated circuit
US8890287B2 (en) * 2009-05-29 2014-11-18 Power Gold LLC Integrated nano-farad capacitors and method of formation
US8227339B2 (en) 2009-11-02 2012-07-24 International Business Machines Corporation Creation of vias and trenches with different depths
JP5218460B2 (ja) 2010-03-26 2013-06-26 セイコーエプソン株式会社 焦電型光検出器、焦電型光検出装置及び電子機器
US8956903B2 (en) 2010-06-25 2015-02-17 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
JP5824330B2 (ja) * 2011-11-07 2015-11-25 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US9082624B2 (en) 2013-01-02 2015-07-14 International Business Machines Corporation Signal path of a multiple-patterned semiconductor device
US8866306B2 (en) 2013-01-02 2014-10-21 International Business Machines Corporation Signal path and method of manufacturing a multiple-patterned semiconductor device
US9257496B2 (en) * 2013-01-16 2016-02-09 United Microelectronics Corporation Method of fabricating capacitor structure
WO2014209302A1 (en) * 2013-06-26 2014-12-31 Intel Corporation Metal-insulator-metal on-die capacitor with partial vias
US9099533B2 (en) 2013-07-02 2015-08-04 International Business Machines Corporation Semiconductor device with distinct multiple-patterned conductive tracks on a same level
US9502346B2 (en) 2013-08-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with a sidewall layer and an ultra-thick metal layer and method of making
US9761489B2 (en) * 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9577025B2 (en) * 2014-01-31 2017-02-21 Qualcomm Incorporated Metal-insulator-metal (MIM) capacitor in redistribution layer (RDL) of an integrated device
US9373582B1 (en) * 2015-06-24 2016-06-21 International Business Machines Corporation Self aligned via in integrated circuit
US9711464B2 (en) 2015-09-23 2017-07-18 International Business Machines Corporation Semiconductor chip with anti-reverse engineering function
US9536832B1 (en) * 2015-12-30 2017-01-03 International Business Machines Corporation Junctionless back end of the line via contact
US10164003B2 (en) * 2016-01-14 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. MIM capacitor and method of forming the same
EP3389085B1 (en) 2017-04-12 2019-11-06 Nxp B.V. Method of making a plurality of packaged semiconductor devices
CN110648960B (zh) * 2018-06-27 2021-12-28 中电海康集团有限公司 Mram器件与其制作方法
KR20200051215A (ko) * 2018-11-05 2020-05-13 삼성전기주식회사 인쇄회로기판 및 이를 포함하는 패키지 구조물
KR20210009493A (ko) 2019-07-17 2021-01-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11049764B1 (en) * 2019-12-12 2021-06-29 United Microelectronics Corp. Method for fabricating a semiconductor device
US11195792B2 (en) * 2020-01-10 2021-12-07 International Business Machines Corporation Top via stack
US11876047B2 (en) * 2021-09-14 2024-01-16 International Business Machines Corporation Decoupled interconnect structures

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000124306A (ja) * 1998-10-14 2000-04-28 Fujitsu Ltd 半導体装置及びその製造方法
JP2001257260A (ja) * 2000-01-06 2001-09-21 Matsushita Electric Ind Co Ltd 配線形成方法
JP2003158190A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2003197745A (ja) * 2001-12-15 2003-07-11 Hynix Semiconductor Inc 半導体素子の製造方法
JP2004061665A (ja) * 2002-07-25 2004-02-26 Hitachi Ltd 半導体装置の製造方法
JP2005522053A (ja) * 2002-04-02 2005-07-21 ダウ グローバル テクノロジーズ インコーポレイティド デュアルダマシン配線をパターン形成する三層マスキングアーキテクチャ
WO2005074449A2 (en) * 2004-01-30 2005-08-18 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US6143646A (en) * 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6143640A (en) 1997-09-23 2000-11-07 International Business Machines Corporation Method of fabricating a stacked via in copper/polyimide beol
US6204166B1 (en) * 1998-08-21 2001-03-20 Micron Technology, Inc. Method for forming dual damascene structures
US6187663B1 (en) * 1999-01-19 2001-02-13 Taiwan Semiconductor Manufacturing Company Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
KR100280288B1 (ko) * 1999-02-04 2001-01-15 윤종용 반도체 집적회로의 커패시터 제조방법
US6429119B1 (en) 1999-09-27 2002-08-06 Taiwan Semiconductor Manufacturing Company Dual damascene process to reduce etch barrier thickness
US6466427B1 (en) 2000-05-31 2002-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectronic capacitor structure compatible with copper containing microelectronic conductor layer processing
KR100403611B1 (ko) * 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
US6383920B1 (en) 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
TW502383B (en) * 2001-06-15 2002-09-11 United Microelectronics Corp Fabrication method of dual damascene structure without trench-filling material
CN1405877A (zh) * 2001-09-18 2003-03-26 矽统科技股份有限公司 在镶嵌制程中形成金属电容器的方法及其产品
US6589881B2 (en) * 2001-11-27 2003-07-08 United Microelectronics Corp. Method of forming dual damascene structure
DE10161285A1 (de) * 2001-12-13 2003-07-03 Infineon Technologies Ag Integriertes Halbleiterprodukt mit Metall-Isolator-Metall-Kondensator
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
JP2003249547A (ja) * 2002-02-22 2003-09-05 Mitsubishi Electric Corp 配線間の接続構造及びその製造方法
US6828245B2 (en) 2002-03-02 2004-12-07 Taiwan Semiconductor Manufacturing Co. Ltd Method of improving an etching profile in dual damascene etching
US6881999B2 (en) * 2002-03-21 2005-04-19 Samsung Electronics Co., Ltd. Semiconductor device with analog capacitor and method of fabricating the same
US6797630B1 (en) 2002-06-28 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Partial via hard mask open on low-k dual damascene etch with dual hard mask (DHM) approach
US6794262B2 (en) * 2002-09-23 2004-09-21 Infineon Technologies Ag MIM capacitor structures and fabrication methods in dual-damascene structures
US7449407B2 (en) 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
CN100362646C (zh) * 2002-12-27 2008-01-16 富士通株式会社 半导体装置、dram集成电路装置及其制造方法
JP2004214566A (ja) 2003-01-08 2004-07-29 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP2004273739A (ja) 2003-03-07 2004-09-30 Seiko Epson Corp 半導体装置の製造方法
JP2004273939A (ja) * 2003-03-11 2004-09-30 Toshiba Corp 半導体装置およびその製造方法
WO2004088745A1 (ja) * 2003-03-28 2004-10-14 Fujitsu Limited 半導体装置
US6949442B2 (en) * 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US6946391B2 (en) 2003-09-08 2005-09-20 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming dual damascenes
JP2005150237A (ja) 2003-11-12 2005-06-09 Toshiba Corp 半導体装置及びその製造方法
US20050266679A1 (en) * 2004-05-26 2005-12-01 Jing-Cheng Lin Barrier structure for semiconductor devices
KR100755365B1 (ko) * 2005-02-15 2007-09-04 삼성전자주식회사 엠. 아이. 엠 커패시터들 및 그 형성방법들
US20060197183A1 (en) * 2005-03-01 2006-09-07 International Business Machines Corporation Improved mim capacitor structure and process
US7238619B2 (en) * 2005-07-06 2007-07-03 United Microelectronics Corp. Method for eliminating bridging defect in via first dual damascene process
US7602068B2 (en) * 2006-01-19 2009-10-13 International Machines Corporation Dual-damascene process to fabricate thick wire structure

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000124306A (ja) * 1998-10-14 2000-04-28 Fujitsu Ltd 半導体装置及びその製造方法
JP2001257260A (ja) * 2000-01-06 2001-09-21 Matsushita Electric Ind Co Ltd 配線形成方法
JP2003158190A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2003197745A (ja) * 2001-12-15 2003-07-11 Hynix Semiconductor Inc 半導体素子の製造方法
JP2005522053A (ja) * 2002-04-02 2005-07-21 ダウ グローバル テクノロジーズ インコーポレイティド デュアルダマシン配線をパターン形成する三層マスキングアーキテクチャ
JP2004061665A (ja) * 2002-07-25 2004-02-26 Hitachi Ltd 半導体装置の製造方法
WO2005074449A2 (en) * 2004-01-30 2005-08-18 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112885781A (zh) * 2019-11-29 2021-06-01 长鑫存储技术有限公司 有源区的制备方法及半导体器件
CN112885781B (zh) * 2019-11-29 2022-06-24 长鑫存储技术有限公司 有源区的制备方法及半导体器件

Also Published As

Publication number Publication date
US20120190164A1 (en) 2012-07-26
US20100009509A1 (en) 2010-01-14
US20140151899A1 (en) 2014-06-05
TW200809923A (en) 2008-02-16
US8753950B2 (en) 2014-06-17
US7602068B2 (en) 2009-10-13
US8236663B2 (en) 2012-08-07
CN101366116A (zh) 2009-02-11
EP1974379A2 (en) 2008-10-01
EP1974379A4 (en) 2011-06-01
WO2007084982A3 (en) 2007-11-29
TWI397948B (zh) 2013-06-01
US9171778B2 (en) 2015-10-27
WO2007084982A2 (en) 2007-07-26
CN101366116B (zh) 2012-09-26
US20070190718A1 (en) 2007-08-16
WO2007084982A8 (en) 2008-07-10

Similar Documents

Publication Publication Date Title
JP2009524257A (ja) 太いワイヤ構造およびそれを形成するためのデュアル・ダマシン方法(太いワイヤ構造を形成するためのデュアル・ダマシン・プロセス)
JP3778487B2 (ja) 金属キャパシタの形成方法
US7871923B2 (en) Self-aligned air-gap in interconnect structures
US6177329B1 (en) Integrated circuit structures having gas pockets and method for forming integrated circuit structures having gas pockets
US11011421B2 (en) Semiconductor device having voids and method of forming same
JP2001338978A (ja) 半導体装置及びその製造方法
US20030164532A1 (en) Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US20070077700A1 (en) Capacitance process using passivation film scheme
US6406992B1 (en) Fabrication method for a dual damascene structure
CN115547979A (zh) 一种金属互连结构及其制备方法
US7375028B2 (en) Method for manufacturing a semiconductor device
US20040222530A1 (en) Semiconductor device having low-k dielectric film in pad region and method for manufacturing thereof
JP2023553604A (ja) セルフアラインされたトップ・ビア
US7112537B2 (en) Method of fabricating interconnection structure of semiconductor device
US7901976B1 (en) Method of forming borderless contacts
US7361575B2 (en) Semiconductor device and method for manufacturing the same
US20230178379A1 (en) Film deposition for patterning process
JP2004072107A (ja) 変形されたデュアルダマシン工程を利用した半導体素子の金属配線形成方法
KR100450241B1 (ko) 플러그 형성 방법 및 이 플러그를 갖는 반도체 소자
US6812128B2 (en) Method of manufacturing multilayer structured semiconductor device
KR100485180B1 (ko) 반도체 소자의 제조 방법
KR20030048220A (ko) 반도체 소자의 제조 방법
KR20040025110A (ko) 반도체 소자의 텅스텐 플러그 형성 방법
KR20040011252A (ko) 반도체 소자 및 그 제조 방법
KR20040022627A (ko) 반도체 소자의 콘택홀 형성 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090319

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120731

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130219

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130312