JP2009514238A - 半導体接合部に薄膜物質を選択的に堆積させる方法 - Google Patents
半導体接合部に薄膜物質を選択的に堆積させる方法 Download PDFInfo
- Publication number
- JP2009514238A JP2009514238A JP2008538171A JP2008538171A JP2009514238A JP 2009514238 A JP2009514238 A JP 2009514238A JP 2008538171 A JP2008538171 A JP 2008538171A JP 2008538171 A JP2008538171 A JP 2008538171A JP 2009514238 A JP2009514238 A JP 2009514238A
- Authority
- JP
- Japan
- Prior art keywords
- concentration
- substrate
- range
- layer
- metal
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 195
- 238000000151 deposition Methods 0.000 title claims abstract description 68
- 239000000463 material Substances 0.000 title claims abstract description 38
- 239000004065 semiconductor Substances 0.000 title description 7
- 239000010409 thin film Substances 0.000 title description 3
- 239000000758 substrate Substances 0.000 claims abstract description 145
- 230000008569 process Effects 0.000 claims abstract description 131
- 229910052751 metal Inorganic materials 0.000 claims abstract description 122
- 239000002184 metal Substances 0.000 claims abstract description 120
- 150000001875 compounds Chemical class 0.000 claims abstract description 69
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 claims abstract description 59
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims abstract description 55
- 229910021332 silicide Inorganic materials 0.000 claims abstract description 55
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims abstract description 53
- 229910000040 hydrogen fluoride Inorganic materials 0.000 claims abstract description 42
- 230000008021 deposition Effects 0.000 claims abstract description 38
- HZAXFHJVJLSVMW-UHFFFAOYSA-N 2-Aminoethan-1-ol Chemical compound NCCO HZAXFHJVJLSVMW-UHFFFAOYSA-N 0.000 claims abstract description 37
- 238000005137 deposition process Methods 0.000 claims abstract description 37
- 229910052721 tungsten Inorganic materials 0.000 claims abstract description 36
- 239000010937 tungsten Substances 0.000 claims abstract description 36
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims abstract description 34
- 229910052990 silicon hydride Inorganic materials 0.000 claims abstract description 29
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims abstract description 28
- 239000000872 buffer Substances 0.000 claims abstract description 28
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims abstract description 21
- 239000002904 solvent Substances 0.000 claims abstract description 19
- -1 metal complex compound Chemical class 0.000 claims abstract description 18
- 229910052759 nickel Inorganic materials 0.000 claims abstract description 12
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 56
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 claims description 46
- 229910017052 cobalt Inorganic materials 0.000 claims description 19
- 239000010941 cobalt Substances 0.000 claims description 19
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 19
- WEVYAHXRMPXWCK-UHFFFAOYSA-N Acetonitrile Chemical group CC#N WEVYAHXRMPXWCK-UHFFFAOYSA-N 0.000 claims description 15
- 229910045601 alloy Inorganic materials 0.000 claims description 11
- 239000000956 alloy Substances 0.000 claims description 11
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 claims description 6
- 229910001080 W alloy Inorganic materials 0.000 claims description 6
- HOMQMIYUSVQSHM-UHFFFAOYSA-N cycloocta-1,3-diene;nickel Chemical compound [Ni].C1CCC=CC=CC1.C1CCC=CC=CC1 HOMQMIYUSVQSHM-UHFFFAOYSA-N 0.000 claims description 6
- FQNHWXHRAUXLFU-UHFFFAOYSA-N carbon monoxide;tungsten Chemical group [W].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-] FQNHWXHRAUXLFU-UHFFFAOYSA-N 0.000 claims description 5
- 239000007769 metal material Substances 0.000 claims 4
- 239000010410 layer Substances 0.000 description 181
- 239000000243 solution Substances 0.000 description 136
- 229910052710 silicon Inorganic materials 0.000 description 91
- 239000010703 silicon Substances 0.000 description 91
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 90
- 239000000203 mixture Substances 0.000 description 25
- 238000004140 cleaning Methods 0.000 description 22
- 239000012141 concentrate Substances 0.000 description 21
- 238000005530 etching Methods 0.000 description 16
- 238000011049 filling Methods 0.000 description 16
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 15
- 230000015572 biosynthetic process Effects 0.000 description 15
- 239000001301 oxygen Substances 0.000 description 15
- 229910052760 oxygen Inorganic materials 0.000 description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 14
- 229910052814 silicon oxide Inorganic materials 0.000 description 14
- 238000005229 chemical vapour deposition Methods 0.000 description 13
- 238000004519 manufacturing process Methods 0.000 description 13
- 229910052987 metal hydride Inorganic materials 0.000 description 13
- 150000004681 metal hydrides Chemical class 0.000 description 13
- 238000000231 atomic layer deposition Methods 0.000 description 12
- 150000004678 hydrides Chemical class 0.000 description 12
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 12
- 239000003638 chemical reducing agent Substances 0.000 description 11
- 239000010408 film Substances 0.000 description 11
- 239000011800 void material Substances 0.000 description 11
- 238000006243 chemical reaction Methods 0.000 description 10
- 238000001465 metallisation Methods 0.000 description 10
- 238000002156 mixing Methods 0.000 description 9
- 238000012545 processing Methods 0.000 description 9
- 239000010936 titanium Substances 0.000 description 9
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 8
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 7
- 239000000654 additive Substances 0.000 description 7
- 230000004888 barrier function Effects 0.000 description 7
- 229910052715 tantalum Inorganic materials 0.000 description 7
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 7
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 6
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 6
- 230000007547 defect Effects 0.000 description 6
- 238000001035 drying Methods 0.000 description 6
- 150000002739 metals Chemical class 0.000 description 6
- 238000007254 oxidation reaction Methods 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 229910052719 titanium Inorganic materials 0.000 description 6
- 235000012431 wafers Nutrition 0.000 description 6
- 230000000996 additive effect Effects 0.000 description 5
- 239000004020 conductor Substances 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- 230000003647 oxidation Effects 0.000 description 5
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 5
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 4
- 239000002253 acid Substances 0.000 description 4
- 230000002378 acidificating effect Effects 0.000 description 4
- 238000000137 annealing Methods 0.000 description 4
- 239000007864 aqueous solution Substances 0.000 description 4
- 239000000356 contaminant Substances 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 239000008367 deionised water Substances 0.000 description 4
- 229910021641 deionized water Inorganic materials 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 229910052731 fluorine Inorganic materials 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- RMAQACBXLXPBSY-UHFFFAOYSA-N silicic acid Chemical compound O[Si](O)(O)O RMAQACBXLXPBSY-UHFFFAOYSA-N 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 3
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 3
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 3
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 description 3
- 239000008139 complexing agent Substances 0.000 description 3
- 239000010432 diamond Substances 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- 239000007791 liquid phase Substances 0.000 description 3
- 239000003002 pH adjusting agent Substances 0.000 description 3
- 230000036961 partial effect Effects 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- 239000005368 silicate glass Substances 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 238000006884 silylation reaction Methods 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- 238000009736 wetting Methods 0.000 description 3
- 239000000080 wetting agent Substances 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 2
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 2
- DHMQDGOQFOQNFH-UHFFFAOYSA-N Glycine Chemical compound NCC(O)=O DHMQDGOQFOQNFH-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 238000009835 boiling Methods 0.000 description 2
- 239000002738 chelating agent Substances 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- WHDPTDWLEKQKKX-UHFFFAOYSA-N cobalt molybdenum Chemical compound [Co].[Co].[Mo] WHDPTDWLEKQKKX-UHFFFAOYSA-N 0.000 description 2
- ZEWGRSAJWPFTRK-UHFFFAOYSA-N cobalt rhenium Chemical compound [Co].[Re] ZEWGRSAJWPFTRK-UHFFFAOYSA-N 0.000 description 2
- JPNWDVUTVSTKMV-UHFFFAOYSA-N cobalt tungsten Chemical compound [Co].[W] JPNWDVUTVSTKMV-UHFFFAOYSA-N 0.000 description 2
- 150000004696 coordination complex Chemical class 0.000 description 2
- 238000007872 degassing Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000005429 filling process Methods 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 150000004820 halides Chemical class 0.000 description 2
- 238000006459 hydrosilylation reaction Methods 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 239000003446 ligand Substances 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000002844 melting Methods 0.000 description 2
- 230000008018 melting Effects 0.000 description 2
- 150000002736 metal compounds Chemical class 0.000 description 2
- 229940087646 methanolamine Drugs 0.000 description 2
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 2
- 229910021334 nickel silicide Inorganic materials 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 150000003839 salts Chemical class 0.000 description 2
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 2
- 239000003381 stabilizer Substances 0.000 description 2
- 229910021642 ultra pure water Inorganic materials 0.000 description 2
- 239000012498 ultrapure water Substances 0.000 description 2
- 238000005019 vapor deposition process Methods 0.000 description 2
- JRTIUDXYIUKIIE-KZUMESAESA-N (1z,5z)-cycloocta-1,5-diene;nickel Chemical compound [Ni].C\1C\C=C/CC\C=C/1.C\1C\C=C/CC\C=C/1 JRTIUDXYIUKIIE-KZUMESAESA-N 0.000 description 1
- PRBHEGAFLDMLAL-GQCTYLIASA-N (4e)-hexa-1,4-diene Chemical compound C\C=C\CC=C PRBHEGAFLDMLAL-GQCTYLIASA-N 0.000 description 1
- OTJFQRMIRKXXRS-UHFFFAOYSA-N (hydroxymethylamino)methanol Chemical compound OCNCO OTJFQRMIRKXXRS-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-M Bromide Chemical compound [Br-] CPELXLSAUQHCOX-UHFFFAOYSA-M 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- 229910000531 Co alloy Inorganic materials 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 239000004471 Glycine Substances 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 1
- 206010023202 Joint deposit Diseases 0.000 description 1
- OFOBLEOULBTSOW-UHFFFAOYSA-N Malonic acid Chemical compound OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- YZCKVEUIGOORGS-IGMARMGPSA-N Protium Chemical compound [1H] YZCKVEUIGOORGS-IGMARMGPSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 125000005262 alkoxyamine group Chemical group 0.000 description 1
- 150000003973 alkyl amines Chemical class 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 150000001413 amino acids Chemical class 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 125000000129 anionic group Chemical group 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 229910002056 binary alloy Inorganic materials 0.000 description 1
- HZEIHKAVLOJHDG-UHFFFAOYSA-N boranylidynecobalt Chemical compound [Co]#B HZEIHKAVLOJHDG-UHFFFAOYSA-N 0.000 description 1
- 239000006172 buffering agent Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 1
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 1
- 239000003054 catalyst Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000009920 chelation Effects 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 150000004985 diamines Chemical class 0.000 description 1
- MQIKJSYMMJWAMP-UHFFFAOYSA-N dicobalt octacarbonyl Chemical group [Co+2].[Co+2].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-] MQIKJSYMMJWAMP-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000007865 diluting Methods 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000003487 electrochemical reaction Methods 0.000 description 1
- 238000004070 electrodeposition Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- NWPSXIIMCYBZTQ-UHFFFAOYSA-N ethanol;methanol Chemical compound OC.CCO.CCO NWPSXIIMCYBZTQ-UHFFFAOYSA-N 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- 125000000524 functional group Chemical group 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229910052986 germanium hydride Inorganic materials 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical group 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 239000000852 hydrogen donor Substances 0.000 description 1
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- XMYQHJDBLRZMLW-UHFFFAOYSA-N methanolamine Chemical compound NCO XMYQHJDBLRZMLW-UHFFFAOYSA-N 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- GSWAOPJLTADLTN-UHFFFAOYSA-N oxidanimine Chemical compound [O-][NH3+] GSWAOPJLTADLTN-UHFFFAOYSA-N 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 238000006464 oxidative addition reaction Methods 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 239000006179 pH buffering agent Substances 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 238000006303 photolysis reaction Methods 0.000 description 1
- 230000015843 photosynthesis, light reaction Effects 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000768 polyamine Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 230000008929 regeneration Effects 0.000 description 1
- 238000011069 regeneration method Methods 0.000 description 1
- 238000010405 reoxidation reaction Methods 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- 229910052702 rhenium Inorganic materials 0.000 description 1
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 238000002444 silanisation Methods 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 238000000638 solvent extraction Methods 0.000 description 1
- 229910002058 ternary alloy Inorganic materials 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/02—Inorganic compounds
- C11D7/04—Water-soluble compounds
- C11D7/08—Acids
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/22—Organic compounds
- C11D7/32—Organic compounds containing nitrogen
- C11D7/3218—Alkanolamines or alkanolimines
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28518—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D2111/00—Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
- C11D2111/10—Objects to be cleaned
- C11D2111/14—Hard surfaces
- C11D2111/22—Electronic devices, e.g. PCBs or semiconductors
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Organic Chemistry (AREA)
- Life Sciences & Earth Sciences (AREA)
- Wood Science & Technology (AREA)
- Oil, Petroleum & Natural Gas (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemically Coating (AREA)
- Cleaning Or Drying Semiconductors (AREA)
Abstract
【選択図】 図2G
Description
[0001]本発明の実施形態は、一般的には、基板上に物質を堆積する方法に関し、より詳細には、高アスペクト比コンタクト内のアパーチャを充填する方法に関する。
[0002]マルチレベルの45nmノードメタライゼーションは、次世代の超大規模集積回路(VLSI)の重要な技術の一つである。この技術の中心にあるマルチレベル相互接続部は、コンタクト、バイア、ライン、他のアパーチャを含む、小臨界寸法と高アスペクト比を有する特徴部を持つ。これらの特徴部の信頼できる形成は、VLSIの成功と個々の基板上の質と回路密度を高める継続した努力が非常に重要である。それ故、高アスペクト比が10:1(高さ:幅)以上のコンタクト抵抗の小さいボイドのない特徴部の形成に向けられた多大な努力が継続している。
[0035]本発明のプロセス順序300の第一ステップ310は、低品質酸化物層をシリコン接合部202の表面から除去し、その後、シリコン接合部202の表面上にシリコン、水素化シリコン(例えば、SiHx、ここで、x=1、2又は3)、水酸化シリコン(例えば、Si(OH)x、ここで、x=1、2又は3)、又はそれらの組合わせ(例えば、SiHx(OH)y、ここで、x=1又は2、y=1又は2)を含有することができる水素化シリコン層214を形成するように適合される。好ましくは、水素化シリコン層214は、実質的に、水素化シリコンを含有する。水素化シリコン層214の形成は、以下に記載される続いての金属堆積ステップを容易にするために用いることができる。一般に、たいていは、続いて堆積される金属膜又は続いて形成されるシリサイドコンタクトに酸素を取り込む可能性を減少させるので、シリコン連結表面202上の水素化シリコン層の形成は水酸化シリコンより好ましい。本発明の範囲が本明細書に記載される水素化シリコン層を形成するプロセスに限定されるものでないので、水素化シリコンの形成が他のいかなる従来のプロセス、例えば、カリフォルニア州サンタクララにあるAppliedMaterials社から入手できるSICONITMプラズマ援助洗浄プロセスによっても形成することができることは留意すべきである。
[0046]図2D及び図2Eは、金属層を水素化シリコン層214上に堆積させてシリコン接合部202のさらされた表面上に水素化金属シリサイド層216を形成するプロセス300のステップ320を示す図である。一態様において、このプロセスは、残留水、大気中の酸素暴露、又はステップ410-440で意図的に形成されたものとシリコン接合部202のさらされた表面との相互作用のために、表面上に形成することができる水素化シリコン表面上で行うことができる。他の態様において、このプロセスは、水素化シリコン結合と水素化ゲルマニウム結合(Ge-Hx)の双方、例えば、組成物がSiGe合金を含むいくつかのコンタクトの表面上に存在することができるものを有する表面上で行うことができる。
[0060]本発明の実施形態において、任意により、シリコン接合部202の完全なカバレッジを確実にするためにステップ330(図3)で第二金属層218(図2F)が水素化金属シリサイド層216上に堆積されてもよい。一態様において、続いての高温シリサイド化プロセスが基板200上で行われる場合、シリコン接合部202接合部で形成することができる適切な量の金属シリサイドがあることを確実にして信頼できるコンタクトが形成されることを確実にするのに十分な金属を堆積することは望ましいことである。第二金属層218を添加するプロセスは、種々の従来の無電解、CVD又はALD堆積プロセスを用いて行うことができる。一態様において、第二金属層218は、ステップ320で堆積されたのと同一の物質から作られ、水素化金属シリサイド層216上に金属層を選択的に堆積させる無電解堆積プロセスを用いて堆積される。第二金属層218を堆積させるか又は本発明の他の態様を行うために用いることができる例示的な化学とプロセスの例は、更に、2006年3月20日出願の“ElectrolessDeposition Process and Compositions forForming interconnects”と称する共同譲渡された米国特許出願第11/385,290号(9916)、2006年3月20日出願の“ElectrolessDeposition Process on a SilisideContact”と称する共同譲渡された米国特許出願第11/385,047号(9916.02)、2006年3月20日出願の“ContactMetallization Scheme Using a BarrierLayer overa SilicideLayer”と称する共同譲渡された米国特許出願第11/385,344号(9916.03)、2006年3月20日出願の“ElectrolossDeposition Process on a SiliconContact”と称する共同譲渡された米国特許出願第11/385,043号(9916.04)、2006年3月20日出願の“In-situ Silicidation MetallizationProcess”と称する共同譲渡された米国特許出願第11/385,484号(9916.05)に記載され、これらの開示内容は全て本明細書に全体で援用されている。
[0061]本発明の一実施形態において、最終充填デバイスステップ340は、基板200上に形成されるコンタクトレベルアパーチャ210を充填するために行われる。一態様において、ステップ340は、ステップ320が完了した後に行われるので、任意のステップ330(上記)は必要としない。更に他の態様において、ステップ340は、ステップ320とステップ330がコンタクトレベルアパーチャ210上で完了した後に行われる。プロセス300の最後のステップは、基板200上に形成されるコンタクトレベルアパーチャ210を充填する金属層の堆積である。一般に、無電解堆積プロセスは、CVD又はALD堆積プロセスは、金属充填層220(図2G)がステップ340でコンタクトレベルアパーチャ210を充填させるために行われ得る。一態様において、金属充填層220は、従来のCVDタングステン堆積プロセスを用いて形成されるタングステン含有層である。ステップ340に用いられるタングステンCVD堆積プロセスは、約300℃〜約400℃の範囲の温度で従来の六フッ化タングステン前駆物質化学を用いて行うことができる。このステップにおいて、タングステンを含有する金属充填層220は、水素化金属シリサイド層216、又は第二金属層218上に直接堆積される。
[0093]例1-DEA-HF濃縮物:ジエタノールアミン(DEA)99.5%(1モル、105.1g)をその融点まで加熱し、最少の超純水に溶解して500mL容器内で濃縮液を形成する。容器に、200mLの希釈された10重量%のフッ化水素酸、又は1モルのHFを溶液の過度の加熱を充分防止するように徐々に添加する。溶液のpH値を、48重量%のHF又は33重量%の水酸化テトラメチルアンモニウム(TMAH)、又は非フッ化物含有酸、例えば、硫酸(H2SO4)を直接添加して所望されるpH範囲に調整する。その溶液を純水で500mLの容器に希釈する。DEA-HF濃縮物は、約2MのDEA濃縮物を有する。
200…基板、202…シリコン接合部、204…誘電体層、210…コンタクトレベルアパーチャ、212…酸化物表面、214…水素化シリコン層、216…水素化金属シリサイド層、218…第二金属層、220…金属充填層、700…基板、702…シリコン接合部、703…さらされた表面、704…誘電体層、705…側壁、706…コンタクトレベルアパーチャ、710…未変性酸化物表面、712…水素化物表面、714…金属層、716…金属シリサイド層、720…コンタクトプラグ。
Claims (31)
- 基板上に物質を堆積させる方法であって:
基板を酸化物エッチング緩衝液にさらして、前処理プロセスで該基板上に水素化シリコン層を形成するステップであって、該酸化物エッチング緩衝液が、ジエタノールアミンと、トリエタノールアミンと、フッ化水素とを含む、前記ステップと;
該基板上に金属シリサイド層を堆積させるステップと;
該金属シリサイド層上に金属物質を堆積させるステップと;
を含む前記方法。 - 該金属シリサイド層が、コバルト、ニッケル、タングステン、それらの合金、又はそれらの組合わせを含む、請求項1に記載の方法。
- 該金属シリサイド層を、無電解堆積プロセスで該基板を堆積溶液にさらすことによって堆積させる、請求項2に記載の方法。
- 該堆積溶液が、溶媒と金属錯体化合物とを含む、請求項3に記載の方法。
- 該金属錯体化合物が、コバルトテトラカルボニル、ニッケルジシクロオクタジエン、及びタングステンカルボニルからなる群より選ばれる、請求項4に記載の方法。
- 該溶媒が、アセトニトリル又はプロピレングリコールモノメチルエーテルである、請求項5に記載の方法。
- 該金属物質が、タングステン又はタングステン合金を含む、請求項1に記載の方法。
- 該酸化物エッチング緩衝液が、更に:
質量で約0.5%〜約10%の範囲にある濃度の該ジエタノールアミンと;
質量で約0.5%〜約10%の範囲にある濃度の該トリエタノールアミンと;
質量で約0.5%〜約10%の範囲にある濃度の該フッ化水素と;
質量で約80%〜約98%の範囲にある濃度の該水と;
を含む、請求項1に記載の方法。 - 該酸化物エッチング緩衝液が、更に、約3.5〜約5の範囲にあるpH値と約10cP〜約30cPの範囲にある粘度を含む、請求項8に記載の方法。
- 該酸化物エッチング緩衝液が:
該ジエタノールアミンが約2%〜約3%の範囲にある濃度であり;
該トリエタノールアミンが約2%〜約3%の範囲にある濃度であり;
該フッ化水素が約1%〜約3%の範囲にある濃度であり;
該水が約88%〜約94%の範囲にある濃度であり;
該pH値が約4〜約4.5の範囲にあり;更に、
該粘度が約15cP〜約25cPの範囲にある;
ことを更に含む、請求項8に記載の方法。 - 基板上に物質を堆積させる方法であって:
基板を酸化物エッチング緩衝液にさらして、前処理プロセスで該基板上に水素化シリコン層を形成するステップであって、該酸化物エッチング緩衝液が、フッ化水素と、エタノールアミン、ジエタノールアミン、及びトリエタノールアミンからなる群より選ばれる化合物の少なくとも二つとを含む、前記ステップと;
該基板上に金属シリサイド層を堆積させるステップと;
該金属シリサイド層上に第一金属層を堆積させるステップと;
を含む前記方法。 - 該金属シリサイド層が、コバルト、ニッケル、タングステン、それらの合金、又はそれらの組合わせを含む、請求項11に記載の方法。
- 該金属シリサイド層を、無電解堆積プロセスで該基板を堆積溶液にさらすことによって堆積させる、請求項11に記載の方法。
- 該堆積溶液が、溶媒と金属錯体化合物とを含む、請求項13に記載の方法。
- 該金属錯体化合物が、コバルトテトラカルボニル、ニッケルジシクロオクタジエン、及びタングステンカルボニルからなる群より選ばれる、請求項14に記載の方法。
- 該溶媒が、アセトニトリル又はプロピレングリコールモノメチルエーテルである、請求項15に記載の方法。
- 該第一金属層上に第二金属層を堆積させるステップを更に含む、請求項11に記載の方法。
- 該第二金属層が、タングステン又はタングステン合金を含む、請求項17に記載の方法。
- 該酸化物エッチング緩衝液が、更に:
質量で約0.5%〜約10%の範囲にある濃度のジエタノールアミンと;
質量で約0.5%〜約10%の範囲にある濃度のトリエタノールアミンと;
質量で約0.5%〜約10%の範囲にある濃度の該フッ化水素と;
質量で約80%〜約98%の範囲にある濃度の該水と;
を含む、請求項11に記載の方法。 - 該酸化物エッチング緩衝液が、更に、約3.5〜約5の範囲にあるpH値と、約10cP〜約30cPの範囲にある粘度とを含む、請求項19に記載の方法。
- 該酸化物エッチング緩衝液が:
該ジエタノールアミンが約2%〜約3%の範囲にある濃度であり;
該トリエタノールアミンが約2%〜約3%の範囲にある濃度であり;
該フッ化水素が約1%〜約3%の範囲にある濃度であり;
該水が約88%〜約94%の範囲にある濃度であり;
該pH値が約4〜約4.5の範囲にあり;更に
該粘度約15cP〜約25cPの範囲にある;
ことを更に含む、請求項19に記載の方法。 - 基板上に物質を堆積させるための方法であって、
基板を酸化物エッチング緩衝液にさらして、前処理プロセスで該基板上に水素化シリコン層を形成するステップであって、該酸化物エッチング緩衝液が、フッ化水素と少なくとも二つの異なるアルカノールアミン化合物とを含む、前記ステップと;
該基板上に金属シリサイド層を堆積させるステップであって、該金属シリサイド層が、コバルト、ニッケル、及びタングステンからなる群より選ばれる少なくとも一つの元素を含む、前記ステップと;
該金属シリサイド層上に金属物質を堆積させるステップと;
を含む前記方法。 - 該金属シリサイド層を、無電解堆積プロセスで該基板を堆積溶液にさらすことによって堆積させる、請求項22に記載の方法。
- 該堆積容積が、溶媒と金属錯体化合物とを含む、請求項23に記載の方法。
- 該金属錯体化合物が、コバルトテトラカルボニル、ニッケルジシクロオクタジエン、及びタングステンカルボニルからなる群より選ばれる、請求項24に記載の方法。
- 該溶媒が、アセトニトリル又はプロピレングリコールモノメチルエーテルである、請求項25に記載の方法。
- 該金属物質が、タングステン又はタングステン合金を含む、請求項22に記載の方法。
- 該少なくとも二つの異なるアルカノールアミン化合物が、エタノールアミン、ジエタノールアミン、及びトリエタノールアミンからなる群より選ばれる、請求項22に記載の方法。
- 該酸化物エッチング緩衝液が、更に:
質量で約0.5%〜約10%の範囲にある濃度のジエタノールアミンと;
質量で約0.5%〜約10%の範囲にある濃度のトリエタノールアミンと;
質量で約0.5%〜約10%の範囲にある濃度の該フッ化水素と;
質量で約80%〜約98%の範囲にある濃度の該水と;
を含む、請求項28に記載の方法。 - 該酸化物エッチング緩衝液が、更に、約3.5〜約5の範囲にあるpH値と約10cP〜約30cPの範囲にある粘度とを含む、請求項29に記載の方法。
- 該酸化物エッチング緩衝液が:
該ジエタノールアミンが約2%〜約3%の範囲にある濃度であり;
該トリエタノールアミンが約2%〜約3%の範囲にある濃度であり;
該フッ化水素が約1%〜約3%の範囲にある濃度であり;
該水が約88%〜約94%の範囲にある濃度であり;
該pH値が約4〜約4.5の範囲にあり;更に
該粘度約15cP〜約25cPの範囲にある;
ことを更に含む、請求項29に記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US73162405P | 2005-10-28 | 2005-10-28 | |
US11/385,041 US20070099806A1 (en) | 2005-10-28 | 2006-03-20 | Composition and method for selectively removing native oxide from silicon-containing surfaces |
PCT/US2006/060273 WO2007111679A2 (en) | 2005-10-28 | 2006-10-26 | Method of selectively depositing a thin film material at a semiconductor interface |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2009514238A true JP2009514238A (ja) | 2009-04-02 |
JP2009514238A5 JP2009514238A5 (ja) | 2009-12-03 |
Family
ID=37997204
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2008538171A Pending JP2009514238A (ja) | 2005-10-28 | 2006-10-26 | 半導体接合部に薄膜物質を選択的に堆積させる方法 |
Country Status (3)
Country | Link |
---|---|
US (2) | US20070099806A1 (ja) |
JP (1) | JP2009514238A (ja) |
WO (1) | WO2007111679A2 (ja) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2011078399A1 (ja) * | 2009-12-25 | 2011-06-30 | 独立行政法人科学技術振興機構 | 結晶性コバルトシリサイド膜の形成方法 |
WO2019067315A1 (en) * | 2017-09-26 | 2019-04-04 | Applied Materials, Inc. | METHOD, MATERIALS AND PROCESSES FOR REMOVING NATIVE OXIDE AND REFORMING DIELECTRIC OXIDES, ENABLING BETTER BIOSPER PERFORMANCE |
Families Citing this family (203)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8084087B2 (en) * | 2007-02-14 | 2011-12-27 | The Board Of Trustees Of The Leland Stanford Junior University | Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition |
WO2008139621A1 (ja) * | 2007-05-15 | 2008-11-20 | Canon Anelva Corporation | 半導体素子の製造方法 |
US20090004851A1 (en) * | 2007-06-29 | 2009-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Salicidation process using electroless plating to deposit metal and introduce dopant impurities |
US20090139568A1 (en) * | 2007-11-19 | 2009-06-04 | Applied Materials, Inc. | Crystalline Solar Cell Metallization Methods |
WO2009067483A1 (en) | 2007-11-19 | 2009-05-28 | Applied Materials, Inc. | Solar cell contact formation process using a patterned etchant material |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
TWI390756B (zh) | 2008-07-16 | 2013-03-21 | Applied Materials Inc | 使用摻質層遮罩之混合異接面太陽能電池製造 |
DE102008037944B4 (de) * | 2008-08-14 | 2013-03-21 | Carl Zeiss Sms Gmbh | Verfahren zum elektronenstrahlinduzierten Abscheiden von leitfähigem Material |
EP2324509A2 (en) * | 2008-08-27 | 2011-05-25 | Applied Materials, Inc. | Back contact solar cells using printed dielectric barrier |
US7867891B2 (en) * | 2008-12-10 | 2011-01-11 | Intel Corporation | Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance |
US8361237B2 (en) * | 2008-12-17 | 2013-01-29 | Air Products And Chemicals, Inc. | Wet clean compositions for CoWP and porous dielectrics |
US8366954B2 (en) | 2009-01-13 | 2013-02-05 | Avantor Performance Materials, Bv | Solution for increasing wafer sheet resistance and/or photovoltaic cell power density level |
MX2011007413A (es) * | 2009-01-14 | 2011-07-21 | Avantor Performance Materials B V | Solucion para aumentar la resistencia de la hoja de lamina y/o elevar la densidad de energia de la celda fotovoltaica. |
US8511281B2 (en) * | 2009-07-10 | 2013-08-20 | Tula Technology, Inc. | Skip fire engine control |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8211808B2 (en) * | 2009-08-31 | 2012-07-03 | Applied Materials, Inc. | Silicon-selective dry etch for carbon-containing films |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
KR20120111738A (ko) * | 2009-12-30 | 2012-10-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장 |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
JP2013517616A (ja) * | 2010-01-06 | 2013-05-16 | アプライド マテリアルズ インコーポレイテッド | 酸化物ライナを使用する流動可能な誘電体 |
CN102714156A (zh) | 2010-01-07 | 2012-10-03 | 应用材料公司 | 自由基成分cvd的原位臭氧固化 |
CN102844848A (zh) | 2010-03-05 | 2012-12-26 | 应用材料公司 | 通过自由基成分化学气相沉积的共形层 |
US8435902B2 (en) * | 2010-03-17 | 2013-05-07 | Applied Materials, Inc. | Invertable pattern loading with dry etch |
US8475674B2 (en) | 2010-04-30 | 2013-07-02 | Applied Materials, Inc. | High-temperature selective dry etch having reduced post-etch solid residue |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
KR20120024199A (ko) * | 2010-09-06 | 2012-03-14 | 주식회사 유진테크 | 반도체 소자의 제조 방법 |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US8946083B2 (en) * | 2011-06-24 | 2015-02-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | In-situ formation of silicon and tantalum containing barrier |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US8632690B2 (en) | 2011-11-29 | 2014-01-21 | Intermolecular, Inc. | Method and apparatus for preventing native oxide regrowth |
WO2013106225A1 (en) | 2012-01-12 | 2013-07-18 | Applied Materials, Inc. | Methods of manufacturing solar cell devices |
EP2626891A3 (en) * | 2012-02-07 | 2018-01-24 | Rohm and Haas Electronic Materials LLC | Activation process to improve metal adhesion |
US8895441B2 (en) | 2012-02-24 | 2014-11-25 | Lam Research Corporation | Methods and materials for anchoring gapfill metals |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
NL2009754C2 (en) | 2012-11-05 | 2014-05-08 | M4Si B V | Protective cover for a copper containing conductor. |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US10351958B2 (en) | 2014-06-20 | 2019-07-16 | Council On Postsecondary Education | Systems and methods for electroless plating of thin gold films directly onto silicon nitride and into pores in silicon nitride |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10790141B2 (en) * | 2015-09-19 | 2020-09-29 | Applied Materials, Inc. | Surface-selective atomic layer deposition using hydrosilylation passivation |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283372B2 (en) * | 2017-09-15 | 2019-05-07 | Globalfoundries Inc. | Interconnects formed by a metal replacement process |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
TW201939628A (zh) * | 2018-03-02 | 2019-10-01 | 美商微材料有限責任公司 | 移除金屬氧化物的方法 |
WO2019177861A1 (en) | 2018-03-10 | 2019-09-19 | Applied Materials, Inc. | Method and apparatus for asymmetric selective physical vapor deposition |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10636655B2 (en) | 2018-03-19 | 2020-04-28 | Applied Materials, Inc. | Methods for asymmetric deposition of metal on high aspect ratio nanostructures |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CA3039235A1 (en) * | 2019-04-05 | 2020-10-05 | Fluid Energy Group Ltd. | Novel mud acid composition and methods of using such |
CA3039238A1 (en) * | 2019-04-05 | 2020-10-05 | Fluid Energy Group Ltd. | Novel inhibited hydrofluoric acid composition |
CA3083522A1 (en) * | 2020-06-12 | 2021-12-12 | Fluid Energy Group Ltd. | Process to manufacture novel inhibited hydrofluoric acid composition |
Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS62271454A (ja) * | 1986-03-03 | 1987-11-25 | アメリカン テレフオン アンド テレグラフ カムパニ− | Vlsiデバイス中の開口の選択無電界メツキ方法 |
JPH02141579A (ja) * | 1988-11-22 | 1990-05-30 | Mitsubishi Petrochem Co Ltd | アモルファス金属の製造方法 |
JPH0382021A (ja) * | 1989-08-24 | 1991-04-08 | Matsushita Electric Ind Co Ltd | 金属薄膜の堆積方法 |
JPH04315425A (ja) * | 1991-04-15 | 1992-11-06 | Sharp Corp | 半導体装置の製造方法 |
JPH0645273A (ja) * | 1992-07-22 | 1994-02-18 | Toshiba Corp | 半導体装置の製造方法 |
JP2001308028A (ja) * | 2000-04-19 | 2001-11-02 | Hitachi Ltd | 半導体装置 |
JP2003515254A (ja) * | 1999-11-15 | 2003-04-22 | アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド | プラズマエッチング残渣を除去するための非腐食性洗浄組成物 |
JP2005101479A (ja) * | 2002-11-08 | 2005-04-14 | Sumitomo Chemical Co Ltd | 半導体基板用洗浄液 |
JP2005194294A (ja) * | 2003-12-26 | 2005-07-21 | Nec Electronics Corp | 洗浄液及び半導体装置の製造方法 |
JP2005236280A (ja) * | 2004-02-10 | 2005-09-02 | Samsung Electronics Co Ltd | 半導体基板用洗浄液組成物、半導体基板の洗浄方法、及び導電性構造物の製造方法 |
Family Cites Families (123)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2369620A (en) * | 1941-03-07 | 1945-02-13 | Battelle Development Corp | Method of coating cupreous metal with tin |
US3650960A (en) * | 1969-05-06 | 1972-03-21 | Allied Chem | Etching solutions |
US3676240A (en) * | 1970-04-14 | 1972-07-11 | Bell Telephone Labor Inc | Method of etching materials capable of being etched with hydrofluoric acid |
US4006047A (en) * | 1974-07-22 | 1977-02-01 | Amp Incorporated | Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates |
US3937857A (en) * | 1974-07-22 | 1976-02-10 | Amp Incorporated | Catalyst for electroless deposition of metals |
JPS5151908A (ja) * | 1974-11-01 | 1976-05-07 | Fuji Photo Film Co Ltd | |
US4040897A (en) * | 1975-05-05 | 1977-08-09 | Signetics Corporation | Etchants for glass films on metal substrates |
US4055458A (en) * | 1975-08-07 | 1977-10-25 | Bayer Aktiengesellschaft | Etching glass with HF and fluorine-containing surfactant |
US4150177A (en) * | 1976-03-31 | 1979-04-17 | Massachusetts Institute Of Technology | Method for selectively nickeling a layer of polymerized polyester resin |
DE2754652A1 (de) * | 1977-12-08 | 1979-06-13 | Ibm Deutschland | Verfahren zum herstellen von silicium-photoelementen |
US4215005A (en) * | 1978-01-30 | 1980-07-29 | Allied Chemical Corporation | Organic stripping compositions and method for using same |
US4265943A (en) * | 1978-11-27 | 1981-05-05 | Macdermid Incorporated | Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions |
US4230523A (en) * | 1978-12-29 | 1980-10-28 | International Business Machines Corporation | Etchant for silicon dioxide films disposed atop silicon or metallic silicides |
US4297393A (en) * | 1980-02-28 | 1981-10-27 | Rca Corporation | Method of applying thin metal deposits to a substrate |
US4343677A (en) * | 1981-03-23 | 1982-08-10 | Bell Telephone Laboratories, Incorporated | Method for patterning films using reactive ion etching thereof |
US4368223A (en) * | 1981-06-01 | 1983-01-11 | Asahi Glass Company, Ltd. | Process for preparing nickel layer |
US4424241A (en) * | 1982-09-27 | 1984-01-03 | Bell Telephone Laboratories, Incorporated | Electroless palladium process |
US4508591A (en) * | 1984-03-08 | 1985-04-02 | Hewlett-Packard Company | Polymethyl methacrylate compatible silicon dioxide complexing agent |
GB8511905D0 (en) * | 1985-05-10 | 1985-06-19 | Akzo Nv | Metallizing polymeric materials |
JPS63283028A (ja) * | 1986-09-29 | 1988-11-18 | Hashimoto Kasei Kogyo Kk | 微細加工表面処理剤 |
US4837195A (en) * | 1986-12-23 | 1989-06-06 | E. I. Du Pont De Nemours And Company | Process for porosity control and rehydroxylations of silica bodies |
US4810520A (en) * | 1987-09-23 | 1989-03-07 | Magnetic Peripherals Inc. | Method for controlling electroless magnetic plating |
US5102456A (en) * | 1989-04-28 | 1992-04-07 | International Business Machines Corporation | Tetra aza ligand systems as complexing agents for electroless deposition of copper |
US4921572A (en) * | 1989-05-04 | 1990-05-01 | Olin Corporation | Etchant solutions containing hydrogen fluoride and a polyammonium fluoride salt |
US5277835A (en) * | 1989-06-26 | 1994-01-11 | Hashimoto Chemical Industries Co., Ltd. | Surface treatment agent for fine surface treatment |
US5200048A (en) * | 1989-11-30 | 1993-04-06 | Daido Metal Company Ltd. | Electroplating apparatus for plating half bearings |
US5203911A (en) * | 1991-06-24 | 1993-04-20 | Shipley Company Inc. | Controlled electroless plating |
US5212138A (en) * | 1991-09-23 | 1993-05-18 | Applied Electroless Concepts Inc. | Low corrosivity catalyst for activation of copper for electroless nickel plating |
US7144848B2 (en) * | 1992-07-09 | 2006-12-05 | Ekc Technology, Inc. | Cleaning compositions containing hydroxylamine derivatives and processes using same for residue removal |
US6825156B2 (en) * | 2002-06-06 | 2004-11-30 | Ekc Technology, Inc. | Semiconductor process residue removal composition and process |
US5380560A (en) * | 1992-07-28 | 1995-01-10 | International Business Machines Corporation | Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition |
WO1995002900A1 (en) * | 1993-07-15 | 1995-01-26 | Astarix, Inc. | Aluminum-palladium alloy for initiation of electroless plating |
US5468597A (en) * | 1993-08-25 | 1995-11-21 | Shipley Company, L.L.C. | Selective metallization process |
US5384284A (en) * | 1993-10-01 | 1995-01-24 | Micron Semiconductor, Inc. | Method to form a low resistant bond pad interconnect |
US5415890A (en) * | 1994-01-03 | 1995-05-16 | Eaton Corporation | Modular apparatus and method for surface treatment of parts with liquid baths |
JP3264405B2 (ja) * | 1994-01-07 | 2002-03-11 | 三菱瓦斯化学株式会社 | 半導体装置洗浄剤および半導体装置の製造方法 |
TW386235B (en) * | 1995-05-23 | 2000-04-01 | Tokyo Electron Ltd | Method for spin rinsing |
US5695661A (en) * | 1995-06-07 | 1997-12-09 | Micron Display Technology, Inc. | Silicon dioxide etch process which protects metal |
US6197364B1 (en) * | 1995-08-22 | 2001-03-06 | International Business Machines Corporation | Production of electroless Co(P) with designed coercivity |
US5755859A (en) * | 1995-08-24 | 1998-05-26 | International Business Machines Corporation | Cobalt-tin alloys and their applications for devices, chip interconnections and packaging |
US5910340A (en) * | 1995-10-23 | 1999-06-08 | C. Uyemura & Co., Ltd. | Electroless nickel plating solution and method |
US6015724A (en) * | 1995-11-02 | 2000-01-18 | Semiconductor Energy Laboratory Co. | Manufacturing method of a semiconductor device |
JP3236220B2 (ja) * | 1995-11-13 | 2001-12-10 | 東京応化工業株式会社 | レジスト用剥離液組成物 |
US5733816A (en) * | 1995-12-13 | 1998-03-31 | Micron Technology, Inc. | Method for depositing a tungsten layer on silicon |
EP0811083B1 (en) * | 1995-12-19 | 2000-05-31 | FSI International | Electroless deposition of metal films with spray processor |
US5891513A (en) * | 1996-01-16 | 1999-04-06 | Cornell Research Foundation | Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications |
US5614003A (en) * | 1996-02-26 | 1997-03-25 | Mallory, Jr.; Glenn O. | Method for producing electroless polyalloys |
US5904827A (en) * | 1996-10-15 | 1999-05-18 | Reynolds Tech Fabricators, Inc. | Plating cell with rotary wiper and megasonic transducer |
US5912147A (en) * | 1996-10-22 | 1999-06-15 | Health Research, Inc. | Rapid means of quantitating genomic instability |
US5968848A (en) * | 1996-12-27 | 1999-10-19 | Tokyo Ohka Kogyo Co., Ltd. | Process for treating a lithographic substrate and a rinse solution for the treatment |
US6755989B2 (en) * | 1997-01-09 | 2004-06-29 | Advanced Technology Materials, Inc. | Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate |
US6224785B1 (en) * | 1997-08-29 | 2001-05-01 | Advanced Technology Materials, Inc. | Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates |
US6896826B2 (en) * | 1997-01-09 | 2005-05-24 | Advanced Technology Materials, Inc. | Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate |
US6048406A (en) * | 1997-04-08 | 2000-04-11 | Texas Instruments Incorporated | Benign method for etching silicon dioxide |
WO1998056726A1 (en) * | 1997-06-13 | 1998-12-17 | Cfmt, Inc. | Methods for treating semiconductor wafers |
US5885749A (en) * | 1997-06-20 | 1999-03-23 | Clear Logic, Inc. | Method of customizing integrated circuits by selective secondary deposition of layer interconnect material |
US6150282A (en) * | 1997-11-13 | 2000-11-21 | International Business Machines Corporation | Selective removal of etching residues |
US6077780A (en) * | 1997-12-03 | 2000-06-20 | Advanced Micro Devices, Inc. | Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure |
US6280651B1 (en) * | 1998-12-16 | 2001-08-28 | Advanced Technology Materials, Inc. | Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent |
US6197688B1 (en) * | 1998-02-12 | 2001-03-06 | Motorola Inc. | Interconnect structure in a semiconductor device and method of formation |
US6171661B1 (en) * | 1998-02-25 | 2001-01-09 | Applied Materials, Inc. | Deposition of copper with increased adhesion |
US6899870B1 (en) * | 1998-03-11 | 2005-05-31 | Board Of Regents, The University Of Texas System | Induction of apoptic or cytotoxic gene expression by adenoviral mediated gene codelivery |
US6565729B2 (en) * | 1998-03-20 | 2003-05-20 | Semitool, Inc. | Method for electrochemically depositing metal on a semiconductor workpiece |
US6197181B1 (en) * | 1998-03-20 | 2001-03-06 | Semitool, Inc. | Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece |
EP0991795B1 (en) * | 1998-04-21 | 2006-02-22 | Applied Materials, Inc. | Electro-chemical deposition system and method of electroplating on substrates |
KR100654413B1 (ko) * | 1998-04-30 | 2006-12-05 | 가부시키가이샤 에바라 세이사꾸쇼 | 기판의 도금방법 |
WO1999060447A1 (en) * | 1998-05-18 | 1999-11-25 | Advanced Technology Materials, Inc. | Stripping compositions for semiconductor substrates |
US6117796A (en) * | 1998-08-13 | 2000-09-12 | International Business Machines Corporation | Removal of silicon oxide |
US6200891B1 (en) * | 1998-08-13 | 2001-03-13 | International Business Machines Corporation | Removal of dielectric oxides |
US6180523B1 (en) * | 1998-10-13 | 2001-01-30 | Industrial Technology Research Institute | Copper metallization of USLI by electroless process |
US6063172A (en) * | 1998-10-13 | 2000-05-16 | Mcgean-Rohco, Inc. | Aqueous immersion plating bath and method for plating |
US6875733B1 (en) * | 1998-10-14 | 2005-04-05 | Advanced Technology Materials, Inc. | Ammonium borate containing compositions for stripping residues from semiconductor substrates |
JP2002528903A (ja) * | 1998-10-23 | 2002-09-03 | アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド | 活性剤溶液を含有し、化学機械的に磨くためのスラリーシステム |
US20040065540A1 (en) * | 2002-06-28 | 2004-04-08 | Novellus Systems, Inc. | Liquid treatment using thin liquid layer |
US6228233B1 (en) * | 1998-11-30 | 2001-05-08 | Applied Materials, Inc. | Inflatable compliant bladder assembly |
US6251236B1 (en) * | 1998-11-30 | 2001-06-26 | Applied Materials, Inc. | Cathode contact ring for electrochemical deposition |
US6015747A (en) * | 1998-12-07 | 2000-01-18 | Advanced Micro Device | Method of metal/polysilicon gate formation in a field effect transistor |
US6242349B1 (en) * | 1998-12-09 | 2001-06-05 | Advanced Micro Devices, Inc. | Method of forming copper/copper alloy interconnection with reduced electromigration |
US6544399B1 (en) * | 1999-01-11 | 2003-04-08 | Applied Materials, Inc. | Electrodeposition chemistry for filling apertures with reflective metal |
US6010962A (en) * | 1999-02-12 | 2000-01-04 | Taiwan Semiconductor Manufacturing Company | Copper chemical-mechanical-polishing (CMP) dishing |
US6245670B1 (en) * | 1999-02-19 | 2001-06-12 | Advanced Micro Devices, Inc. | Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure |
US6144099A (en) * | 1999-03-30 | 2000-11-07 | Advanced Micro Devices, Inc. | Semiconductor metalization barrier |
US6174812B1 (en) * | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US6703319B1 (en) * | 1999-06-17 | 2004-03-09 | Micron Technology, Inc. | Compositions and methods for removing etch residue |
US6516815B1 (en) * | 1999-07-09 | 2003-02-11 | Applied Materials, Inc. | Edge bead removal/spin rinse dry (EBR/SRD) module |
US6342733B1 (en) * | 1999-07-27 | 2002-01-29 | International Business Machines Corporation | Reduced electromigration and stressed induced migration of Cu wires by surface coating |
US6485986B1 (en) * | 1999-11-19 | 2002-11-26 | Purdue Research Foundation | Functionalized silicon surfaces |
US6350364B1 (en) * | 2000-02-18 | 2002-02-26 | Taiwan Semiconductor Manufacturing Company | Method for improvement of planarity of electroplated copper |
US6551483B1 (en) * | 2000-02-29 | 2003-04-22 | Novellus Systems, Inc. | Method for potential controlled electroplating of fine patterns on semiconductor wafers |
JP3979791B2 (ja) * | 2000-03-08 | 2007-09-19 | 株式会社ルネサステクノロジ | 半導体装置およびその製造方法 |
US6344125B1 (en) * | 2000-04-06 | 2002-02-05 | International Business Machines Corporation | Pattern-sensitive electrolytic metal plating |
US6486108B1 (en) * | 2000-05-31 | 2002-11-26 | Micron Technology, Inc. | Cleaning composition useful in semiconductor integrated circuit fabrication |
US20050006245A1 (en) * | 2003-07-08 | 2005-01-13 | Applied Materials, Inc. | Multiple-step electrodeposition process for direct copper plating on barrier metals |
US6709563B2 (en) * | 2000-06-30 | 2004-03-23 | Ebara Corporation | Copper-plating liquid, plating method and plating apparatus |
US7456140B2 (en) * | 2000-07-10 | 2008-11-25 | Ekc Technology, Inc. | Compositions for cleaning organic and plasma etched residues for semiconductor devices |
AU2001278890A1 (en) * | 2000-07-10 | 2002-01-21 | Ekc Technology, Inc. | Compositions for cleaning organic and plasma etched residues for semiconductor devices |
EP1182709A1 (en) * | 2000-08-14 | 2002-02-27 | IPU, Instituttet For Produktudvikling | A process for depositing metal contacts on a buried grid solar cell and a solar cell obtained by the process |
US6503834B1 (en) * | 2000-10-03 | 2003-01-07 | International Business Machines Corp. | Process to increase reliability CuBEOL structures |
US6566315B2 (en) * | 2000-12-08 | 2003-05-20 | Advanced Technology Materials, Inc. | Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures |
KR100493409B1 (ko) * | 2000-12-23 | 2005-06-07 | 주식회사 하이닉스반도체 | 반도체 소자 제조방법 |
US6852618B2 (en) * | 2001-04-19 | 2005-02-08 | Micron Technology, Inc. | Combined barrier layer and seed layer |
WO2002094462A1 (fr) * | 2001-05-22 | 2002-11-28 | Mitsubishi Chemical Corporation | Procede de nettoyage de la surface d'un substrat |
US6717189B2 (en) * | 2001-06-01 | 2004-04-06 | Ebara Corporation | Electroless plating liquid and semiconductor device |
JP2004533123A (ja) * | 2001-06-14 | 2004-10-28 | マトソン テクノロジー インコーポレーテッド | 銅接続用の障壁エンハンスメント工程 |
US6573606B2 (en) * | 2001-06-14 | 2003-06-03 | International Business Machines Corporation | Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect |
GB0114896D0 (en) * | 2001-06-19 | 2001-08-08 | Bp Solar Ltd | Process for manufacturing a solar cell |
KR20040018558A (ko) * | 2001-08-13 | 2004-03-03 | 가부시키 가이샤 에바라 세이사꾸쇼 | 반도체장치와 그 제조방법 및 도금액 |
US6645567B2 (en) * | 2001-12-19 | 2003-11-11 | Intel Corporation | Electroless plating bath composition and method of using |
WO2003060959A2 (en) * | 2002-01-10 | 2003-07-24 | Semitool, Inc. | Method for applying metal features onto barrier layers using electrochemical deposition |
US6773873B2 (en) * | 2002-03-25 | 2004-08-10 | Advanced Technology Materials, Inc. | pH buffered compositions useful for cleaning residue from semiconductor substrates |
US6528409B1 (en) * | 2002-04-29 | 2003-03-04 | Advanced Micro Devices, Inc. | Interconnect structure formed in porous dielectric material with minimized degradation and electromigration |
US6849200B2 (en) * | 2002-07-23 | 2005-02-01 | Advanced Technology Materials, Inc. | Composition and process for wet stripping removal of sacrificial anti-reflective material |
JP4343571B2 (ja) * | 2002-07-31 | 2009-10-14 | 株式会社ルネサステクノロジ | 半導体装置の製造方法 |
DE10241300A1 (de) * | 2002-09-04 | 2004-03-18 | Merck Patent Gmbh | Ätzpasten für Siliziumoberflächen und -schichten |
US20040096592A1 (en) * | 2002-11-19 | 2004-05-20 | Chebiam Ramanan V. | Electroless cobalt plating solution and plating techniques |
DE10344351A1 (de) * | 2003-09-24 | 2005-05-19 | Infineon Technologies Ag | Verfahren zum anisotropen Ätzen von Silizium |
US20050089489A1 (en) * | 2003-10-22 | 2005-04-28 | Carter Melvin K. | Composition for exfoliation agent effective in removing resist residues |
US7229922B2 (en) * | 2003-10-27 | 2007-06-12 | Intel Corporation | Method for making a semiconductor device having increased conductive material reliability |
JP5162131B2 (ja) * | 2003-10-28 | 2013-03-13 | サッチェム, インコーポレイテッド | 洗浄溶液およびエッチング液、ならびにそれらを用いる方法 |
US20050189013A1 (en) * | 2003-12-23 | 2005-09-01 | Oliver Hartley | Process for manufacturing photovoltaic cells |
JP4390616B2 (ja) * | 2004-04-27 | 2009-12-24 | Necエレクトロニクス株式会社 | 洗浄液及び半導体装置の製造方法 |
US7235736B1 (en) * | 2006-03-18 | 2007-06-26 | Solyndra, Inc. | Monolithic integration of cylindrical solar cells |
US20080121276A1 (en) * | 2006-11-29 | 2008-05-29 | Applied Materials, Inc. | Selective electroless deposition for solar cells |
-
2006
- 2006-03-20 US US11/385,041 patent/US20070099806A1/en not_active Abandoned
- 2006-10-26 JP JP2008538171A patent/JP2009514238A/ja active Pending
- 2006-10-26 WO PCT/US2006/060273 patent/WO2007111679A2/en active Application Filing
- 2006-10-27 US US11/553,878 patent/US20070108404A1/en not_active Abandoned
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS62271454A (ja) * | 1986-03-03 | 1987-11-25 | アメリカン テレフオン アンド テレグラフ カムパニ− | Vlsiデバイス中の開口の選択無電界メツキ方法 |
JPH02141579A (ja) * | 1988-11-22 | 1990-05-30 | Mitsubishi Petrochem Co Ltd | アモルファス金属の製造方法 |
JPH0382021A (ja) * | 1989-08-24 | 1991-04-08 | Matsushita Electric Ind Co Ltd | 金属薄膜の堆積方法 |
JPH04315425A (ja) * | 1991-04-15 | 1992-11-06 | Sharp Corp | 半導体装置の製造方法 |
JPH0645273A (ja) * | 1992-07-22 | 1994-02-18 | Toshiba Corp | 半導体装置の製造方法 |
JP2003515254A (ja) * | 1999-11-15 | 2003-04-22 | アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド | プラズマエッチング残渣を除去するための非腐食性洗浄組成物 |
JP2001308028A (ja) * | 2000-04-19 | 2001-11-02 | Hitachi Ltd | 半導体装置 |
JP2005101479A (ja) * | 2002-11-08 | 2005-04-14 | Sumitomo Chemical Co Ltd | 半導体基板用洗浄液 |
JP2005194294A (ja) * | 2003-12-26 | 2005-07-21 | Nec Electronics Corp | 洗浄液及び半導体装置の製造方法 |
JP2005236280A (ja) * | 2004-02-10 | 2005-09-02 | Samsung Electronics Co Ltd | 半導体基板用洗浄液組成物、半導体基板の洗浄方法、及び導電性構造物の製造方法 |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2011078399A1 (ja) * | 2009-12-25 | 2011-06-30 | 独立行政法人科学技術振興機構 | 結晶性コバルトシリサイド膜の形成方法 |
JP5445795B2 (ja) * | 2009-12-25 | 2014-03-19 | 独立行政法人科学技術振興機構 | 結晶性コバルトシリサイド膜の形成方法 |
US9653306B2 (en) | 2009-12-25 | 2017-05-16 | Japan Science And Technology Agency | Method for forming crystalline cobalt silicide film |
WO2019067315A1 (en) * | 2017-09-26 | 2019-04-04 | Applied Materials, Inc. | METHOD, MATERIALS AND PROCESSES FOR REMOVING NATIVE OXIDE AND REFORMING DIELECTRIC OXIDES, ENABLING BETTER BIOSPER PERFORMANCE |
US11598000B2 (en) | 2017-09-26 | 2023-03-07 | Applied Materials, Inc. | Method, materials and process for native oxide removal and regrowth of dielectric oxides for better biosensor performance |
Also Published As
Publication number | Publication date |
---|---|
WO2007111679A3 (en) | 2007-12-21 |
US20070099806A1 (en) | 2007-05-03 |
US20070108404A1 (en) | 2007-05-17 |
WO2007111679A2 (en) | 2007-10-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2009514238A (ja) | 半導体接合部に薄膜物質を選択的に堆積させる方法 | |
US7514353B2 (en) | Contact metallization scheme using a barrier layer over a silicide layer | |
JP5820870B2 (ja) | 金属堆積のために基板表面を調整する方法および統合システム | |
US8241701B2 (en) | Processes and systems for engineering a barrier surface for copper deposition | |
US8747960B2 (en) | Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide | |
US8771804B2 (en) | Processes and systems for engineering a copper surface for selective metal deposition | |
CN109844930B (zh) | 以钌衬垫改善铜电迁移的经掺杂选择性金属覆盖 | |
US8308858B2 (en) | Electroless deposition process on a silicon contact | |
US20070099422A1 (en) | Process for electroless copper deposition | |
US20050161338A1 (en) | Electroless cobalt alloy deposition process | |
US20040035316A1 (en) | Electroless plating bath composition and method of using | |
KR20090034913A (ko) | 무전해 성막용 도금 용액을 도포하는 장치 | |
US7273813B2 (en) | Wafer cleaning solution for cobalt electroless application | |
US7064065B2 (en) | Silver under-layers for electroless cobalt alloys | |
US9263327B2 (en) | Minimizing void formation in semiconductor vias and trenches | |
US20020127348A1 (en) | Method for depositing copper or a copper alloy | |
KR101506352B1 (ko) | 금속 증착을 위해 기판 표면을 가공하는 프로세스 및 통합 시스템 | |
US20050170650A1 (en) | Electroless palladium nitrate activation prior to cobalt-alloy deposition | |
US20230369097A1 (en) | Method for fabricating semiconductor device with multi-carbon-concentration dielectrics | |
EP1022355B1 (en) | Deposition of copper on an activated surface of a substrate |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20091015 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20091015 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101111 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20101209 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20120607 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120830 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20120925 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20121130 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20121207 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20130424 |