JP2009514238A - 半導体接合部に薄膜物質を選択的に堆積させる方法 - Google Patents

半導体接合部に薄膜物質を選択的に堆積させる方法 Download PDF

Info

Publication number
JP2009514238A
JP2009514238A JP2008538171A JP2008538171A JP2009514238A JP 2009514238 A JP2009514238 A JP 2009514238A JP 2008538171 A JP2008538171 A JP 2008538171A JP 2008538171 A JP2008538171 A JP 2008538171A JP 2009514238 A JP2009514238 A JP 2009514238A
Authority
JP
Japan
Prior art keywords
concentration
substrate
range
layer
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008538171A
Other languages
English (en)
Other versions
JP2009514238A5 (ja
Inventor
マイケル, ピー. スチュワート,
ティモシー ダブリュー. ウェイドマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009514238A publication Critical patent/JP2009514238A/ja
Publication of JP2009514238A5 publication Critical patent/JP2009514238A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemically Coating (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本発明の実施形態は、基板上に形成されたデバイスに高品質コンタクトレベル接続部を形成するプロセスを提供する。一実施形態において、基板上に物質を堆積させるための方法であって、基板を酸化物エッチング緩衝液にさらして、前処理プロセスで水素化シリコン層を形成するステップと、基板上に金属シリサイド層を堆積させるステップと、金属シリサイド層上に第一金属層(例えば、タングステン)を堆積させるステップと、を含む前記方法が提供される。酸化物エッチング緩衝液は、フッ化水素とアルカノールアミン化合物、例えば、エタノールアミン、ジエタノールアミン、又はトリエタノールを含有することができる。金属シリサイド層は、コバルド、ニッケル、又はタングステンを含有することができ、無電解堆積プロセスによって堆積させることができる。一例において、基板は、溶媒と金属錯体化合物を含有する無電解堆積溶液にさらされる。
【選択図】 図2G

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、基板上に物質を堆積する方法に関し、より詳細には、高アスペクト比コンタクト内のアパーチャを充填する方法に関する。
関連技術の説明
[0002]マルチレベルの45nmノードメタライゼーションは、次世代の超大規模集積回路(VLSI)の重要な技術の一つである。この技術の中心にあるマルチレベル相互接続部は、コンタクト、バイア、ライン、他のアパーチャを含む、小臨界寸法と高アスペクト比を有する特徴部を持つ。これらの特徴部の信頼できる形成は、VLSIの成功と個々の基板上の質と回路密度を高める継続した努力が非常に重要である。それ故、高アスペクト比が10:1(高さ:幅)以上のコンタクト抵抗の小さいボイドのない特徴部の形成に向けられた多大な努力が継続している。
[0003]タングステンは、VLSI特徴部、例えば、基板上のサブミクロン高アスペクト比コンタクト(HARC)を充填する選択金属である。コンタクトは、ある場合にはMOSデバイスのソース又はドレインを形成する多くの多量にドープされた領域を持つ半導体基板上に堆積された誘電体の表面に形成されるアパーチャ(例えば、バイア)へタングステンのような導電性相互物質を堆積させることによって形成することができる。このような開口部の高アスペクト比は、アパーチャを充填する等角の導電性相互接続物質の堆積を阻止することがある。しばしば、タングステン物質は、HARCを充填するアパーチャ内で等角に堆積されない。タングステンは良く知られている相互接続物質であるが、タングステンを堆積させる気相堆積プロセスは、一般に、図1Cに示されるように、コンタクトプラグ内にボイド又はシーム型の欠陥の欠点を持つ。
[0004]図1Aは、コンタクト層102をさらすために誘電体層104内に形成されたバイア又はアパーチャ105を含有する基板100上の集積回路デバイスを示す概略断面図である。化学気相堆積(CVD)又は原子層堆積を含むことができる気相堆積プロセス中に、図1Bに示されるように、誘電体層104上とコンタクト層102と誘電体層104の側壁を含むアパーチャ105内にタングステン層106が堆積されてプラグ103が形成される。プラグ103の開口部107近くで、図1Cに示されるように、タングステン層106がつまみ取ることがあるので、プラグ103はその中にシーム又はボイド108を維持する。タングステン層106と誘電体層104の一部を基板100の表面から除去する続いての化学機械的研磨(CMP)プロセスで、図1Dに示されるように、ボイド108がプラグ103内にギャップ110を形成するように破壊されるか又はさらされることがある。図1Eは、ギャップ110を封じることによってボイド114を形成する基板100上に堆積された導電層112(例えば、銅)を示す図である。基板100は、電子デバイスの全体構造によっては物質の追加層を含有することができる。例えば、誘電体層104は、導電層112の堆積前にその上のバリヤ層(図示せず)によって覆われることができ、更に/又は導電層112が層120の堆積前にその上のバリヤ層(図示せず)を含むことができる。
[0005]シーム又はボイド114のような欠陥は、本明細書に示される電子デバイスの製造中に一連の問題を引き起こすことがある。プラグ103を通る電流の流れに対する抵抗は、ボイド114中のタングステン物質の欠損によって悪くなる。しかしながら、製造中のより深刻な障害は、ある層から次の層へのボイドの移動である。例えば、基板100の続いての製造プロセスは、導電層112上に層120(例えば、誘電体層)の堆積を含むことがある。続いての熱処理、例えば、アニールプロセス中に、導電層112からの物質116は、ボイド114に拡散し、導電層112内にボイド118を形成することがある。図1Fに示されるように、物質116はボイド114の底に完全に拡散することはない。ボイド118のような導電層112内に形成される欠陥は、欠陥を含有する回路の抵抗を増大し、従って、デバイス性能に悪影響を及ぼす。最終的に、導電層112内の欠陥は、製造された基板のデバイス歩留まりに悪影響を及ぼし得る。
[0006]コンタクトレベルメタライゼーションプロセスは、また、コンタクト抵抗を小さくするので形成されたデバイスの速度を改善するためにドープされたシリコン源又はドレイン接合部でのシリサイドの形成を必要とする。典型的には、従来のコンタクトレベルメタライゼーションプロセスは、ドープされたシリコン接合部(例えば、ソース又はドレイン接合部)でシリサイドを形成する金属層を堆積させ、CMP型プロセスの使用によって“場”(例えば、特徴部が形成される基板の最上面)から過度の導電層を除去し、金属シリサイド層を形成するために高温アニールプロセスを行い、形成された金属/金属シリサイド層上にライナー/バリヤシリサイド層(例えば、窒化チタン、チタン、タンタル、窒化タンタル)を堆積させ、CVDプロセスを用いて誘電体層内に形成されたコンタクト特徴部をタングステンで充填するという時間を消費させ且つ複雑なプロセスステップを必要とする。コンタクトレベルメタライゼーションプロセスは比較的複雑で、多くのプロセスステップを必要とするので、基板を誤って処理する可能性又は汚染がデイバスの場に影響を与える可能性が非常に高い。それ故、複雑でなく、誤って処理されにくく更に/又は汚染されにくいプロセスが必要とされる。
[0007]異なる種類の洗浄とエッチングの組成物とプロセスがマイクロ電子部品の製造で用いられてきた。しばしば選択的な領域において、物質を除去するためのエッチングプロセスが開発され、種々の程度に用いられる。更に、例えば、完成した集積回路チップを構成する異なる層をエッチングするステップが特に最も重要で困難なステップである。しばしば、基板の酸化物を含まないシリコン表面は、続いてのプロセスを行う前に不可欠である。多くのプロセスにおいて、シリコン基板は、コンタクト、バイア、他のアパーチャだけでなく、他の製造された特徴部を形成するために処理される。続いて、基板表面は、特徴部内に含有する望ましくない未変性酸化物や所望される熱酸化物を含有する。
[0008]未変性酸化物表面は、一般的に、熱酸化物のような特徴部(例えば、SiO)を形成するために典型的に用いられるかなり安定な酸化物物質に比較して準安定な低品質の酸化物(例えば、SiOx、ここで、xは通常2未満である)を含有する。より大きな濃度の欠陥を有する低密度未変性酸化物は、たいていの熱で堆積された酸化物よりも基板表面から除去するのが非常に容易である。しかしながら、未変性酸化物を除去するのに効果的な多くのエッチング溶液は、また、望ましい熱酸化物を除去するか又は損傷させる。酸化物エッチング緩衝(BOE)液は、未変性酸化物を除去するために用いられてきたが、選択性がなく、また、熱酸化物をエッチングする。BOE溶液は、しばしば、フッ化水素酸と、アンモニア(NH)又は水酸化テトラメチルアンモニウム((CH)N(OH))のような結合体の複合体を含む強酸性水溶液(例えば、pH<3.5)である。
[0009]或いは、プラズマ援助洗浄プロセスが、基板表面から未変性酸化物層を除去するために用いられてきた。通常、プラズマ援助洗浄プロセスは、酸化物を原子水素で化学的に還元することによって酸素原子を基板表面から除去する。プラズマ援助洗浄プロセスは、通常、BOEプロセスのような他の洗浄プロセスよりも速い。しかしながら、プラズマ援助洗浄プロセスは、酸化物選択性がほとんどないか又は全くない(即ち、熱酸化物より未変性酸化物)、過剰エッチング、基板表面上の種々の領域に対するプラズマ損傷を含む多くの欠点を受ける。
[0010]それ故、コンタクト構造(例えば、HARC)内にコンタクトプラグを形成する方法であって、ボイドのないプラグが形成される前記方法が求められている。また、熱酸化物より未変性酸化物を選択的に除去するために用いることができるエッチングプロセスと組成物が求められている。
発明の概要
[0011]一実施形態において、基板上に物質を堆積させるための方法であって、基板を酸化物エッチング緩衝(BOE)液にさらして、前処理プロセスで基板上に水素化シリコン層を形成するステップと、基板上に金属シリコン層を堆積させるステップと、金属シリサイド層上に第一金属層を堆積させるステップとを含む、前記方法が提供される。金属シリサイド層は、コバルト、ニッケル、タングステン、それらの合金、又はそれらの組合わせを含有することができ、無電解堆積プロセスで基板を堆積溶液にさらすことによって堆積させることができる。一例において、堆積溶液は、溶媒(例えば、アセトニトリル又はプロピレングリコールモノメチルエーテル)と金属錯体化合物、例えば、コバルトテトラカルボニル、ニッケルジシクロオクタジシエン、又はタングステンカルボニルを含有する。第二金属層は、第一金属層上に堆積させることができ、第一か又は第二の金属層は、タングステン又はタングステン合金を含有することができる。
[0012]他の実施形態において、前洗浄液(例えば、BOE溶液)は、基板を前洗浄液にさらす前に脱ガスすることができる。BOE溶液は、フッ化水素とアルカノールアミン化合物、例えば、エタノールアミン(EA)、ジエタノールアミン(DEA)、又はトリエタノールアミン(TEA)を含有することができる。一例において、方法は、更に、酸化物エッチング緩衝液がジエタノールアミンとトリエタノールアミンを、それぞれが独立して質量で約0.5%〜約10%(例えば、2%〜3%)の範囲にある濃度で、フッ化水素を質量で約0.5%〜約10%(例えば、1%〜3%)の範囲にある濃度で、水を質量で約80%〜約98%(例えば、88%〜94%)の範囲にある濃度で含有することを含む。酸化エッチング緩衝液は、約3.5〜約5の範囲にあるpH値、約10cP〜約30cPの範囲にある粘度を有するのがよい。
[0013]BOE溶液の組成物と、BOE溶液を用いてプロセス中に未変性酸化物層を基板表面から選択的に除去する方法を含む本発明の他の実施形態も提供される。BOE溶液は、一般的に、アルカノールアミン化合物とエッチング剤、例えば、フッ化水素を含有する。一実施形態において、BOE溶液の粘度は、少なくとも二つのアルカノールアミン化合物の濃度比を変化させることによって調整することができる。約10cP〜約30cPの範囲にある粘度を有するBOE溶液は、プロセス中に基板表面上で優れた湿潤特性を有し、そこから未変性酸化物層を選択的に除去する。
[0014]一実施形態において、BOE溶液の組成物であって、質量で、約0.5%〜約10%の範囲にある濃度の第一アルカノールアミン化合物と、約0.5%〜約10%の範囲にある濃度の第二アルカノールアミン化合物と、約0.5%〜約10%の範囲にある濃度のフッ化水素と、約80%〜約98%の範囲にある濃度の水と、約3.5〜約5の範囲にあるpH値と、約10cP〜約30cPの範囲にある粘度とを含む、前記組成物が提供される。一例において、第一アルカノールアミン化合物は、約1%〜約5%の範囲にある濃度であり、第二アルカノールアミン化合物は、約1%〜約5%の範囲にある濃度であり、フッ化水素は、約1%〜約5%の範囲にある濃度であり、水は、約85%〜約95%の範囲にある濃度であり、pH値は、約3.8〜約4.8の範囲であり、粘度は、約12cP〜約28cPの範囲である。他の実施形態において、第一アルカノールアミン化合物は、約2%〜約3%の範囲にある濃度であり、第二アルカノールアミン化合物は、約2%〜約3%の範囲にある濃度であり、フッ化水素は、約1%〜約3%の範囲にある濃度であり、水は、約88%〜約94%の範囲にある濃度であり、pH値は、約3.5〜約5、好ましくは約4〜約4.5の範囲にあり、粘度は、約50cP未満、例えば、約15cP〜約25cPの範囲にある。他の例において、第一アルカノールアミン化合物は、約3%の濃度であり、第二アルカノールアミン化合物は、約2%の濃度であり、フッ化水素は約2%の濃度であり、水は約92%の濃度であり、pH値は、約4〜約4.5の範囲にあり、粘度は、50cP未満、例えば、約15cP〜約25cPの範囲にある。
[0015]他の実施形態において、第一アルカノールアミン化合物と第二アルカノールアミン化合物との質量比は、約1〜1.5の範囲にあり、例えば、1.5である。第一アルカノールアミン化合物と第二アルカノールアミン化合物は、EA、DEA,TEA、又はそれらの誘導体より選ばれる種々のアルカノールアミン化合物であるのがよい。例えば、第一アルカノールアミン化合物は、DEAであるのがよく、第二アルカノールアミン化合物は、TEAであるのがよい。他の例において、第一アルカノールアミン化合物は、DEAであり、第二アルカノールアミンは、EAである。他の例において、第一アルカノールアミン化合物は、TEAであり、第二アルカノールアミン化合物は、EAである。他の例において、第一アルカノールアミン化合物は、約15cP〜約25cPの範囲にある粘度を有する濃度又は質量で約1%〜約15%の範囲にある濃度を有するDEAである。
[0016]他の実施形態において、BOEエッチング溶液の組成物であって、約10cP〜約30cPの範囲にある濃度の粘度を生じる質量比濃度の第一アルカノールアミン化合物と第二アルカノールアミン化合物と、質量で約0.5%〜約10%の範囲にある濃度のフッ化水素と、質量で約80%〜約98%の範囲にある濃度の水と、約3.5〜約5の範囲にあるpH値と、約10cP〜約30cPの範囲にある粘度とを含む、前記組成物が提供される。第一アルカノールアミン化合物や第二アルカノールアミン化合物としては、EA、DEA、TEA、又は他のアルカノールアミン誘導体が挙げられるのがよい。一例において、第一アルカノールアミンと第二アルカノールアミンとの質量比濃度は、約1〜約5、例えば、約1.5〜約1.1の範囲にある。他の例において、BOE溶液の粘度は、約12cP〜約28cP、好ましくは約15cP〜約25cPの範囲にある。
[0017]他の実施形態において、BOE溶液の組成物であって、pH調整剤、例えば、フッ化水素酸、追加のアルカノールアミン化合物、硫酸、水酸化アンモニウム、水酸化テトラメチルアンモニウム、それらの誘導体、又はそれらの組合わせを更に含む、前記組成物が提供される。一例において、BOE溶液は、pH値を約3.5〜約5、好ましくは約3.8〜約4.8、更に好ましくは約4〜約4.5の範囲で有するような濃度でpH調整剤を含有する。
[0018]他の実施形態において、基板表面から酸化物層を選択的に除去する方法であって、未変性酸化物面と特徴部面を持つ基板を準備するステップと、基板を酸化物エッチング緩衝液にさらして、未変性酸化物面を除去し、未変性表面を形成し、且つ基板上の特徴部表面を保護するステップとを含む、前記方法が提供される。一例において、酸化物エッチング緩衝液は、質量で約0.5%〜約10%の範囲にある濃度の第一アルカノールアミン化合物と、質量で約0.5%〜約10%の範囲にある濃度の第二アルカノールアミン化合物と、質量で約0.5%〜約10%の範囲にある濃度のフッ化水素と、質量で約80%〜約98%の範囲にある濃度の水と、約3.5〜約5の範囲にあるpH値と、約10cP〜約30cPの範囲にある粘度を含む。
[0019]他の実施形態において、BOE溶液の組成物であって、質量で約0.5%〜約10%の範囲にある濃度のDEAと、質量で約0.5%〜約10%の範囲にある濃度のTEAと、質量で約0.5%〜約10%の範囲にある濃度のHFと、質量で約80%〜約98%の範囲にある濃度の水と、約3.5〜約5の範囲にあるpH値と、約10cP〜約30cPの範囲にある粘度とを含む、前記組成物が提供される。
[0020]一例において、酸化物エッチング緩衝液の組成物は、約1%〜約5%の範囲にある濃度のDEAと、約1%〜約5%の範囲にある濃度のTEAと、約1%〜約5%の範囲にある濃度のHFと、約85%〜約95%の範囲にある濃度の水と、約3.8〜4.8の範囲にあるpH値と、約12cP〜約28cPの範囲にある粘度を含む。他の例において、酸化物エッチング緩衝液の組成物は、DEAが約2%〜約3%の範囲にある濃度であり、TEAが約2%〜約3%の範囲にある濃度であり、HFが約1%〜約3%の範囲にある濃度であり、水が約88%〜約94%の範囲にある濃度であり、pH値が約4〜4.5の範囲にあり、更に、粘度が約15cP〜約25cPの範囲にあることを含む。他の例において、酸化物エッチング緩衝液の組成物は、DEAが約3%の濃度であり、TEAが約2%の濃度であり、HFが約2%の濃度であり、水が約92%の濃度であり、pH値が約4〜4.5の範囲にあり、更に、粘度が約15cP〜約25cPの範囲にあることを含む。DEAとTEAとの質量比は、約1〜約5の範囲にあり、好ましくは質量比は約1.5以下であり、粘度は約23cPである。
[0021]他の実施形態において、基板表面から酸化層を選択的に除去する方法であって、未変性酸化物層と特徴部面を有する基板を準備するステップと、基板を酸化物エッチング緩衝液にさらして、未変性表面を形成すると共に基板上の特徴部面を保護しつつ未変性酸化物面を除去するステップとを含む、前記方法が提供される。BOE溶液は、質量で約0.5%〜約10%の範囲にある濃度のDEAと、質量で約0.5%〜約10%の範囲にある濃度のTEAと、質量で約0.5%〜約10%の範囲にある濃度のHFと、質量で約80%〜約98%の範囲にある濃度の水と、約3.5〜約5の範囲にあるpH値と、約10cP〜約30cPの範囲にある粘度を含むのがよい。BOE溶液のpH値は、シリコンのゼロ電荷点、例えば、約4〜約4.5の範囲で調整されるのがよい。BOE溶液は、DEAとTEAとの質量比が約1〜約5の範囲にあるのがよい。BOE溶液の一例において、質量比は約1.5、粘度は約23cPである。
[0022]更に、基板がBOE溶液に約10秒〜120秒の範囲にある時間、好ましくは約15秒〜60秒間、例えば、30秒間さらされる方法が提供される。基板は、BOE溶液に続いてリンス液にさらされるのがよい。その後、金属含有物質、例えば、バリヤ層又は金属シリサイド層が未変性表面上に堆積されるか又は形成されるのがよい。
[0023]本発明の上記特徴が得られ詳細に理解され得るように、上で簡単にまとめた本発明のより具体的な説明は、添付の図面に示されるその実施形態によって参照してもよい。しかしながら、添付の図面は、本発明の典型的な実施形態のみを示し、それ故、本発明の範囲を制限するものとしてみなすべきでなく、本発明が他の等しく有効な実施形態を許容することができることは留意すべきである。
好適実施形態の詳細な説明
[0031]本発明の実施形態は、シリコン基板上に形成されるデバイスに高品質コンタクトレベル接続部を形成するプロセスを提供する。本発明の実施形態は、また、形成された金属コンタクトへの酸化物取り込みを防止するか又は最少にする方法を含み、それは電気抵抗、従って、形成されたデバイスの速度を改善する。本明細書に記載される方法が、一般的には、コンタクト接合部のさらされたシリコン表面に損傷を引き起こすことが知られる従来の水性無電解化学を避けるので、本明細書に記載される方法は、コンタクトが形成される基板の表面上のさらされた領域の攻撃を防止するのに役に立つ。
[0032]図2Aは、コンタクトレベルアパーチャ210が誘電体層204に形成された基板200を示す断面図である。誘電体層204は、一般的には、二酸化シリコンや他の酸化シリコン、シリコンオン インシュレータ(SOI)、オキシ窒化シリコン、フッ素ドープされたケイ酸塩ガラス(FSG)、又はSiOのような炭素ドープされた酸化シリコン、例えば、カリフォルニア州サンタクララにあるAppliedMaterials社から入手できるBLACK DIAMOND(登録商標)低k誘電体が挙げられる絶縁物質を含有するのがよい。コンタクトレベルアパーチャ210は、シリコン接合部202、例えば、MOS型ソース又はドレイン接合部をさらすために従来のリソグラフィとエッチングの技術を用いて誘電体層204内に形成することができる。シリコン接合部202は、一般的には、ドープされたシリコン領域、例えば、n+又はp+ドープされたシリコン領域である。
[0033]酸化物表面212は、典型的には、空気中で処理する間、又はコンタクトレベルアパーチャ210を形成するために用いられるエッチングとアッシングのプロセスの後に、シリコン接合部202の表面に形成される。酸化物表面212は、シリコン接合部202の表面全体に連続する層又は連続しない層であるのがよく、酸素、水素、水酸化物、金属又はそれらの混合物で終了される表面が含まれるのがよい。シリコン接合部202に形成される酸化物表面212は、一般的には、誘電体層204(例えば、SiO)を形成するために典型的に用いられるより非常に安定な酸化物物質に比べて、準安定なより低い品質の酸化物(例えば、SiO、ここで、xは約0.1〜約2である)である。準安定なより低い品質酸化物(例えば、未変性酸化物)は、誘電体層204を形成するために用いる酸化物よりも、この層を除去するのに必要とされる活性化エネルギーがより低いために、シリコン接合部202の表面から除去するのが非常に容易である。
[0034]図3は、コンタクトレベルアパーチャ210を充填するために用いることができる一連の方法ステップ310-340を有するプロセス順序300を示す図である。方法ステップ310-340は、プロセス順序300の異なる相中のコンタクトレベルアパーチャ210を示す種々の断面図である、図2A-2Gに関連して記載される。
酸化物除去と水素化シリコン形成プロセス
[0035]本発明のプロセス順序300の第一ステップ310は、低品質酸化物層をシリコン接合部202の表面から除去し、その後、シリコン接合部202の表面上にシリコン、水素化シリコン(例えば、SiH、ここで、x=1、2又は3)、水酸化シリコン(例えば、Si(OH)、ここで、x=1、2又は3)、又はそれらの組合わせ(例えば、SiH(OH)、ここで、x=1又は2、y=1又は2)を含有することができる水素化シリコン層214を形成するように適合される。好ましくは、水素化シリコン層214は、実質的に、水素化シリコンを含有する。水素化シリコン層214の形成は、以下に記載される続いての金属堆積ステップを容易にするために用いることができる。一般に、たいていは、続いて堆積される金属膜又は続いて形成されるシリサイドコンタクトに酸素を取り込む可能性を減少させるので、シリコン連結表面202上の水素化シリコン層の形成は水酸化シリコンより好ましい。本発明の範囲が本明細書に記載される水素化シリコン層を形成するプロセスに限定されるものでないので、水素化シリコンの形成が他のいかなる従来のプロセス、例えば、カリフォルニア州サンタクララにあるAppliedMaterials社から入手できるSICONITMプラズマ援助洗浄プロセスによっても形成することができることは留意すべきである。
[0036]図2B-図2Cは、水素化シリコン層214がシリコン接合部202上に形成された後のコンタクトレベルアパーチャ210を示す断面図である。図2Cは、図2Bに示されるシリコン接合部202の領域を概略的に示す図であり、シリコン接合部202上に形成される水素化シリコン層214を一般的に示すものである。
[0037]一実施形態において、準安定低品質酸化物層は、選択的に除去され、水素化シリコン層は、酸フッ化物液と添加剤、例えば、エタノールアミン(NH(CH)OH又はEAとしても知られる)、ジエタノールアミン(C11NO又はDEAとしても知られる)、又はトリエタノールアミン(CNO又はTEAとしても知られる)を含有する前洗浄液にさらすことによってシリコン接合部202上に形成される。一般に、望ましい添加剤は、部分的に複雑になり、基板200の表面上のより高密度の酸化シリコン、ケイ酸塩、又はシリコン含有物質に対して比較的活性でないように、フッ化物イオンと相互作用する傾向がある。添加剤は、また、他の望ましい性質を有してもよく、それは湿潤剤として作用することができ、pHとフッ化物緩衝液として作用することができ、更に/又は基板200の表面上に残るエッチングされたシリコン元素のキレート化剤又は安定剤として作用し、溶液に入ることができるがこれらに限定されない。湿潤剤として作用する添加剤は、基板表面(例えば、より親水性)の湿潤性の改善を援助するのに有用であるものであり、乾燥プロセス(即ち、以下で述べるステップ440)の改善も援助するものである。一態様において、エッチングプロセスを制御しやすくするので、単一添加剤を用いる溶液を生成することは望ましいものであり、単一添加剤と同様の機能を行うために用いることができる複数の化学薬品の量を制御する必要がないので、コストがかからないものである。
[0038]本明細書に記載されるプロセスは、準安定低品質酸化物層を選択的に攻撃し、誘電体層204を攻撃しないように設計されて、基板200上に形成されるデバイスに対する損傷を防止する。フッ化物とDEAの組合わせがシリコン上に形成する傾向がある準安定低品質酸化物層を除去するのに効果的であることがわかった。DEAのキレート化と水素結合能のために、フッ化物イオンは、部分的に複雑で、より高密度の酸化シリコン、ケイ酸塩、又はシリコン含有物質に対して活性が低い。添加剤の他の多くの性質と組合わせた選択性は、本発明の実施形態を堆積された又は成長した酸化シリコンと未変性酸化物間のウエットエチング選択性が必要とされる他のIC製造プロセスや他の場所において有用にするものである。
[0039]一実施形態において、ステップ310に用いられる前洗浄液は、調整されたpHが約4〜約4.5のジエタノールアミン(DEA)と濃縮フッ化水素酸の1:1溶液を含有する水溶液を混合することによって形成される。一態様において、pHは、より多くのフッ化水素酸を追加することによって調整される。一実施形態において、基板の表面に荷電されたパーティクルの引力を低下させるように、pHを基板表面のゼロ電荷点(PZC又はpHPZC)に等しいように調節することができる。一態様において、pHは約4である裸のシリコンのPZCに調整される。
[0040]図4は、所望される単一基板処理チャンバ又は複数基板処理チャンバ内で水素化シリコン層214を形成するために用いることができる一連の方法ステップ410-440を有するステップ310を示す一実施形態である。本明細書に記載される本発明の種々の実施形態を行うために用いることができる例示的無電解堆積チャンバとシステムの一例は、更に、2005年1月26日出願の米国第2005-0263066号として公開された、共同譲渡された米国特許出願第11/043,442号に記載され、この開示内容は本明細書に全体で援用されている。
[0041]一態様において、ステップ410に示されるように、任意の溶液脱ガスステップは、ステップ420において基板上に前洗浄液を分配する前に形成された前洗浄液について行われ、前洗浄液中に捕捉されたいかなるガスも、例えば、酸素を除去することができる。一態様において、前洗浄液を形成する前に、前洗浄液(例えば、DEA溶液)の成分の一つ以上について脱ガスステップを行うことは望ましいことである。ステップ410は、ステップ310において前洗浄プロセス中に、シリコン接合部202表面の酸化又は再酸化を減少させるか又は最少にするのに有用なものである。
[0042]ステップ420において、前洗浄液は、基板200表面に分配され、基板表面上に所望される時間保持される。一実施形態において、ステップ420は、基板を回転させて混合を改善すると共に拡散境界層を減少させるので、基板表面全体に前洗浄液を連続して流すことによって完了する。一態様において、基板の温度は、約20℃であり、前洗浄液は、約20℃の温度で基板表面上に分配される。一態様において、基板表面上に分配する前に前洗浄液を加熱することは望ましいものである。
[0043]ステップ430において、基板表面は、溶媒、例えば、DI水を用いてすすぎ、残留する前洗浄液のいずれも除去する。一態様において、すすぎプロセスが効果的であることを確実にするためにすすぎプロセス中に基板を回転させることは望ましいことである。一態様において、いかなる溶解ガス、例えば、酸素を除去するために基板表面上に分配する前に、DI水を脱ガスすることは望まれしいことである。
[0044]ステップ440において、乾燥プロセスは、前洗浄液とすすぎ溶媒が続いてのプロセスステップに影響を及ぼさないことを確実にするために基板上で行われる。一態様において、基板表面の乾燥を促進するすすぎ液に溶媒、例えば、イソプロパノール(IPA)を添加することは望ましいことである。
[0045]一実施形態において、方法ステップ410-440の全ては、低分圧の酸素と低濃度の水蒸気を有する環境で行われる。この構成において、基板の周りの環境は、シリコン接合部202の再酸化の減少を援助し、乾燥プロセスの改善を援助する。一態様において、チャンバを乾燥窒素ガスで連続してパージして、低分圧の酸素と低濃度の水蒸気を有する環境を達成させる。低分圧の酸素と低濃度の水蒸気を有する環境を形成するために用いることができる例示的無電解堆積チャンバとシステムの一例は、更に、2005年1月26日出願の米国特許第2005-0263066号として公開された共同譲渡された米国特許出願第11/043,442号に記載され、この開示内容は本明細書に全体で援用されている。
堆積物接合金属層プロセス
[0046]図2D及び図2Eは、金属層を水素化シリコン層214上に堆積させてシリコン接合部202のさらされた表面上に水素化金属シリサイド層216を形成するプロセス300のステップ320を示す図である。一態様において、このプロセスは、残留水、大気中の酸素暴露、又はステップ410-440で意図的に形成されたものとシリコン接合部202のさらされた表面との相互作用のために、表面上に形成することができる水素化シリコン表面上で行うことができる。他の態様において、このプロセスは、水素化シリコン結合と水素化ゲルマニウム結合(Ge-H)の双方、例えば、組成物がSiGe合金を含むいくつかのコンタクトの表面上に存在することができるものを有する表面上で行うことができる。
[0047]ステップ310で行われる堆積技術は、一般的には、膜成長の開始が水素化シリコン表面との化学反応を含む点で選択的である。一般的に、金属(例えば、図2Eにおける元素M)は、シリコン接合部202の表面でシリコンに直接結合して、水素化金属シリサイド層216(以下と図2Eに示す)を形成する。水素化金属シリサイド層216は、金属、シリコン、水素を含有し、酸素を含むことができる。水素化金属シリサイド層216は、シリコン接合部202の表面上に水素化金属(例えば、MH、ここで、x=1、2、3、4以上、M=金属、例えば、Ni、Co、又はW)、水素化シリコン(例えば、Si(OH)、ここで、x=1、2又は3)、又はそれらの混合物(例えば、SiH(OH)、ここで、x=1又は2、y=1又は2)を含有することができる。好ましくは、水素化金属シリサイド層216は、実質的に水素化金属シリサイド層を含有する。
Figure 2009514238
[0048]金属が水素化結合と反応するこれらの反応は、しばしば、シリル化、シラン化、ヒドロシリル化、ヒドロシラン化、酸化的付加、又は金属挿入プロセスと呼ばれる。本明細書に記載されるステップ310は、基板全体を高温に加熱することを必要とせずに液相で行われ、シリコン金属結合を形成する。
[0049]一般に、ステップ310で行われる反応は、周囲圧力と低温で水素化物表面(例えば、水素化シリコン層214)に連続又は不連続の金属薄膜を堆積させる選択的低温液相反応である。一態様において、プロセス300中の温度は、種々の堆積溶液成分の沸点未満に維持される。シリル化反応は、Si-H結合でシリコンと水素の間にそれ自体を挿入する液相分配金属錯体を含み、金属中心に二つの新たな結合を生成し、それにより、二つの電子によって金属の酸化状態を増大させる。それ故、堆積された金属膜は、シリコン表面に化学的に結合され、シリコン上に直接金属膜を堆積するときにしばしば起こる忠実性と接着の問題が説明される。プロセス300によって可能になる他の利点は、ガルバニ電気化学反応よりも反応を開始する化学的結合の使用であるので、このプロセスの開始速度は、シリコンコンタクト上で従来行われる選択的無電解堆積プロセスにおける場合のように、シリコンドープする物質の種類(例えば、p型又はn型ドーパント)又はドープされた物質の濃度に感受性でない。
[0050]ほとんどの無電解堆積プロセスと対照的にプロセス300の他の利点は、この技術が純粋な物質(例えば、純粋なCoやNi)、従来の無電解体積プロセスによって堆積するのに好ましくない純粋な物質(例えば、純粋なタングステン)、又は従来の無電解堆積プロセスによって堆積するのに好ましくない合金(例えば、非常に高いW含量金属合金)を堆積させるために用いることができることである。以下に記載されるプロセスで生成される反応の種類がSi-H表面結合に依存することから、膜成長速度論は、わずかな原子層がシリコン上の超薄膜金属膜の適用に特によく適した後に変化すると考えられる。これらのような層は、CMOSエレクトロニクスにおける金属シリサイド(例えば、ニッケルシリサイド又はコバルトシリサイド)コンタクトの形成に必要とされる。堆積に用いられる低温は、半導体デバイススタックの製造に必要とされる他の熱処理の熱量問題に有益である。
[0051]他の利点は、化学反応が半導体接合部で成長を開始するために用いられるので、これにより膜成長特性を促進させ、遅延させ、或いは制御する非常に広範囲の方策を可能にする。このことは、薄い膜厚で制御するのが難しいことがわかっており、また、化学組成物がシリコン表面に対して攻撃的である傾向がある、従来のシリコンプロセスに関する無電解堆積と対照的である。一態様において、形成された超薄層は、それ自体シリサイド化として、又は続いての無電解堆積プロセス(例えば、金属)の触媒/保護層として働く。
[0052]図5は、コンタクト接合部202の表面上に水素化金属シリサイド層216を形成するために用いることができる一連の方法ステップ510-530を有するステップ320を示す一実施形態である。一実施形態において、ステップ320とステップ330は、同一の単一基板プロセスチャンバ内で行われる。他の態様において、ステップ320とステップ330は、複数の基板処理チャンバ内で行なわれる。ステップ310の第一方法ステップ510は、基板表面上に金属層を堆積させるために用いられる堆積溶液の形成を必要とする。ステップ510は、どのときよりも前に、又は基板表面上に溶液を分配する前のいかなるときにも、又は分配しつつ行うことができる。一般に、堆積溶液は、溶媒と金属錯体化合物を含有する。例示的溶媒は、一般的には、金属錯体を基板表面に分配することを可能にする性質を持ち、直接シリコン表面と反応しないか又は表面Si-H結合を水のような酸化剤によって攻撃されることを可能にする。例示的溶媒としては、アセトニトリル又はプロピレングリコールモノメチルエーテル(PGME)が含まれるのがよい。一態様において、溶媒溶液は、水を含有せず、シリコン表面又は錯体金属の酸化を防止する低濃度の酸素を持つ。
[0053]一実施形態において、堆積溶液の金属錯体化合物は、酸化状態がゼロか又はほぼゼロであるので非常に反応性であるカルボニル錯体金属を含むことができる水素化シリコン層214と反応するように選択される。この場合、還元剤は、一般的には、堆積ステップ520(以下に述べる)を必要としない。例示的な金属錯体としては、コバルトテトラカルボニル(ジコバルトオクタカルボニル又はCo(CO))、ニッケルジシクロオクタジエン(ビス(1,5-シクロオクタジエン)ニッケル)、及びタングステンカルボニル(W(CO))が挙げられるがこれらに限定されない。一般に、水素化金属シリサイド層216を形成するために多くの異なるタイプの金属を用いることができる。
[0054]次のステップ、堆積ステップ520において、液体堆積溶液の量が基板表面に分配され、所望される時間、堆積反応が行われることを可能にする。一態様において、少なくとも一つ以上の金属単層がシリコン表面上に形成されるまでプロセスを続ける。本発明の実施形態には、一般的には、薄膜(例えば、二つ以上の単層)の堆積を容易にするために、表面、又は金属中心、又はそれらの双方を活性化する方法が含まれる。一実施形態において、プロセスは、酸素と水を含まない環境で行われ、金属-シリコン接合部が従来の化学気相堆積(CVD)、原子層堆積(ALD)、又は無電解技術より優れた非常に低い酸素濃度で形成することを可能にする。コバルトテトラカルボニルとニッケルジシクロオクタジエンを用いてどのように反応が進行するか一例を以下に示す。
Figure 2009514238
[0055]堆積プロセスは、接合部で一連の最初のシリル化反応を含み、続いて熱、化学、又は光分解の手段によってリガントが解離される。
Figure 2009514238
[0056]一態様において、この構成がシリコン表面を腐食するか又は攻撃する続いての堆積プロセスステップにおいて種々の成分からシリコン接合部202のシリコン表面を保護する傾向があると考えられるので、少なくとも二つの単層の膜が形成されるのが望ましい。
[0057]一実施形態において、堆積反応は、室温で、穏やかな熱誘導(溶媒の沸点以下の温度)で、光で、可溶性還元剤又は他の試薬、又はそれらのいずれの組合わせをも添加して、自発的に進行させることができる。
[0058]一実施形態において、シリコン接合部202で形成される金属シリコン結合の最上部上の続いての金属層の堆積を増強し得る還元剤を添加することは望ましいことである。還元剤の種類としては、窒素をベースにした還元剤(例えば、ヒドラジン(HNNH))、有機水素供与体をベースにした還元剤(例えば、1,4ヘキサジエン(C10))、及び可変原子価金属をベースにした還元剤が含まれるのがよい。可変原子価金属は、レドックス状態の間の電子の利用可能性のために金属還元剤として用いられ、Ti3+/Ti4+、Fe2+/Fe3+、Cr2+/Cr3+及びSn2+/Sn4+の化合物が挙げられる。可変原子価金属を含む金属還元剤は、錯化剤及びハライド、例えば、塩化物、フッ化物、臭化物、又はヨウ化物を含む種々のアニオンリガンドを含有することができる。有用な錯化剤は、カルボキシル酸、ジカルボキシル酸、ポリカルボキシル酸、アミノ酸、アミン、ジアミン、ポリアミン、アルキルアミン、アルカノールアミン及びアルコキシアミンが挙げられる官能基を有するのがよい。錯化剤としては、クエン酸、グリシン、エチレンジアミン(EDA)、モノエタノールアミン、ジエタノールアミン(DEA)、トリエタノールアミン(TEA)、それらの誘導体、それらの塩、又はそれらの組合わせが挙げられるのがよい。本明細書に記載されるプロセスで有用な可変原子価金属化合物と還元剤は、更に、2006年3月20日出願の“ElectrolossDeposition Process on a SilicideContact”と称する共同譲渡された米国特許出願第11/385,047号、2006年3月20日出願の“ElectrolessDeposition Processes and Compositions withinHigh AspectRatio Contacts”と称する共同譲渡された米国特許出願第11/385,043号に開示され、それらの開示内容はいずれも本明細書に全体で援用されている。また、可変原子価金属化合物はと還元剤は、更に、V.V.Sviridovら、“Use of Ti(III)Complexes to reduceNi, Co, and Fein WaterSolution”、J.Phys. Chem.、vol.100、pp.19632-19635(1996)、M. Majimaら、“Development of Titanium Redox ElectrolessPlating Method”、SEITechnical Review、vol.54、pp.67-70(2002年6月)、S.Nakaoら、“ElectrolessPure NickelPlating Processwith ContinuousElectrolytic Regeneration System”、Surfaceand CoatingsTechnology、vols. 169-170(1)、pp.132-134(2003年6月)に記載され、これらの開示内容は特許請求の範囲の態様と本明細書における説明と一致する程度に関してそれぞれ援用されている。
[0059]最後の二つのステップ、ステップ530とステップ540は、基板200の表面上のあらゆる残留堆積溶液を除去するために基板表面をすすぎ乾燥するために行われる。ステップ530において、基板表面を溶媒、例えば、DI水を用いてすすぎ、残存する堆積溶液のいずれをも除去する。一態様において、すすぎプロセスが効果的であることを確実にするためにすすぎプロセスで基板を回転させることは望ましいことである。一態様において、いずれの溶解ガスも、例えば、酸素を除去するために基板表面上に分配する前にDI水を脱ガスすることは望ましいことである。ステップ540において、堆積溶液とすすぎ溶媒が続いての処理ステップに影響しないことを確実にするために、乾燥プロセスが基板表面上で行われる。一態様において、基板表面の乾燥を促進させるすすぎ液に溶媒、IPAを加えることは望ましいことである。
任意の金属堆積ステップ
[0060]本発明の実施形態において、任意により、シリコン接合部202の完全なカバレッジを確実にするためにステップ330(図3)で第二金属層218(図2F)が水素化金属シリサイド層216上に堆積されてもよい。一態様において、続いての高温シリサイド化プロセスが基板200上で行われる場合、シリコン接合部202接合部で形成することができる適切な量の金属シリサイドがあることを確実にして信頼できるコンタクトが形成されることを確実にするのに十分な金属を堆積することは望ましいことである。第二金属層218を添加するプロセスは、種々の従来の無電解、CVD又はALD堆積プロセスを用いて行うことができる。一態様において、第二金属層218は、ステップ320で堆積されたのと同一の物質から作られ、水素化金属シリサイド層216上に金属層を選択的に堆積させる無電解堆積プロセスを用いて堆積される。第二金属層218を堆積させるか又は本発明の他の態様を行うために用いることができる例示的な化学とプロセスの例は、更に、2006年3月20日出願の“ElectrolessDeposition Process and Compositions forForming interconnects”と称する共同譲渡された米国特許出願第11/385,290号(9916)、2006年3月20日出願の“ElectrolessDeposition Process on a SilisideContact”と称する共同譲渡された米国特許出願第11/385,047号(9916.02)、2006年3月20日出願の“ContactMetallization Scheme Using a BarrierLayer overa SilicideLayer”と称する共同譲渡された米国特許出願第11/385,344号(9916.03)、2006年3月20日出願の“ElectrolossDeposition Process on a SiliconContact”と称する共同譲渡された米国特許出願第11/385,043号(9916.04)、2006年3月20日出願の“In-situ Silicidation MetallizationProcess”と称する共同譲渡された米国特許出願第11/385,484号(9916.05)に記載され、これらの開示内容は全て本明細書に全体で援用されている。
金属充填ステップ
[0061]本発明の一実施形態において、最終充填デバイスステップ340は、基板200上に形成されるコンタクトレベルアパーチャ210を充填するために行われる。一態様において、ステップ340は、ステップ320が完了した後に行われるので、任意のステップ330(上記)は必要としない。更に他の態様において、ステップ340は、ステップ320とステップ330がコンタクトレベルアパーチャ210上で完了した後に行われる。プロセス300の最後のステップは、基板200上に形成されるコンタクトレベルアパーチャ210を充填する金属層の堆積である。一般に、無電解堆積プロセスは、CVD又はALD堆積プロセスは、金属充填層220(図2G)がステップ340でコンタクトレベルアパーチャ210を充填させるために行われ得る。一態様において、金属充填層220は、従来のCVDタングステン堆積プロセスを用いて形成されるタングステン含有層である。ステップ340に用いられるタングステンCVD堆積プロセスは、約300℃〜約400℃の範囲の温度で従来の六フッ化タングステン前駆物質化学を用いて行うことができる。このステップにおいて、タングステンを含有する金属充填層220は、水素化金属シリサイド層216、又は第二金属層218上に直接堆積される。
[0062]他の態様において、金属充填層220は、上記従来の無電解堆積プロセスを用いて形成されるタングステン含有層である。金属充填層220を形成するために無電解で堆積されることができる典型的な金属としては、ニッケル、タングステン、タングステン合金、コバルト合金、又はそれらの組合わせが挙げられるが、これらに限定されない。
[0063]酸化物エッチング緩衝(BOE)液の組成物及び熱酸化物を含有する基板表面から未変性酸化物層を選択的に除去するプロセス中でBOE溶液を用いる方法を含む本発明の実施形態が提供される。BOE溶液は、一般的には、アルカノールアミン化合物とエッチング剤、例えば、フッ化水素を含有する。一実施形態において、BOE溶液の粘度と湿潤特性は、少なくとも二つのアルカノールアミンン化合物の濃度割合を変化させることによって調整することができる。
[0064]図6は、例えば、コンタクト洗浄適用で、基板を洗浄するためのプロセス600を示すフローチャートである。一実施形態において、プロセス600は、相互製造順序の異なる段階での電子デバイスを示す概略断面図である図7A-図7Eに対応する。プロセス600は、コンタクト洗浄適用で基板700をBOE溶液にさらすためのステップ610と、リンス適用で基板700をすすぐためのステップ620と、基板700上に少なくとも一つの物質を堆積させるか又は形成するステップを含む、続いてのプロセスのためのステップ630を含む。
[0065]他の実施形態において、ステップ310(図3)、ステップ410(図4)又はステップ610(図6)での前処理プロセス又は前洗浄プロセス(例えば、コンタクト洗浄適用)の間、基板又は基板表面をBOE溶液にさらすことができる。ステップ630で、基板又は基板表面上で行われる続いてのプロセスは、ステップ320-340(図3)に記載されるように、金属-シリサイド結合層を堆積させるステップ、所望により金属-シリサイド結合層上に金属層を堆積させてもよいステップ、及び/又はデバイスを充填するステップとを含む。
[0066]図7Aは、誘電体層704内に形成されたコンタクトレベルアパーチャ706を有する基板700を示す断面図である。アパーチャ706は、基板700の場からシリコン接合部702まで伸びている側壁705を含有する。誘電体層204は、一般的には、二酸化シリコンや他の酸化シリコン、シリコンオン インシュレータ(SOI)、オキシ窒化シリコン、フッ素ドープされたケイ酸塩ガラス(FSG)、又はSiOのような炭素ドープされた酸化シリコン、例えば、カリフォルニア州サンタクララにあるAppliedMaterials社から入手できるBLACK DIAMOND(登録商標)低k誘電体が挙げられる絶縁物質を含有することができる。コンタクトレベルアパーチャ706は、シリコン接合部702をさらすために従来のリソグラフィとエッチングの技術を用いて誘電体層704内に形成することができる。或いは、誘電体層704は、その中にコンタクトレベルアパーチャ706を形成してシリコン接合部702上に堆積させることができる。シリコン接合部702は、MOS型ソース又はドレイン接合部であってもよく、一般的には、基板700のドープされた(例えば、n+又はp+)シリコンの領域である。
[0067]未変性酸化物表面710は、典型的には、空気にさらす間に又はコンタクトレベルアパーチャ706を形成するために用いられるエッチングとアッシングのプロセス後に、シリコン接合部702のさらされた表面703上に形成される。未変性酸化物表面710は、さらされた表面703全体に連続層又は不連続層であってもよく、酸素、水素、酸化物、ハライド、金属、又はそれらの組合わせの表面末端が挙げられる。シリコン接合部702に形成される未変性酸化物表面710は、一般的には、典型的には、誘電体層704(例えば、SiO)を形成するために用いられる、より安定な酸化物物質、例えば、熱酸化物に比べてより低品質の準安定酸化物(例えば、SiO、ここで、xは約0.1〜約2である)である。より低品質の準安定酸化物(例えば、“未変性酸化物”)は、誘電体層704よりも活性化エネルギーが小さいために、誘電体層704よりもさらされた表面703から除去するのが非常に容易である。
[0068]一実施形態において、基板700は、ステップ610前に未変性酸化物表面710を更に洗浄するために前処理プロセスにさらすことができる。周囲条件にさらすことから生じる汚染物質は、コンタクトレベルアパーチャ706の形成中に又はその後に未変性酸化物表面710上に蓄積することができる。一例において、汚染物質は、続いてのプロセス、例えば、ステップ610で、未変性酸化物表面710の湿潤を減少させるか又は防止する炭化水素含有又はフッ化炭素含有残渣である。それ故、湿式洗浄プロセスは、残渣と他の汚染物質を基板700から除去するために用いることができ、汚染物質を含まない又は実質的に含まない未変性酸化物表面710が得られる。基板700は、湿式洗浄プロセス、例えば、酸性洗浄プロセス(例えば、高温で保持される塩酸と過酸化水素を含有する溶液、例えば、SC2洗浄)、塩基性洗浄プロセス(例えば、高温で保持される水酸化アンモニウムと過酸化水素を含有する溶液、例えば、SC1洗浄)、又は酸性洗浄プロセスと塩基性洗浄プロセスの双方を含有する一連の湿式洗浄によって処理することができる。
[0069]基板700は、図7Bに示されるように、水素化物表面712を形成しつつ、未変性酸化物表面710を除去するためのBOE溶液にさらすことができる。水素化物表面は、ステップ610でシリコン接合部702のさらされた表面703上に形成される。水素化物表面712は、シリコン、水素化シリコン(例えば、SiH、ここで、x=1,2又は3)、水酸化シリコン(例えば、Si(OH)、x=1、2又は3)、又はそれらの混合物(例えば、SiH(OH)、ここで、x=1又は2、y=1又は2)を含有することができる。一実施形態において、水素物表面712の形成は、ステップ630で続いての金属堆積プロセスを容易にするために用いることができる。一般に、水素化シリコンが堆積された/形成された物質(例えば、金属膜又はシリサイドコンタクト)に酸素を取り込む水酸化シリコンより可能性が少ないので、水素化物表面712内の水素化シリコンの形成は水酸化シリコンより好ましい。
[0070]図7Bは、水素化物表面712がシリコン接合部702上に形成された後にコンタクトレベルアパーチャ706を含有する基板700を示す断面図である。一実施形態において、未変性酸化物表面710の準安定低品質酸化物は、選択的に除去され、水素化物表面712は、基板700をBOE溶液にさらすことによってさらされた表面703上に形成される。誘電体層704は、未変性酸化物表面710を除去するための時間でほとんどエッチングせずに又は全くエッチングせずに維持することができる。一般に、ステップ610は、約5分未満、好ましくは3分未満、例えば、約10秒〜120秒、好ましくは約15秒〜約60秒の範囲で、例えば、約30秒で行われる。
[0071]BOE溶液は、エッチング剤と少なくとも一つ、好ましく二つ以上のアルカノールアミン化合物を含有する水溶液である。エッチング剤は、フッ素源、例えば、フッ化水素であるのがよい。BOE溶液は、質量で約0.25%〜約10%、好ましくは約0.5%〜約5%、より好ましくは約1%〜3%の範囲にある濃度のエッチング剤を含有することができる。一例において、エッチング剤は、約2%の濃度のフッ化水素である。BOE溶液は、また、水を質量で約80%〜約98%、好ましくは約85%〜約95%、より好ましくは約88%〜94%の範囲にある濃度で含有する。一例において、BOE溶液は、約92%の水を含有する。
[0072]アルカノールアミン化合物は、BOE溶液の中に含有する。一般に、アルカノールアミン化合物は、溶解したフッ化水素又は他のエッチング剤からのフッ化物イオンと複合体を形成するか又は相互作用する。それ故、部分的に複合体形成されたフッ化物イオンは、基板700表面上で、例えば、誘電体層704や同様の特徴部内で、より高密度の酸化シリコン、ケイ酸塩、又はシリコン含有物質に対して活性が比較的低くなる。アルカノールアミン化合物は、湿潤剤、pH緩衝剤、フッ化物緩衝剤、キレート化剤、又は基板700の表面に残るとともにBOE溶液に入るエッチングされたシリコン原子のための安定剤として作用しつつ他の望ましい特性を与える。
[0073]一実施形態において、二つ以上のアルカノールアミン化合物は、BOE溶液の粘度を制御するために種々の割合で組合わせることができる。一例において、BOE溶液の粘度は、BOE溶液の中に混合された少なくとも二つのアルカノールアミン化合物の質量比によって決定される。他の例において、粘度は、BOE溶液の中に混合された少なくとも三つのアルカノールアミン化合物の質量比によって決定される。基板700は、その上にBOE溶液のアリコートを含有しつつ、例えば、ステップ610で、遠心回転プロセスにさらされるのがよい。BOE溶液の粘度は、回転されつつ基板700上にBOE溶液の所定量を維持するために調整することができる。また、基板700の湿潤性は、BOE溶液の粘度を調整することによって制御することができる。それ故、エッチングの選択性は、部分的には、BOE溶液の粘度によって制御することができる。BOE溶液は、約50cP以下、好ましくは約40cP以下、例えば、約10cP〜約30cP、好ましくは約12cP〜約28cP、より好ましくは約15cP〜約25cPの範囲にある動的粘度を有するのがよい。一例において、粘度は約23cPである。
[0074]第一アルカノールアミン化合物と第二アルカノールアミン化合物との質量比は、約1〜約10の範囲、他の例においては、約1〜約5の範囲、他の例においては、約1〜約3の範囲にあり、例えば、約1.5又は1.1であるのがよい。本明細書に記載されるBOE溶液を形成するために用いることができるアルカノールアミン化合物としては、モノアルカノールアミン化合物(RNH)、ジアルカノールアミン化合物(RNH)、トリアルカノールアミン化合物(RH)、又はそれらの組合わせが挙げられ、ここで、Rは、それぞれ独立して、メタノール(HOCH-)、エタノール(HOC-)、プロパノール(HOC-)、ブタノール(HOC-)、又はそれらの誘導体を含むアルカノール基である。一実施形態において、好ましいアルカノールアミン化合物としては、エタノールアミン(EA、(HOCHCH)NH)、ジエタノールアミン(DEA、(HOCHCH)NH)、トリエタノールアミン(TEA、(HOCHCH)N)、メタノールアミン((HOCH)NH)、ジメタノールアミン((HOCH)NH)、トリメタノールアミン((HOCH)N)、ジエタノールメタノールアミン((HOCH)N(CHCHOH))、エタノールジメタノールアミン((HOCH)N(CHCHOH))、それらの誘導体、又はそれらの組合わせが挙げられる。
[0075]BOE溶液は、第一アルカノールアミン化合物を質量で約0.5%〜約10%、好ましくは約1%〜約5%、より好ましくは約2%〜約3%の範囲にある濃度で含むことができる。また、BOE溶液は、第二アルカノールアミン化合物を質量で約0.5%〜約10%、好ましくは約1%〜約5%、より好ましくは約2%〜約3%の範囲にある濃度で含むことができる。ある実施形態において、BOE溶液の組成物は、二つの異なるアルカノールアミン化合物を含有し、他の実施形態は、単一アルカノールアミン化合物、三つのアルカノールアミン化合物、又はそれ以上を含む組成物を与える。それ故、BOE溶液は、一つのアルカノールアミン化合物、好ましくは二つの異なるアルカノールアミン化合物を含有することができ、望ましい粘度のBOE溶液によっては三つ以上の異なるアルカノールアミン化合物を含有することができる。代替的実施形態において、BOE溶液は、第三アルカノールアミン化合物を質量で約0.5%〜約10%、好ましくは約1%〜約5%、より好ましくは約2%〜約3%の範囲にある濃度で含有することができる。例えば、BOE溶液は、EAと、DEAと、TEAを含有することができる。一実施形態において、BOE溶液の粘度は、より高い質量比TEA:DEAを与えることによって増大させることができる。或いは、他の実施形態において、BOE溶液の粘度は、より高い質量比EA:DEAを与えることによって低減させることができる。
[0076]一例において、第一アルカノールアミン化合物は、DEAであり、第二アルカノールアミン化合物は、TEAである。他の例において、第一アルカノールアミン化合物は、DEAであり、第二アルカノールアミン化合物は、EAである。他の例において、第一アルカノール化合物は、TEAであり、第二アルカノールアミン化合物は、EAである。他の例において、第一アルカノールアミン化合物は、約15cP〜約25cPの範囲にあるBOE溶液の粘度を有するBOE溶液中の濃度又は質量で約1%〜約15%の範囲にあるBOE溶液の濃度のDEAである。他の例において、第一アルカノールアミン化合物は、約3%の濃度のDEAであり、第二アルカノールアミン化合物は、約2%の濃度のTEAである。
[0077]BOE溶液は、酸性水溶液として形成される。pH調整剤は、BOE溶液のpH値を調節するために添加することができる。BOE溶液は、約7未満、好ましくは約6未満のpH値を、例えば、約3.5〜約5、好ましくは約3.8〜4.8、より好ましくは約4〜4.5のpH範囲で維持する濃度でpH調整剤を含有することができる。pH調整剤としては、追加のアルカノールアミン化合物(例えば、EA、DEA、又はTEA)、追加のフッ化水素(HF)又はフッ化水素酸、硫酸、水酸化アンモニウム、水酸化テトラメチルアンモニウム、それらの塩、それらの誘導体、又はそれらの組合わせが挙げられてもよい。一実施形態において、BOE溶液のpH値は、ゼロ電荷点(PZC)のシリコンに、例えば、約4〜約4.5のpH範囲内に調整される。一般的には、酸化シリコンは、約3.5以下のpH値のPZCを有する。それ故、一実施形態において、BOE溶液は、約3.5を超え約6未満のpH値を有する。
[0078]熱酸化物より未変性酸化物を選択的に除去するエッチングプロセスは、BOE濃縮物と水とを混合してBOE溶液を生成させる予備混合BOE溶液又はインライン混合プロセスを用いることができる。一例において、BOE濃縮物と水は、ユースポイントで混合されて、BOE溶液を効率的且つ効果的に形成する。BOE溶液は、BOE濃縮物を種々の割合の水で希釈することによって形成することができる。一例において、BOE溶液は、一体積当量の濃縮物と二体積当量の脱イオン水を混合することによって形成される。他の例において、BOE溶液は、一体積当量の濃縮物と三体積当量の脱イオン水を混合することによって形成される。他の例において、BOE溶液は、一体積当量の濃縮物と四体積当量の脱イオン水を混合することによって形成される。他の例において、BOE溶液は、一体積当量の濃縮物と六体積当量の脱イオン水を混合することによって形成される。
[0079]一例において、BOE溶液は、質量で、約2%〜約4%、好ましくは約3%のDEA濃度と、約1%〜3%、好ましくは約2%のTEA濃度と、約1%〜約3%、好ましくは2%のTEA濃度と、約1%〜約3%、好ましくは2%のHF濃度と、約90%〜約93%、好ましくは約91%〜約95%、より好ましくは約93%の水濃度を含有する。BOE溶液は、約4〜約4.5の範囲にあるpH値、例えば、約4.25と、約15cP〜約30cPの範囲にある粘度、例えば、約23cPを有するのがよい。
[0080]他の例において、BOE溶液は、質量で、約1%〜約3%、好ましくは約2%の濃度のDEAと、約2%〜4%、好ましくは約3%の濃度のTEAと、約1%〜約3%、好ましくは約2%の濃度のTEAと、約1%〜約3%、好ましくは約2%の濃度のHFと、約90%〜約96%、好ましくは約91%〜約95%、より好ましくは約93%の濃度の水を含有する。BOE溶液は、約4〜約4.5の範囲にあるpH値、例えば、約4.25と、約15cP〜約30cPの範囲にある粘度、例えば、約25cPを有するのがよい。
[0081]他の例において、BOE溶液は、質量で、約1%〜約10%、好ましくは約5%の濃度のDEAと、約1%〜約3%、好ましくは約2%の濃度のHFと、約90%〜約96%、好ましくは約92%〜約94%、より好ましくは約93%の濃度の水を含有する。BOE溶液は、約4〜約4.5の範囲にあるpH値、例えば、約4.25と、約15cP〜約30cPの範囲にある粘度、例えば、約18cPを有するのがよい。
[0082]他の例において、BOE溶液は、質量で、約1%〜約10%、好ましくは約5%のTEA濃度と、約1%〜約3%、好ましくは約2%のHF濃度と、約90%〜約96%、好ましくは約92%〜約94%、より好ましくは約93%の水濃度を含有する。BOE溶液は、約4〜約4.5の範囲にあるpH値、例えば、約4.25と、約15cP〜約30cPの範囲にある粘度、例えば、約30cPを有するのがよい。
[0083]ステップ610の一実施形態において、BOE溶液は、未変性酸化物表面710を有する基板700と熱酸化物を含有する詳しくパターン形成された領域、例えば、誘電体層704に適用される。BOE溶液は、0.5M DEA-TEA-HF(0.5Mの合計アルカノールアミン)、約4.25のpH値、約23cPの粘度を含有する。基板700は、室温(約20℃)で維持され且つ約30秒間BOE溶液にさらされるのがよい。その後、未変性酸化物表面710は完全に除去されるのがよく、水素化物層712が形成され、誘電体層704はほとんど又は全くエッチングを受けない。基板700は、ステップ620で完全に水ですすがれ、ガスフロー(例えば、N、H、Ar、又はそれらの混合物)によって乾燥される。
[0084]図7C-図7Dは、ステップ630で実施することができる一実施形態に記載されるように、シリサイド化形成プロセスと続いてのコンタクト充填プロセス中の基板700示す断面図である。図7Cは、シリコン接合部702の水素化物表面と誘電体層704の上に分配された金属層714を示す図である。一般に、金属層714は、シリコン物質が続いての熱処理ステップでさらされた表面703のシリコン接合部702に含有した金属シリサイドを形成する金属を含有する。金属層714は、ニッケル、チタン、タンタル、コバルト、モリブデン、タングステン、それらの合金、それらの窒化物、又はそれらの組合わせを含有することができる。金属層714は、ALDプロセス、PVDプロセス、CVDプロセス、又は無電解堆積プロセスを用いて選択的に又は非選択的に堆積させることができる。好ましい無電解プロセスは、更に、2006年3月20日出願の“ContactMetallizatioin Scheme Using a BarrierLayer overa SilicideLayer”と称する共同譲渡された米国特許出願第11/385,344号(9916.03)、2006年3月20日出願の“ElectrolessDeposition Process on a SiliconContact”と称する共同譲渡された米国特許出願第11/385,043号(9916.04)に記載され、これらの開示内容は共に本明細書に全体で援用されている。一例において、金属層714は、無電解堆積プロセスを用いて堆積されるニッケル含有金属を含有する。金属層714は、厚さが約5オングストローム〜約100オングストローム、好ましくは約10オングストローム〜約50オングストローム、より好ましくは約10オングストローム〜約30オングストロームの範囲に堆積させることができる。
[0085]基板700は、金属層714とシリコン接合部702の接合部で金属シリサイド層716を形成するために熱プロセス、例えば、従来のアニールプロセス又は急速熱プロセス(RTP)にさらすことができる。一般的には、シリサイド形成プロセスは、金属シリサイド層716の表面又は他のコンタクト表面に対する酸化又は損傷を防止するために真空又は不活性環境中で行うことができる。基板700は、約300℃〜約450℃の範囲にある温度に約30秒〜10分間の範囲の時間加熱することができる。一例において、金属シリサイド層716は、シリコン接合部702のさらされた表面703上にニッケルシリサイド物質を含有する。シリサイド形成プロセスステップは、コンタクトレベルアパーチャ706内の金属層714とシリコン接合部702の間にコンタクト抵抗を減少させるために用いることができる。
[0086]選択により、続いて堆積された層又は他のコンタクトレベルアパーチャ要素に金属層714が拡散することを阻止するために、金属シリサイド層716の上に薄層コバルト含有層が堆積されてもよい。一例において、コバルト含有層は、金属シリサイド層716を形成する前に堆積されるので、金属層714上に直接堆積される。一般に、コバルト含有層(図示せず)は、二元合金又は三元合金、例えば、ホウ化コバルト(CoB)、リン化コバルト(CoP)、リン化コバルトタングステン(CoWP)、ホウ化コバルトタングステン(CoWB)、リン化コバルトモリブデン(CoMoP)、ホウ化コバルトモリブデン(CoMoB)、ホウ化コバルトレニウム(CoReB)、リン化コバルトレニウム(CoReP)、それらの誘導体、それらの合金、又はそれらの組合わせである。一態様において、コバルト含有層(図示せず)は、厚さが約5オングストローム〜約100オングストローム、好ましくは約10オングストローム〜約50オングストローム、より好ましくは約10オングストローム〜約30オングストロームの範囲に堆積させることができる。好ましく、コバルト含有層は、無電解堆積プロセス、例えば、2004年1月22日出願の米国第2005-0181226号として公開された共同譲渡された米国特許出願第11/040,962号や2004年10月18日出願の米国第2005-0095830号として公開された共同譲渡された米国特許出願第10/967,644号に記載されるプロセスを用いて堆積され、これらの開示内容は本明細書に全体で援用されている。
[0087]図7C及び図7Eは、ステップ630で実施することができる他の実施形態に記載されるように、バリヤ層堆積プロセスと続いてのコンタクト充填プロセス中の基板700を示す断面図である。図7Cは、シリコン接合部702の水素化物表面と誘電体層704の上に分配された金属層714を示す図である。一般に、金属層714は、金属、窒化金属、窒化シリコン金属を含有する。金属層714は、タンタル、窒化タンタル、窒化シリコンタンタル、チタン、窒化チタン、窒化シリコンチタン、ルテニウム、タングステン、窒化タングステン、それらの合金、それらの誘導体、それらの組合わせを含有することができる。金属層714は、コンタクトレベルアパーチャ706の側壁705上と水素化物表面712と基板700の場全体にALDプロセス、PVDプロセス、CVDプロセス、又は無電解堆積プロセス、又はそれらの組合わせを用いて堆積させるか又は形成させることができる。
[0088]金属層714は、一つの物質の単層又は種々の物質の多層を含むことができる。金属層714の組成物は、タンタル、窒化タンタル、窒化シリコンタンタル、窒化チタン、窒化シリコンチタン、ルテニウム、タングステン、窒化タングステン、それらの合金、それらの誘導体、又はそれらの組合わせを含有することができる。一例において、金属層714は、PVDプロセスによるタンタル層をPVDプロセスによって堆積された窒化タンタル層に堆積させることによって形成される。他の例において、金属層714は、ALDプロセスによるタンタル層をALDプロセスによる堆積された窒化タンタル層に堆積させることによって形成される。
[0089]所望により、コンタクトプラグ720を形成するためにコンタクトレベルアパーチャ706を導電材料で充填する前に金属層714上にシード層(図示せず)を堆積させてもよい。シード層は、銅、ルテニウム、コバルト、タンタル、チタン、タングステン、レニウム、パラジウム、白金、ニッケル、それらの合金、又はそれらの組合わせを含有することができ、PVDプロセス、ALDプロセス、又は無電解堆積プロセスによって堆積させることができる。
[0090]コンタクトレベルアパーチャ706は、図7D及び図7Eに示されるように、その上にコンタクトプラグ720を形成するために導電性金属で充填することができる。コンタクトプラグ720内に含有される導電性金属としては、銅、タングステン、アルミニウム、銀、それらの合金、又はそれらの組合わせが挙げられるのがよい。コンタクトプラグ720は、ALDプロセス、PVDプロセス、CVDプロセス、電気化学めっきプロセス(ECP)、無電解堆積プロセス、又はそれらの組合わせで導電材料を堆積させることによって形成することができる。コンタクトプラグ720は、単一堆積プロセス中に単一導電材料によって充填することができ又はコンタクトプラグ720は、複数の堆積プロセス中に、例えば、シード層、バルク層、及び/又は続いての充填層を形成することによって、複数の導電材料によって充填することができる。一例において、コンタクトプラグ720は、無電解堆積プロセスで銅又は銅合金で充填される。他の例において、コンタクトプラグ720は、ALDプロセスに続いてCVDプロセスでタングステン又はタングステン合金で充填される。
[0091]本明細書に記載されるプロセスは、酸化物エッチング緩衝(BOE)プロセス又は無電解堆積プロセス(EDP)を行うのに適した装置内で行うことができる。適切な装置としては、カリフォルニア州サンタクララにあるAppliedMaterials社から入手できるSLIMCELLTM処理プラットホームが挙げられる。SLIMCELLTMプラットホームは、例えば、BOEプロセスで湿式洗浄セル内で未変性酸化物をエッチングし且つEDPセル内で導電材料を堆積させることができる集積システムである。SLIMCELLTMプラットフォームとしては、一般的には、湿式洗浄セル又はエッチングセルや一つ以上のEDPセルだけでなく、一つ以上の堆積前又は堆積後セル、例えば、回転・リンス・乾燥(SRD)セル又はアニーリングチャンバが挙げられる。本明細書に記載されるように、BOEプロセスを行うのに有用なプロセスシステム、プラットホーム、チャンバ、セルだけでなく、無電解堆積プロセスは、更に、2002年1月28日出願の米国第2003-0141018号として公開された“ElectrolessDeposition Apparatus”と称する共同譲渡された米国特許出願第10/059,572号、2004年10月14日出願の米国第2005-0081785号として公開された“Apparatus for ElectrolessDeposition”と称する米国特許出願第10/965,220号、2004年11月22日出願の米国第2005-0160990号として公開された“Apparatusfor ElectrolessDeposition of Metals on SemiconductorWafers”と称する米国特許出願第10/996,342号、2005年1月26日出願の米国第2005-0263066号として公開された“Apparatusfor ElectrolessDeposition of Metals on SemiconductorWafers”と称する米国特許出願第11/043,442号、2005年6月6日出願の米国第2005-0260345号として公開された“Apaaratusfor ElectrolssDeposition of Metals on SemiconducterWafers”と称する米国特許出願第11/175,251号、2005年7月29日出願の米国第2006-0033678号として公開された“IntegratedElectroless Deposition System”と称する米国特許出願第11/192,993号に開示され、これらの開示内容はそれぞれ本明細書に特許請求された態様と説明と一致する程度に関して援用されている。
[0092]本明細書に用いられる“基板表面”は、あらゆる基板又は膜処理が行われる基板上に形成される物質表面を意味する。例えば、処理を行うことができる基板表面としては、単結晶、多結晶、又はアモルファスシリコン、歪みシリコン、シリコンオン インシュレータ(SOI)、ドープされたシリコン、フッ素がドープされたケイ酸塩ガラス(FSG)、シリコンゲルマニウム、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、酸化シリコン、窒化シリコン、オキシ窒化シリコン、又は炭素がドープされた酸化シリコン、例えば、SiO、例えば、カリフォルニア州サンタクララにあるAppliedMaterials社から入手できるBLACK DIAMOND(登録商標)低k誘電体のような物質を挙げることができる。基板は、種々の寸法、例えば、200mm又は300mm径のウエハだけでなく、矩形又は正方形を有する。本発明の実施形態が有効なものである基板としては、半導体ウエハ、例えば、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化シリコン、歪みシリコン、シリコンゲルマニウム、ドープされた又はドープされていない多結晶シリコン、ドープされた又はドープされていないシリコンウエハ、パターン形成された又はパターン形成されていないウエハが挙げられるがこれらに限定されない。ガラス又はプラスチックからできた、例えば、フラットパネルディスプレイや他の同様のデバイスを製造するために一般に用いられる基板もまた、本明細書に記載される実施形態で用いることができる。
実験
[0093]例1-DEA-HF濃縮物:ジエタノールアミン(DEA)99.5%(1モル、105.1g)をその融点まで加熱し、最少の超純水に溶解して500mL容器内で濃縮液を形成する。容器に、200mLの希釈された10重量%のフッ化水素酸、又は1モルのHFを溶液の過度の加熱を充分防止するように徐々に添加する。溶液のpH値を、48重量%のHF又は33重量%の水酸化テトラメチルアンモニウム(TMAH)、又は非フッ化物含有酸、例えば、硫酸(HSO)を直接添加して所望されるpH範囲に調整する。その溶液を純水で500mLの容器に希釈する。DEA-HF濃縮物は、約2MのDEA濃縮物を有する。
[0094]例1.1-pH6-7のDEA-HF濃縮物:約6〜約7の範囲にあるpH値を有する500mLのDEA-HF濃縮物(約500g)は、約105gのDEA(約20重量%)と、約20gのHF(約5重量%)と、約375g(約75重量%)の水を含有する。
[0095]例1.2-pH4-4.5のDEA-HF濃縮物:約4〜約4.5の範囲にあるpH値を有する500mLのDEA-HF濃度(約500g)は、約105gのDEA(約20重量%)と、約35gのHF(約7重量%)と、約365g(約73重量%)の水を含有する。約4〜約4.5の範囲にあるゼロ電荷点(PZC)のシリコンに調整する。
[0096]例1.3-DEA-HF溶液:例1.2で調製した2MDEA濃度を水と1:4の割合で混合することによって希釈する。2LのDEA-HF溶液は、約105gのDEA(約5重量%)と、約35gのHF(約2重量%)と、約1,860g(約93重量%)の水を含有する。DEA-HF溶液は、約0.5MのDEA濃度を有する。
[0097]例2-DEA-TEA-HF濃縮物:DEA(1モル、約55g)とトリエタノールアミン(TEA)(1モル、約50g)をその融点まで加熱し、最少の超純水に溶解して500mL容器内で濃縮液を形成する。容器に、200mLの希釈された10重量%のHF又は1モルのHFを溶液の過度の加熱を充分防止するように徐々に添加する。溶液のpH値を、48重量%のHF又は33重量%のTMAH、又は非フッ化物含有酸、例えば、硫酸を直接添加して所望されるpH範囲に調整する。その溶液を純水で500mLの容積に希釈する。その溶液は約4〜4.5のpH値を有する。DEA-TEA-HF濃縮物は、約2MのDEA-TEA濃縮物と約1:1のDEA:TEA質量比を有する。
[0098]例2.1-pH4-4.5のDEA-TEA-HF濃縮物:約4〜約4.5の範囲にあるpH値を有する500mLのDEA-TEA-HF濃縮物(約500g)は、約55gのDEA(約10重量%)と、約50gのTEA(約10重量%)と、約35gのHF(約7重量%)と、約365g(約73重量%)の水を含有する。pH値を、約4〜約4.5の範囲にあるゼロ電荷点(PZC)のシリコンに調整する。
[0099]例2.2-DEA-TEA-HF溶液:例2.1で調製した2MDEA-TEA濃縮物を水と1:4の割合で混合することによって希釈する。2LのDEA-TEA-HF溶液は、約55gのDEA(約3重量%)と、約50gのTEA(約2重量%)と、約35gのHF(約2重量%)と、約1,860g(約93重量%)の水を含有する。DEA-TEA-HF溶液は、約0.5MのDEA-TEA濃縮物と約23の粘度を有する。
[0100]例3-DEA-TEA-HF溶液を用いるプロセス:基板を25mL試料の例2.2に記載されるDEA-TEA-HF溶液にさらす。室温(20℃)のシリコン基板は、特にパターン形成された領域にさらされた未変性酸化物の領域を有する。30秒以下の処理時間が、誘電体層をほとんど又は全くエッチングさせず未変性酸化物を完全に除去するのに十分な時間であった。
[0101]上記は本発明の実施形態に関するが、他の多くの本発明の実施形態も本発明の基本的範囲から逸脱することなく構成することができ、本発明の範囲は以下の特許請求の範囲によって決定される。
図1Aは、当該技術によって記載されるプロセスによって形成される集積回路を示す概略断面図である。 図1Bは、当該技術によって記載されるプロセスによって形成される集積回路を示す概略断面図である。 図1Cは、当該技術によって記載されるプロセスによって形成される集積回路を示す概略断面図である。 図1Dは、当該技術によって記載されるプロセスによって形成される集積回路を示す概略断面図である。 図1Eは、当該技術によって記載されるプロセスによって形成される集積回路を示す概略断面図である。 図1Fは、当該技術によって記載されるプロセスによって形成される集積回路を示す概略断面図である。 図2Aは、本明細書の実施形態の中に記載されるデバイスアパーチャを充填するプロセスによって形成される集積回路を示す概略断面図である。 図2Bは、本明細書の実施形態の中に記載されるデバイスアパーチャを充填するプロセスによって形成される集積回路を示す概略断面図である。 図2Cは、本明細書の実施形態の中に記載されるデバイスアパーチャを充填するプロセスによって形成される集積回路を示す概略断面図である。 図2Dは、本明細書の実施形態の中に記載されるデバイスアパーチャを充填するプロセスによって形成される集積回路を示す概略断面図である。 図2Eは、本明細書の実施形態の中に記載されるデバイスアパーチャを充填するプロセスによって形成される集積回路を示す概略断面図である。 図2Fは、本明細書の実施形態の中に記載されるデバイスアパーチャを充填するプロセスによって形成される集積回路を示す概略断面図である。 図2Gは、本明細書の実施形態記載されるデバイスアパーチャを充填するプロセスによって形成される集積回路を示す概略断面図である。 図3は、実施形態の中に記載される無電解堆積プロセスを示すフローチャートである。 図4は、本明細書の実施形態の中に記載される前洗浄プロセスを示すフローチャートである。 図5は、本明細書の実施形態の中に記載される無電解堆積プロセスを示すフローチャートである。 図6は、本明細書の実施形態の中に記載されるプロセスを示すフローチャートである。 図7Aは、本明細書の実施形態によって記載される製造プロセスの異なる段階での基板を示す断面図である。 図7Aは、本明細書の実施形態によって記載される製造プロセスの異なる段階での基板を示す断面図である。 図7Aは、本明細書の実施形態によって記載される製造プロセスの異なる段階での基板を示す断面図である。 図7Aは、本明細書の実施形態によって記載される製造プロセスの異なる段階での基板を示す断面図である。 図7Aは、本明細書の実施形態によって記載される製造プロセスの異なる段階での基板を示す断面図である。
符号の説明
100…基板、102…コンタクト層、103…プラグ、104…誘電体層、105…アパーチャ、106…タングステン層、107…開口部、108…ボイド、110…ギャップ、112…導電層、114…ボイド、116…物質、118…ボイド、120…層、
200…基板、202…シリコン接合部、204…誘電体層、210…コンタクトレベルアパーチャ、212…酸化物表面、214…水素化シリコン層、216…水素化金属シリサイド層、218…第二金属層、220…金属充填層、700…基板、702…シリコン接合部、703…さらされた表面、704…誘電体層、705…側壁、706…コンタクトレベルアパーチャ、710…未変性酸化物表面、712…水素化物表面、714…金属層、716…金属シリサイド層、720…コンタクトプラグ。

Claims (31)

  1. 基板上に物質を堆積させる方法であって:
    基板を酸化物エッチング緩衝液にさらして、前処理プロセスで該基板上に水素化シリコン層を形成するステップであって、該酸化物エッチング緩衝液が、ジエタノールアミンと、トリエタノールアミンと、フッ化水素とを含む、前記ステップと;
    該基板上に金属シリサイド層を堆積させるステップと;
    該金属シリサイド層上に金属物質を堆積させるステップと;
    を含む前記方法。
  2. 該金属シリサイド層が、コバルト、ニッケル、タングステン、それらの合金、又はそれらの組合わせを含む、請求項1に記載の方法。
  3. 該金属シリサイド層を、無電解堆積プロセスで該基板を堆積溶液にさらすことによって堆積させる、請求項2に記載の方法。
  4. 該堆積溶液が、溶媒と金属錯体化合物とを含む、請求項3に記載の方法。
  5. 該金属錯体化合物が、コバルトテトラカルボニル、ニッケルジシクロオクタジエン、及びタングステンカルボニルからなる群より選ばれる、請求項4に記載の方法。
  6. 該溶媒が、アセトニトリル又はプロピレングリコールモノメチルエーテルである、請求項5に記載の方法。
  7. 該金属物質が、タングステン又はタングステン合金を含む、請求項1に記載の方法。
  8. 該酸化物エッチング緩衝液が、更に:
    質量で約0.5%〜約10%の範囲にある濃度の該ジエタノールアミンと;
    質量で約0.5%〜約10%の範囲にある濃度の該トリエタノールアミンと;
    質量で約0.5%〜約10%の範囲にある濃度の該フッ化水素と;
    質量で約80%〜約98%の範囲にある濃度の該水と;
    を含む、請求項1に記載の方法。
  9. 該酸化物エッチング緩衝液が、更に、約3.5〜約5の範囲にあるpH値と約10cP〜約30cPの範囲にある粘度を含む、請求項8に記載の方法。
  10. 該酸化物エッチング緩衝液が:
    該ジエタノールアミンが約2%〜約3%の範囲にある濃度であり;
    該トリエタノールアミンが約2%〜約3%の範囲にある濃度であり;
    該フッ化水素が約1%〜約3%の範囲にある濃度であり;
    該水が約88%〜約94%の範囲にある濃度であり;
    該pH値が約4〜約4.5の範囲にあり;更に、
    該粘度が約15cP〜約25cPの範囲にある;
    ことを更に含む、請求項8に記載の方法。
  11. 基板上に物質を堆積させる方法であって:
    基板を酸化物エッチング緩衝液にさらして、前処理プロセスで該基板上に水素化シリコン層を形成するステップであって、該酸化物エッチング緩衝液が、フッ化水素と、エタノールアミン、ジエタノールアミン、及びトリエタノールアミンからなる群より選ばれる化合物の少なくとも二つとを含む、前記ステップと;
    該基板上に金属シリサイド層を堆積させるステップと;
    該金属シリサイド層上に第一金属層を堆積させるステップと;
    を含む前記方法。
  12. 該金属シリサイド層が、コバルト、ニッケル、タングステン、それらの合金、又はそれらの組合わせを含む、請求項11に記載の方法。
  13. 該金属シリサイド層を、無電解堆積プロセスで該基板を堆積溶液にさらすことによって堆積させる、請求項11に記載の方法。
  14. 該堆積溶液が、溶媒と金属錯体化合物とを含む、請求項13に記載の方法。
  15. 該金属錯体化合物が、コバルトテトラカルボニル、ニッケルジシクロオクタジエン、及びタングステンカルボニルからなる群より選ばれる、請求項14に記載の方法。
  16. 該溶媒が、アセトニトリル又はプロピレングリコールモノメチルエーテルである、請求項15に記載の方法。
  17. 該第一金属層上に第二金属層を堆積させるステップを更に含む、請求項11に記載の方法。
  18. 該第二金属層が、タングステン又はタングステン合金を含む、請求項17に記載の方法。
  19. 該酸化物エッチング緩衝液が、更に:
    質量で約0.5%〜約10%の範囲にある濃度のジエタノールアミンと;
    質量で約0.5%〜約10%の範囲にある濃度のトリエタノールアミンと;
    質量で約0.5%〜約10%の範囲にある濃度の該フッ化水素と;
    質量で約80%〜約98%の範囲にある濃度の該水と;
    を含む、請求項11に記載の方法。
  20. 該酸化物エッチング緩衝液が、更に、約3.5〜約5の範囲にあるpH値と、約10cP〜約30cPの範囲にある粘度とを含む、請求項19に記載の方法。
  21. 該酸化物エッチング緩衝液が:
    該ジエタノールアミンが約2%〜約3%の範囲にある濃度であり;
    該トリエタノールアミンが約2%〜約3%の範囲にある濃度であり;
    該フッ化水素が約1%〜約3%の範囲にある濃度であり;
    該水が約88%〜約94%の範囲にある濃度であり;
    該pH値が約4〜約4.5の範囲にあり;更に
    該粘度約15cP〜約25cPの範囲にある;
    ことを更に含む、請求項19に記載の方法。
  22. 基板上に物質を堆積させるための方法であって、
    基板を酸化物エッチング緩衝液にさらして、前処理プロセスで該基板上に水素化シリコン層を形成するステップであって、該酸化物エッチング緩衝液が、フッ化水素と少なくとも二つの異なるアルカノールアミン化合物とを含む、前記ステップと;
    該基板上に金属シリサイド層を堆積させるステップであって、該金属シリサイド層が、コバルト、ニッケル、及びタングステンからなる群より選ばれる少なくとも一つの元素を含む、前記ステップと;
    該金属シリサイド層上に金属物質を堆積させるステップと;
    を含む前記方法。
  23. 該金属シリサイド層を、無電解堆積プロセスで該基板を堆積溶液にさらすことによって堆積させる、請求項22に記載の方法。
  24. 該堆積容積が、溶媒と金属錯体化合物とを含む、請求項23に記載の方法。
  25. 該金属錯体化合物が、コバルトテトラカルボニル、ニッケルジシクロオクタジエン、及びタングステンカルボニルからなる群より選ばれる、請求項24に記載の方法。
  26. 該溶媒が、アセトニトリル又はプロピレングリコールモノメチルエーテルである、請求項25に記載の方法。
  27. 該金属物質が、タングステン又はタングステン合金を含む、請求項22に記載の方法。
  28. 該少なくとも二つの異なるアルカノールアミン化合物が、エタノールアミン、ジエタノールアミン、及びトリエタノールアミンからなる群より選ばれる、請求項22に記載の方法。
  29. 該酸化物エッチング緩衝液が、更に:
    質量で約0.5%〜約10%の範囲にある濃度のジエタノールアミンと;
    質量で約0.5%〜約10%の範囲にある濃度のトリエタノールアミンと;
    質量で約0.5%〜約10%の範囲にある濃度の該フッ化水素と;
    質量で約80%〜約98%の範囲にある濃度の該水と;
    を含む、請求項28に記載の方法。
  30. 該酸化物エッチング緩衝液が、更に、約3.5〜約5の範囲にあるpH値と約10cP〜約30cPの範囲にある粘度とを含む、請求項29に記載の方法。
  31. 該酸化物エッチング緩衝液が:
    該ジエタノールアミンが約2%〜約3%の範囲にある濃度であり;
    該トリエタノールアミンが約2%〜約3%の範囲にある濃度であり;
    該フッ化水素が約1%〜約3%の範囲にある濃度であり;
    該水が約88%〜約94%の範囲にある濃度であり;
    該pH値が約4〜約4.5の範囲にあり;更に
    該粘度約15cP〜約25cPの範囲にある;
    ことを更に含む、請求項29に記載の方法。
JP2008538171A 2005-10-28 2006-10-26 半導体接合部に薄膜物質を選択的に堆積させる方法 Pending JP2009514238A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US73162405P 2005-10-28 2005-10-28
US11/385,041 US20070099806A1 (en) 2005-10-28 2006-03-20 Composition and method for selectively removing native oxide from silicon-containing surfaces
PCT/US2006/060273 WO2007111679A2 (en) 2005-10-28 2006-10-26 Method of selectively depositing a thin film material at a semiconductor interface

Publications (2)

Publication Number Publication Date
JP2009514238A true JP2009514238A (ja) 2009-04-02
JP2009514238A5 JP2009514238A5 (ja) 2009-12-03

Family

ID=37997204

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008538171A Pending JP2009514238A (ja) 2005-10-28 2006-10-26 半導体接合部に薄膜物質を選択的に堆積させる方法

Country Status (3)

Country Link
US (2) US20070099806A1 (ja)
JP (1) JP2009514238A (ja)
WO (1) WO2007111679A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011078399A1 (ja) * 2009-12-25 2011-06-30 独立行政法人科学技術振興機構 結晶性コバルトシリサイド膜の形成方法
WO2019067315A1 (en) * 2017-09-26 2019-04-04 Applied Materials, Inc. METHOD, MATERIALS AND PROCESSES FOR REMOVING NATIVE OXIDE AND REFORMING DIELECTRIC OXIDES, ENABLING BETTER BIOSPER PERFORMANCE

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8084087B2 (en) * 2007-02-14 2011-12-27 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
JP4503095B2 (ja) * 2007-05-15 2010-07-14 キヤノンアネルバ株式会社 半導体素子の製造方法
US20090004851A1 (en) * 2007-06-29 2009-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Salicidation process using electroless plating to deposit metal and introduce dopant impurities
WO2009067483A1 (en) 2007-11-19 2009-05-28 Applied Materials, Inc. Solar cell contact formation process using a patterned etchant material
TW200939509A (en) * 2007-11-19 2009-09-16 Applied Materials Inc Crystalline solar cell metallization methods
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
WO2010009297A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
DE102008037944B4 (de) * 2008-08-14 2013-03-21 Carl Zeiss Sms Gmbh Verfahren zum elektronenstrahlinduzierten Abscheiden von leitfähigem Material
US7951637B2 (en) * 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US7867891B2 (en) * 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
US8361237B2 (en) * 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
US8366954B2 (en) 2009-01-13 2013-02-05 Avantor Performance Materials, Bv Solution for increasing wafer sheet resistance and/or photovoltaic cell power density level
JP2012515444A (ja) * 2009-01-14 2012-07-05 アファントル ペルフォルマンス マテリアルズ ベー.フェー. ウエハーのシート抵抗および/または光電池の出力密度を増大するための溶液
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120024199A (ko) * 2010-09-06 2012-03-14 주식회사 유진테크 반도체 소자의 제조 방법
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US8946083B2 (en) * 2011-06-24 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ formation of silicon and tantalum containing barrier
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8632690B2 (en) 2011-11-29 2014-01-21 Intermolecular, Inc. Method and apparatus for preventing native oxide regrowth
CN104011882A (zh) 2012-01-12 2014-08-27 应用材料公司 制造太阳能电池装置的方法
EP2626891A3 (en) * 2012-02-07 2018-01-24 Rohm and Haas Electronic Materials LLC Activation process to improve metal adhesion
US8895441B2 (en) * 2012-02-24 2014-11-25 Lam Research Corporation Methods and materials for anchoring gapfill metals
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
NL2009754C2 (en) 2012-11-05 2014-05-08 M4Si B V Protective cover for a copper containing conductor.
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
WO2015196195A2 (en) * 2014-06-20 2015-12-23 Rhode Island Board Of Education, State Of Rhode Island And Provide Plantations Systems and methods for electroless plating of thin gold films directly onto silicon nitride and into pores in silicon nitride
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017048911A1 (en) * 2015-09-19 2017-03-23 Applied Materials, Inc. Surface-selective atomic layer deposition using hydrosilylation passivation
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283372B2 (en) * 2017-09-15 2019-05-07 Globalfoundries Inc. Interconnects formed by a metal replacement process
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
KR20190104902A (ko) * 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
WO2019177861A1 (en) 2018-03-10 2019-09-19 Applied Materials, Inc. Method and apparatus for asymmetric selective physical vapor deposition
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10636655B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for asymmetric deposition of metal on high aspect ratio nanostructures
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CA3039238A1 (en) * 2019-04-05 2020-10-05 Fluid Energy Group Ltd. Novel inhibited hydrofluoric acid composition
CA3039235A1 (en) * 2019-04-05 2020-10-05 Fluid Energy Group Ltd. Novel mud acid composition and methods of using such
CA3083522A1 (en) * 2020-06-12 2021-12-12 Fluid Energy Group Ltd. Process to manufacture novel inhibited hydrofluoric acid composition

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62271454A (ja) * 1986-03-03 1987-11-25 アメリカン テレフオン アンド テレグラフ カムパニ− Vlsiデバイス中の開口の選択無電界メツキ方法
JPH02141579A (ja) * 1988-11-22 1990-05-30 Mitsubishi Petrochem Co Ltd アモルファス金属の製造方法
JPH0382021A (ja) * 1989-08-24 1991-04-08 Matsushita Electric Ind Co Ltd 金属薄膜の堆積方法
JPH04315425A (ja) * 1991-04-15 1992-11-06 Sharp Corp 半導体装置の製造方法
JPH0645273A (ja) * 1992-07-22 1994-02-18 Toshiba Corp 半導体装置の製造方法
JP2001308028A (ja) * 2000-04-19 2001-11-02 Hitachi Ltd 半導体装置
JP2003515254A (ja) * 1999-11-15 2003-04-22 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド プラズマエッチング残渣を除去するための非腐食性洗浄組成物
JP2005101479A (ja) * 2002-11-08 2005-04-14 Sumitomo Chemical Co Ltd 半導体基板用洗浄液
JP2005194294A (ja) * 2003-12-26 2005-07-21 Nec Electronics Corp 洗浄液及び半導体装置の製造方法
JP2005236280A (ja) * 2004-02-10 2005-09-02 Samsung Electronics Co Ltd 半導体基板用洗浄液組成物、半導体基板の洗浄方法、及び導電性構造物の製造方法

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) * 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3650960A (en) * 1969-05-06 1972-03-21 Allied Chem Etching solutions
US3676240A (en) * 1970-04-14 1972-07-11 Bell Telephone Labor Inc Method of etching materials capable of being etched with hydrofluoric acid
US3937857A (en) * 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) * 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
JPS5151908A (ja) * 1974-11-01 1976-05-07 Fuji Photo Film Co Ltd
US4040897A (en) * 1975-05-05 1977-08-09 Signetics Corporation Etchants for glass films on metal substrates
US4055458A (en) * 1975-08-07 1977-10-25 Bayer Aktiengesellschaft Etching glass with HF and fluorine-containing surfactant
US4150177A (en) * 1976-03-31 1979-04-17 Massachusetts Institute Of Technology Method for selectively nickeling a layer of polymerized polyester resin
DE2754652A1 (de) * 1977-12-08 1979-06-13 Ibm Deutschland Verfahren zum herstellen von silicium-photoelementen
US4215005A (en) * 1978-01-30 1980-07-29 Allied Chemical Corporation Organic stripping compositions and method for using same
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4230523A (en) * 1978-12-29 1980-10-28 International Business Machines Corporation Etchant for silicon dioxide films disposed atop silicon or metallic silicides
US4297393A (en) * 1980-02-28 1981-10-27 Rca Corporation Method of applying thin metal deposits to a substrate
US4343677A (en) * 1981-03-23 1982-08-10 Bell Telephone Laboratories, Incorporated Method for patterning films using reactive ion etching thereof
US4368223A (en) * 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4424241A (en) * 1982-09-27 1984-01-03 Bell Telephone Laboratories, Incorporated Electroless palladium process
US4508591A (en) * 1984-03-08 1985-04-02 Hewlett-Packard Company Polymethyl methacrylate compatible silicon dioxide complexing agent
GB8511905D0 (en) * 1985-05-10 1985-06-19 Akzo Nv Metallizing polymeric materials
JPS63283028A (ja) * 1986-09-29 1988-11-18 Hashimoto Kasei Kogyo Kk 微細加工表面処理剤
US4837195A (en) * 1986-12-23 1989-06-06 E. I. Du Pont De Nemours And Company Process for porosity control and rehydroxylations of silica bodies
US4810520A (en) * 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5102456A (en) * 1989-04-28 1992-04-07 International Business Machines Corporation Tetra aza ligand systems as complexing agents for electroless deposition of copper
US4921572A (en) * 1989-05-04 1990-05-01 Olin Corporation Etchant solutions containing hydrogen fluoride and a polyammonium fluoride salt
US5277835A (en) * 1989-06-26 1994-01-11 Hashimoto Chemical Industries Co., Ltd. Surface treatment agent for fine surface treatment
US5200048A (en) * 1989-11-30 1993-04-06 Daido Metal Company Ltd. Electroplating apparatus for plating half bearings
US5203911A (en) * 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5212138A (en) * 1991-09-23 1993-05-18 Applied Electroless Concepts Inc. Low corrosivity catalyst for activation of copper for electroless nickel plating
US6825156B2 (en) * 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US7144848B2 (en) * 1992-07-09 2006-12-05 Ekc Technology, Inc. Cleaning compositions containing hydroxylamine derivatives and processes using same for residue removal
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
WO1995002900A1 (en) * 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5468597A (en) * 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
JP3264405B2 (ja) * 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 半導体装置洗浄剤および半導体装置の製造方法
TW386235B (en) * 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US5695661A (en) * 1995-06-07 1997-12-09 Micron Display Technology, Inc. Silicon dioxide etch process which protects metal
US6197364B1 (en) * 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5910340A (en) * 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
DE69608669T2 (de) * 1995-12-19 2001-03-01 Fsi International Chaska Stromloses aufbringen von metallfilmen mit sprayprozessor
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5614003A (en) * 1996-02-26 1997-03-25 Mallory, Jr.; Glenn O. Method for producing electroless polyalloys
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5912147A (en) * 1996-10-22 1999-06-15 Health Research, Inc. Rapid means of quantitating genomic instability
US5968848A (en) * 1996-12-27 1999-10-19 Tokyo Ohka Kogyo Co., Ltd. Process for treating a lithographic substrate and a rinse solution for the treatment
US6224785B1 (en) * 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) * 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6048406A (en) * 1997-04-08 2000-04-11 Texas Instruments Incorporated Benign method for etching silicon dioxide
AU8070498A (en) * 1997-06-13 1998-12-30 Cfmt, Inc. Methods for treating semiconductor wafers
US5885749A (en) * 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US6150282A (en) * 1997-11-13 2000-11-21 International Business Machines Corporation Selective removal of etching residues
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6899870B1 (en) * 1998-03-11 2005-05-31 Board Of Regents, The University Of Texas System Induction of apoptic or cytotoxic gene expression by adenoviral mediated gene codelivery
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
DE69929967T2 (de) * 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
EP1091024A4 (en) * 1998-04-30 2006-03-22 Ebara Corp METHOD AND DEVICE FOR COATING SUBSTRATES
JP4226216B2 (ja) * 1998-05-18 2009-02-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 半導体基板用の剥離用組成物
US6117796A (en) * 1998-08-13 2000-09-12 International Business Machines Corporation Removal of silicon oxide
US6200891B1 (en) * 1998-08-13 2001-03-13 International Business Machines Corporation Removal of dielectric oxides
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6063172A (en) * 1998-10-13 2000-05-16 Mcgean-Rohco, Inc. Aqueous immersion plating bath and method for plating
US6875733B1 (en) * 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
EP1124912B1 (en) * 1998-10-23 2010-07-21 FujiFilm Electronic Materials USA, Inc. A chemical mechanical polishing slurry system having an activator solution
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6228233B1 (en) * 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6015747A (en) * 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6544399B1 (en) * 1999-01-11 2003-04-08 Applied Materials, Inc. Electrodeposition chemistry for filling apertures with reflective metal
US6010962A (en) * 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) * 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6703319B1 (en) * 1999-06-17 2004-03-09 Micron Technology, Inc. Compositions and methods for removing etch residue
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6485986B1 (en) * 1999-11-19 2002-11-26 Purdue Research Foundation Functionalized silicon surfaces
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US6551483B1 (en) * 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
JP3979791B2 (ja) * 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7135404B2 (en) * 2002-01-10 2006-11-14 Semitool, Inc. Method for applying metal features onto barrier layers using electrochemical deposition
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6486108B1 (en) * 2000-05-31 2002-11-26 Micron Technology, Inc. Cleaning composition useful in semiconductor integrated circuit fabrication
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
KR100800531B1 (ko) * 2000-06-30 2008-02-04 가부시키가이샤 에바라 세이사꾸쇼 구리 도금액, 도금 방법 및 도금 장치
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
CN1218222C (zh) * 2000-07-10 2005-09-07 Ekc技术公司 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物
EP1182709A1 (en) * 2000-08-14 2002-02-27 IPU, Instituttet For Produktudvikling A process for depositing metal contacts on a buried grid solar cell and a solar cell obtained by the process
US6503834B1 (en) * 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
US6566315B2 (en) * 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100493409B1 (ko) * 2000-12-23 2005-06-07 주식회사 하이닉스반도체 반도체 소자 제조방법
US6852618B2 (en) * 2001-04-19 2005-02-08 Micron Technology, Inc. Combined barrier layer and seed layer
EP1389496A1 (en) * 2001-05-22 2004-02-18 Mitsubishi Chemical Corporation Method for cleaning surface of substrate
US6717189B2 (en) * 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
CN1516895A (zh) * 2001-06-14 2004-07-28 马特森技术公司 用于铜互连的阻挡层增强工艺
GB0114896D0 (en) * 2001-06-19 2001-08-08 Bp Solar Ltd Process for manufacturing a solar cell
CN1329972C (zh) * 2001-08-13 2007-08-01 株式会社荏原制作所 半导体器件及其制造方法
US6645567B2 (en) * 2001-12-19 2003-11-11 Intel Corporation Electroless plating bath composition and method of using
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
JP4343571B2 (ja) * 2002-07-31 2009-10-14 株式会社ルネサステクノロジ 半導体装置の製造方法
DE10241300A1 (de) * 2002-09-04 2004-03-18 Merck Patent Gmbh Ätzpasten für Siliziumoberflächen und -schichten
US20040096592A1 (en) * 2002-11-19 2004-05-20 Chebiam Ramanan V. Electroless cobalt plating solution and plating techniques
DE10344351A1 (de) * 2003-09-24 2005-05-19 Infineon Technologies Ag Verfahren zum anisotropen Ätzen von Silizium
US20050089489A1 (en) * 2003-10-22 2005-04-28 Carter Melvin K. Composition for exfoliation agent effective in removing resist residues
US7229922B2 (en) * 2003-10-27 2007-06-12 Intel Corporation Method for making a semiconductor device having increased conductive material reliability
CA2544209C (en) * 2003-10-28 2011-10-18 Sachem, Inc. Cleaning solutions and etchants and methods for using same
US20050189013A1 (en) * 2003-12-23 2005-09-01 Oliver Hartley Process for manufacturing photovoltaic cells
JP4390616B2 (ja) * 2004-04-27 2009-12-24 Necエレクトロニクス株式会社 洗浄液及び半導体装置の製造方法
US7235736B1 (en) * 2006-03-18 2007-06-26 Solyndra, Inc. Monolithic integration of cylindrical solar cells
US20080121276A1 (en) * 2006-11-29 2008-05-29 Applied Materials, Inc. Selective electroless deposition for solar cells

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62271454A (ja) * 1986-03-03 1987-11-25 アメリカン テレフオン アンド テレグラフ カムパニ− Vlsiデバイス中の開口の選択無電界メツキ方法
JPH02141579A (ja) * 1988-11-22 1990-05-30 Mitsubishi Petrochem Co Ltd アモルファス金属の製造方法
JPH0382021A (ja) * 1989-08-24 1991-04-08 Matsushita Electric Ind Co Ltd 金属薄膜の堆積方法
JPH04315425A (ja) * 1991-04-15 1992-11-06 Sharp Corp 半導体装置の製造方法
JPH0645273A (ja) * 1992-07-22 1994-02-18 Toshiba Corp 半導体装置の製造方法
JP2003515254A (ja) * 1999-11-15 2003-04-22 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド プラズマエッチング残渣を除去するための非腐食性洗浄組成物
JP2001308028A (ja) * 2000-04-19 2001-11-02 Hitachi Ltd 半導体装置
JP2005101479A (ja) * 2002-11-08 2005-04-14 Sumitomo Chemical Co Ltd 半導体基板用洗浄液
JP2005194294A (ja) * 2003-12-26 2005-07-21 Nec Electronics Corp 洗浄液及び半導体装置の製造方法
JP2005236280A (ja) * 2004-02-10 2005-09-02 Samsung Electronics Co Ltd 半導体基板用洗浄液組成物、半導体基板の洗浄方法、及び導電性構造物の製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011078399A1 (ja) * 2009-12-25 2011-06-30 独立行政法人科学技術振興機構 結晶性コバルトシリサイド膜の形成方法
JP5445795B2 (ja) * 2009-12-25 2014-03-19 独立行政法人科学技術振興機構 結晶性コバルトシリサイド膜の形成方法
US9653306B2 (en) 2009-12-25 2017-05-16 Japan Science And Technology Agency Method for forming crystalline cobalt silicide film
WO2019067315A1 (en) * 2017-09-26 2019-04-04 Applied Materials, Inc. METHOD, MATERIALS AND PROCESSES FOR REMOVING NATIVE OXIDE AND REFORMING DIELECTRIC OXIDES, ENABLING BETTER BIOSPER PERFORMANCE
US11598000B2 (en) 2017-09-26 2023-03-07 Applied Materials, Inc. Method, materials and process for native oxide removal and regrowth of dielectric oxides for better biosensor performance

Also Published As

Publication number Publication date
US20070108404A1 (en) 2007-05-17
US20070099806A1 (en) 2007-05-03
WO2007111679A3 (en) 2007-12-21
WO2007111679A2 (en) 2007-10-04

Similar Documents

Publication Publication Date Title
JP2009514238A (ja) 半導体接合部に薄膜物質を選択的に堆積させる方法
US7514353B2 (en) Contact metallization scheme using a barrier layer over a silicide layer
US8241701B2 (en) Processes and systems for engineering a barrier surface for copper deposition
US8747960B2 (en) Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
JP5820870B2 (ja) 金属堆積のために基板表面を調整する方法および統合システム
CN109844930B (zh) 以钌衬垫改善铜电迁移的经掺杂选择性金属覆盖
US8308858B2 (en) Electroless deposition process on a silicon contact
US20140322446A1 (en) Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) Process for electroless copper deposition
US20050161338A1 (en) Electroless cobalt alloy deposition process
US20040035316A1 (en) Electroless plating bath composition and method of using
KR20090034913A (ko) 무전해 성막용 도금 용액을 도포하는 장치
US7273813B2 (en) Wafer cleaning solution for cobalt electroless application
US7064065B2 (en) Silver under-layers for electroless cobalt alloys
US9263327B2 (en) Minimizing void formation in semiconductor vias and trenches
US20020127348A1 (en) Method for depositing copper or a copper alloy
KR101506352B1 (ko) 금속 증착을 위해 기판 표면을 가공하는 프로세스 및 통합 시스템
US20050170650A1 (en) Electroless palladium nitrate activation prior to cobalt-alloy deposition
EP1022355B1 (en) Deposition of copper on an activated surface of a substrate
CN117038634A (zh) 具有多重碳浓度介电层的半导体元件及其制备方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091015

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091015

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101111

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120607

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120830

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121130

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121207

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130424