AU2001278890A1 - Compositions for cleaning organic and plasma etched residues for semiconductor devices - Google Patents

Compositions for cleaning organic and plasma etched residues for semiconductor devices

Info

Publication number
AU2001278890A1
AU2001278890A1 AU2001278890A AU7889001A AU2001278890A1 AU 2001278890 A1 AU2001278890 A1 AU 2001278890A1 AU 2001278890 A AU2001278890 A AU 2001278890A AU 7889001 A AU7889001 A AU 7889001A AU 2001278890 A1 AU2001278890 A1 AU 2001278890A1
Authority
AU
Australia
Prior art keywords
compositions
semiconductor devices
plasma etched
cleaning organic
etched residues
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
AU2001278890A
Inventor
Jerome Daviot
Wai Mun Lee
Bakul P. Patel
Christopher Reid
Robert J. Small
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EKC Technology Inc
Original Assignee
EKC Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EKC Technology Inc filed Critical EKC Technology Inc
Publication of AU2001278890A1 publication Critical patent/AU2001278890A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
AU2001278890A 2000-07-10 2001-07-10 Compositions for cleaning organic and plasma etched residues for semiconductor devices Abandoned AU2001278890A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US21765000P 2000-07-10 2000-07-10
US60/217,650 2000-07-10
PCT/US2001/021713 WO2002004233A1 (en) 2000-07-10 2001-07-10 Compositions for cleaning organic and plasma etched residues for semiconductor devices

Publications (1)

Publication Number Publication Date
AU2001278890A1 true AU2001278890A1 (en) 2002-01-21

Family

ID=22811931

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2001278890A Abandoned AU2001278890A1 (en) 2000-07-10 2001-07-10 Compositions for cleaning organic and plasma etched residues for semiconductor devices

Country Status (8)

Country Link
US (1) US6777380B2 (en)
EP (1) EP1360077A4 (en)
JP (1) JP4959095B2 (en)
KR (1) KR100764888B1 (en)
CN (1) CN1218222C (en)
AU (1) AU2001278890A1 (en)
TW (1) TW527409B (en)
WO (1) WO2002004233A1 (en)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7144848B2 (en) * 1992-07-09 2006-12-05 Ekc Technology, Inc. Cleaning compositions containing hydroxylamine derivatives and processes using same for residue removal
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
JP2002303993A (en) * 2001-04-04 2002-10-18 Mitsubishi Electric Corp Semiconductor device and method of manufacturing for the same
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
WO2003091376A1 (en) * 2002-04-24 2003-11-06 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
JP2003332465A (en) * 2002-05-14 2003-11-21 Mitsubishi Electric Corp Method of manufacturing semiconductor memory device
US7252718B2 (en) * 2002-05-31 2007-08-07 Ekc Technology, Inc. Forming a passivating aluminum fluoride layer and removing same for use in semiconductor manufacture
US6835667B2 (en) * 2002-06-14 2004-12-28 Fsi International, Inc. Method for etching high-k films in solutions comprising dilute fluoride species
US20030235986A1 (en) * 2002-06-20 2003-12-25 Wolfgang Sievert Silicon oxide etching compositions with reduced water content
US7192860B2 (en) * 2002-06-20 2007-03-20 Honeywell International Inc. Highly selective silicon oxide etching compositions
DE10239656A1 (en) * 2002-08-26 2004-03-11 Merck Patent Gmbh Etching pastes for titanium oxide surfaces
TWI282814B (en) * 2002-09-13 2007-06-21 Daikin Ind Ltd Etchant and etching method
US7166419B2 (en) 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
KR100862988B1 (en) * 2002-09-30 2008-10-13 주식회사 동진쎄미켐 Photoresist remover composition
US6969688B2 (en) * 2002-10-08 2005-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Wet etchant composition and method for etching HfO2 and ZrO2
US8101025B2 (en) * 2003-05-27 2012-01-24 Applied Materials, Inc. Method for controlling corrosion of a substrate
US20040237997A1 (en) * 2003-05-27 2004-12-02 Applied Materials, Inc. ; Method for removal of residue from a substrate
US20040259746A1 (en) * 2003-06-20 2004-12-23 Warren Jonathan N. Concentrate composition and process for removing coatings from surfaces such as paint application equipment
WO2005045895A2 (en) * 2003-10-28 2005-05-19 Sachem, Inc. Cleaning solutions and etchants and methods for using same
KR100682188B1 (en) * 2003-11-25 2007-02-12 주식회사 하이닉스반도체 Cleaning Solution for Photoresist and Method for Forming Pattern Using the same
WO2005057281A2 (en) * 2003-12-02 2005-06-23 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
JP4326928B2 (en) * 2003-12-09 2009-09-09 株式会社東芝 Composition for removing photoresist residue and method for producing semiconductor circuit element using the composition
JP4369284B2 (en) * 2004-04-19 2009-11-18 東友ファインケム株式会社 Resist stripper
KR100606187B1 (en) * 2004-07-14 2006-08-01 테크노세미켐 주식회사 Composition for cleaning a semiconductor substrate, method for cleaning a semiconductor substrate and method for manufacturing a semiconductor device using the same
KR100634401B1 (en) * 2004-08-03 2006-10-16 삼성전자주식회사 Method of treatment a substrate incorporated in semiconductor fabricating process
US20070054482A1 (en) * 2004-08-10 2007-03-08 Takahito Nakajima Semiconductor device fabrication method
US20060094612A1 (en) * 2004-11-04 2006-05-04 Mayumi Kimura Post etch cleaning composition for use with substrates having aluminum
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
DE102004060315A1 (en) * 2004-12-15 2006-06-22 Basf Ag Method for checking the authenticity of goods
JP2006169442A (en) * 2004-12-17 2006-06-29 Tokuyama Corp Cleaning agent
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
US7718590B2 (en) * 2005-02-25 2010-05-18 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
US8257248B2 (en) * 2005-03-09 2012-09-04 Olympus Corporation Body-insertable apparatus and body-insertable apparatus system
CN100555580C (en) * 2005-04-04 2009-10-28 马林克罗特贝克公司 Be used to clean the composition of the photoresist that ion injects in the leading portion operation
KR101088568B1 (en) * 2005-04-19 2011-12-05 아반토르 퍼포먼스 머티리얼스, 인크. Non-aqueous photoresist stripper that inhibits galvanic corrosion
CN1862391B (en) * 2005-05-13 2013-07-10 安集微电子(上海)有限公司 Composition of removing photoresistance layer and use method thereof
US7632796B2 (en) 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US8263539B2 (en) * 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
JP2007211203A (en) * 2006-02-13 2007-08-23 Three M Innovative Properties Co Detergent composition containing fluorine-containing compound and use of the same
US20070219105A1 (en) * 2006-03-17 2007-09-20 Georgia Tech Research Corporation Ionic Additives to Solvent-Based Strippers
WO2007140193A1 (en) * 2006-05-25 2007-12-06 Honeywell International Inc. Selective tantalum carbide etchant, methods of production and uses thereof
US7943562B2 (en) 2006-06-19 2011-05-17 Samsung Electronics Co., Ltd. Semiconductor substrate cleaning methods, and methods of manufacture using same
US20080139436A1 (en) * 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
JP4642001B2 (en) * 2006-10-24 2011-03-02 関東化学株式会社 Composition for removing photoresist residue and polymer residue
US8026201B2 (en) * 2007-01-03 2011-09-27 Az Electronic Materials Usa Corp. Stripper for coating layer
US8021490B2 (en) 2007-01-04 2011-09-20 Eastman Chemical Company Substrate cleaning processes through the use of solvents and systems
KR100891255B1 (en) * 2007-01-05 2009-04-01 주식회사 하이닉스반도체 Etchant Compositon for Preventing Leaning of Capacitor and Method for Manufacturing Capacitor Using the Same
JP2009014938A (en) * 2007-07-03 2009-01-22 Toagosei Co Ltd Resist release agent composition
US20090029274A1 (en) * 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
BRPI0908905A2 (en) * 2008-02-29 2015-09-22 Mallinckrodt Baker Inc microelectronic substrate cleaning compositions
TWI460557B (en) * 2008-03-07 2014-11-11 Wako Pure Chem Ind Ltd Processing agent composition for semiconductor surfaces and method for processing semiconductor surfaces using the same
CN201219685Y (en) * 2008-04-16 2009-04-15 韩广民 Assembling structure product and yard chair
TWI450052B (en) * 2008-06-24 2014-08-21 Dynaloy Llc Stripper solutions effective for back-end-of-line operations
JP5476388B2 (en) * 2008-10-09 2014-04-23 アバントール パフォーマンス マテリアルズ, インコーポレイテッド Aqueous acidic cleaning composition for removing copper oxide etch residue and preventing copper electrodeposition
BRPI1007989A2 (en) * 2009-02-25 2016-03-01 Avantor Performance Mat Inc multipurpose acid organic solvent based microelectronic cleaning composition
CN102483590B (en) * 2009-09-09 2014-05-21 东友Fine-Chem股份有限公司 Resist stripper composition for forming copper-based wiring
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
TWI539493B (en) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 Methods and compositions for doping silicon substrates with molecular monolayers
JP5853953B2 (en) * 2010-08-20 2016-02-09 三菱瓦斯化学株式会社 Method for manufacturing transistor
FR2965567B1 (en) * 2010-10-05 2013-12-27 Arkema France COMPOSITION FOR CLEANING POLYMERS
JP2012238849A (en) * 2011-04-21 2012-12-06 Rohm & Haas Electronic Materials Llc Improved polycrystalline texturing composition and method
CN102163011A (en) * 2011-04-29 2011-08-24 西安东旺精细化学有限公司 Stripping liquid composition of photoresist
CN103163745B (en) * 2011-12-15 2015-09-02 中芯国际集成电路制造(上海)有限公司 The minimizing technology of photoresist layer, the formation method of transistor
US8951950B2 (en) * 2012-03-12 2015-02-10 Ekc Technology Aluminum post-etch residue removal with simultaneous surface passivation
KR102081710B1 (en) * 2012-07-31 2020-02-28 세메스 주식회사 Apparatus and method fdr cleaning substrates
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US20160322232A1 (en) 2013-12-20 2016-11-03 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
WO2016028454A1 (en) 2014-08-18 2016-02-25 3M Innovative Properties Company Conductive layered structure and methods of making same
WO2017059051A1 (en) * 2015-10-02 2017-04-06 Avantor Performance Materials, Inc. Acidic semi-aqueous fluoride activated anti-relective coating cleaners with superior substrate compatibilities and exceptional bath stability
TWI705132B (en) * 2015-10-08 2020-09-21 日商三菱瓦斯化學股份有限公司 Liquid composition for cleaning semiconductor element, cleaning method of semiconductor element, and manufacturing method of semiconductor element
TWI816635B (en) * 2015-10-15 2023-10-01 日商三菱瓦斯化學股份有限公司 Liquid composition for cleaning semiconductor components, cleaning method for semiconductor components, and manufacturing method for semiconductor components
WO2018061065A1 (en) * 2016-09-30 2018-04-05 パナソニックIpマネジメント株式会社 Resist removal liquid
CN107980105B (en) * 2016-11-29 2019-10-18 松下知识产权经营株式会社 Anticorrosive additive stripping liquid controlling
CN108121175B (en) * 2016-11-29 2021-02-02 安集微电子科技(上海)股份有限公司 Fluorine-containing cleaning solution
CN106833962A (en) * 2016-12-26 2017-06-13 上海申和热磁电子有限公司 Cleaning agent and its preparation and application for removing conductor etching cavity ceramic coating part pollutant
CN108255025A (en) * 2016-12-28 2018-07-06 安集微电子(上海)有限公司 A kind of cleaning solution
SG11201908617QA (en) * 2017-03-24 2019-10-30 Fujifilm Electronic Materials Usa Inc Surface treatment methods and compositions therefor
CN107817656A (en) * 2017-07-06 2018-03-20 上海新阳半导体材料股份有限公司 A kind of glue-dispenser, its preparation method and application available for deburring
CN107589637A (en) * 2017-08-29 2018-01-16 昆山艾森半导体材料有限公司 A kind of fluorine-containing aluminum steel cleaning fluid
KR102471495B1 (en) * 2017-12-08 2022-11-28 헨켈 아게 운트 코. 카게아아 Photoresist stripper composition
CN109971565B (en) * 2017-12-27 2021-10-22 安集微电子(上海)有限公司 Fluorine-containing cleaning solution
SG11202005938SA (en) 2018-01-05 2020-07-29 Fujifilm Electronic Materials Usa Inc Surface treatment compositions and methods
US10886249B2 (en) * 2018-01-31 2021-01-05 Ams International Ag Hybrid wafer-to-wafer bonding and methods of surface preparation for wafers comprising an aluminum metalization
CN110669597A (en) * 2018-07-03 2020-01-10 安集微电子科技(上海)股份有限公司 Fluorine-containing cleaning solution
CN109346403A (en) * 2018-09-26 2019-02-15 广西桂芯半导体科技有限公司 A kind of thining method of wafer
CN116134584A (en) 2020-08-25 2023-05-16 巴斯夫欧洲公司 Composition for removing post-etch residues, use and method thereof

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1621510A1 (en) 1967-03-23 1971-04-29 Siemens Ag Solvent mixture with nitric acid and hydrofluoric acid for wet chemical etching of silicon
US4395479A (en) 1981-09-23 1983-07-26 J. T. Baker Chemical Company Stripping compositions and methods of stripping resists
US4428871A (en) 1981-09-23 1984-01-31 J. T. Baker Chemical Company Stripping compositions and methods of stripping resists
US4403029A (en) 1982-09-02 1983-09-06 J. T. Baker Chemical Company Stripping compositions and methods of stripping resists
US4401747A (en) 1982-09-02 1983-08-30 J. T. Baker Chemical Company Stripping compositions and methods of stripping resists
US4508591A (en) 1984-03-08 1985-04-02 Hewlett-Packard Company Polymethyl methacrylate compatible silicon dioxide complexing agent
US4744834A (en) 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
US4770713A (en) 1986-12-10 1988-09-13 Advanced Chemical Technologies, Inc. Stripping compositions containing an alkylamide and an alkanolamine and use thereof
US5181985A (en) 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
US5129955A (en) 1989-01-11 1992-07-14 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5981454A (en) 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5928430A (en) * 1991-01-25 1999-07-27 Advanced Scientific Concepts, Inc. Aqueous stripping and cleaning compositions containing hydroxylamine and use thereof
TW263531B (en) 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
JP2857042B2 (en) 1993-10-19 1999-02-10 新日本製鐵株式会社 Cleaning liquid for silicon semiconductor and silicon oxide
BR9408274A (en) * 1993-12-10 1996-12-17 Armor All Prod Corp Aqueous cleaning composition and process for cleaning an automobile or truck wheel surface
JP3264405B2 (en) 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 Semiconductor device cleaning agent and method of manufacturing semiconductor device
JP3074634B2 (en) 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 Stripping solution for photoresist and method for forming wiring pattern
US5563119A (en) 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US5695661A (en) 1995-06-07 1997-12-09 Micron Display Technology, Inc. Silicon dioxide etch process which protects metal
JP3236220B2 (en) * 1995-11-13 2001-12-10 東京応化工業株式会社 Stripper composition for resist
US5603849A (en) 1995-11-15 1997-02-18 Micron Technology, Inc. Methods and compositions for cleaning silicon wafers with a dynamic two phase liquid system with hydrofluoric acid
US5645737A (en) 1996-02-21 1997-07-08 Micron Technology, Inc. Wet clean for a surface having an exposed silicon/silica interface
JPH1055993A (en) * 1996-08-09 1998-02-24 Hitachi Ltd Semiconductor element manufacturing washing liquid and manufacture of semiconductor element using it
US5709756A (en) 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6048406A (en) 1997-04-08 2000-04-11 Texas Instruments Incorporated Benign method for etching silicon dioxide
JPH1116882A (en) * 1997-06-19 1999-01-22 Toray Fine Chem Co Ltd Photoresist peeling composition
JPH11282176A (en) * 1998-03-26 1999-10-15 Toray Fine Chemical Kk Composition for removing photoresist
JPH1167632A (en) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc Cleaner for semiconductor device
JP3606738B2 (en) * 1998-06-05 2005-01-05 東京応化工業株式会社 Treatment liquid after ashing and treatment method using the same
US6248704B1 (en) * 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
JP3255623B2 (en) * 1999-06-17 2002-02-12 東京応化工業株式会社 Stripper composition for resist
US6235693B1 (en) * 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
JP3389166B2 (en) * 1999-09-10 2003-03-24 日本電気株式会社 Stripping composition for resist
JP3410403B2 (en) * 1999-09-10 2003-05-26 東京応化工業株式会社 Photoresist stripping solution and photoresist stripping method using the same
JP3891768B2 (en) * 1999-12-28 2007-03-14 株式会社トクヤマ Residual cleaning solution
JP3976160B2 (en) * 2000-02-29 2007-09-12 東京応化工業株式会社 Treatment liquid after ashing and treatment method using the same
JP3431074B2 (en) * 2000-06-28 2003-07-28 日本電気株式会社 Release agent composition and release method
KR100720137B1 (en) * 2005-02-21 2007-05-18 삼성전자주식회사 Device and method for processing notice of function invitation in wireless terminal

Also Published As

Publication number Publication date
US20020037820A1 (en) 2002-03-28
JP4959095B2 (en) 2012-06-20
JP2004502980A (en) 2004-01-29
EP1360077A4 (en) 2009-06-24
CN1447754A (en) 2003-10-08
EP1360077A1 (en) 2003-11-12
TW527409B (en) 2003-04-11
WO2002004233A8 (en) 2003-06-19
WO2002004233A1 (en) 2002-01-17
KR20030022273A (en) 2003-03-15
KR100764888B1 (en) 2007-10-09
US6777380B2 (en) 2004-08-17
CN1218222C (en) 2005-09-07

Similar Documents

Publication Publication Date Title
AU2001278890A1 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
AU2003251802A1 (en) Compositions for removing etching residue and use thereof
AU2001294817A1 (en) Fabrication of semiconductor devices
AU2001241190A1 (en) Semiconductor wafer cleaning agent and cleaning method
AU2001234973A1 (en) Semiconductor devices
AU2002240212A1 (en) Process for etching organic low-k materials
AU2002332421A1 (en) Plasma ashing process
AU2002349419A1 (en) Plasma etching method and plasma etching device
AU2000224587A1 (en) Semiconductor device
AU2001236028A1 (en) Semiconductor device
AU2319600A (en) Semiconductor device
AU2002250223A1 (en) Method of plasma etching low-k organosilicate materials
AU2001242510A1 (en) Etching pastes for inorganic surfaces
AUPR174800A0 (en) Semiconductor processing
AUPQ980700A0 (en) Fabrication of nanoelectronic circuits
AU2001250477A1 (en) Schottky-diode semiconductor device
AU2001288629A1 (en) Cleaning of semiconductor process equipment chamber parts using organic solvents
EP1220450B8 (en) Semiconductor integrated circuit
AU2002338003A1 (en) Semiconductor Devices
GB2381532B (en) Resist and etching by-product removing composition and resist removing method using the same
AU2001218942A1 (en) Cleaning method and etching method
AU2003212854A1 (en) Post-cmp cleaning of semiconductor wafer surfaces using a combination of aqueous and cryogenic cleaning techniques
AU2001284817A1 (en) Metal sulfide-oxide semiconductor transistor devices
AU2002367178A1 (en) Etching method and plasma etching device
AU2003266701A1 (en) Plasma oscillation switching device