JP2008516305A - 集積回路の歩留り及び品質の分析の方法及びシステム - Google Patents

集積回路の歩留り及び品質の分析の方法及びシステム Download PDF

Info

Publication number
JP2008516305A
JP2008516305A JP2007530502A JP2007530502A JP2008516305A JP 2008516305 A JP2008516305 A JP 2008516305A JP 2007530502 A JP2007530502 A JP 2007530502A JP 2007530502 A JP2007530502 A JP 2007530502A JP 2008516305 A JP2008516305 A JP 2008516305A
Authority
JP
Japan
Prior art keywords
defect
integrated circuit
test
potential
design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007530502A
Other languages
English (en)
Other versions
JP2008516305A5 (ja
JP4955559B2 (ja
Inventor
ライスキー,ヤヌーシュ
チェン,ガン
キーム,マルティーン
タマラパッリ,ナゲシュ
タン,フアクシン
シャーマ,マニッシュ
Original Assignee
メンター・グラフィクス・コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by メンター・グラフィクス・コーポレーション filed Critical メンター・グラフィクス・コーポレーション
Publication of JP2008516305A publication Critical patent/JP2008516305A/ja
Publication of JP2008516305A5 publication Critical patent/JP2008516305A5/ja
Application granted granted Critical
Publication of JP4955559B2 publication Critical patent/JP4955559B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/2273Test methods
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/01Subjecting similar articles in turn to test, e.g. "go/no-go" tests in mass production; Testing objects at points as they pass through a testing station
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2832Specific tests of electronic circuits not provided for elsewhere
    • G01R31/2836Fault-finding or characterising
    • G01R31/2846Fault-finding or characterising using hard- or software simulation or using knowledge-based systems, e.g. expert systems, artificial intelligence or interactive algorithms
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2894Aspects of quality control [QC]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31704Design for test; Design verification
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318342Generation of test inputs, e.g. test vectors, patterns or sequences by preliminary fault modelling, e.g. analysis, simulation
    • G01R31/31835Analysis of test coverage or failure detectability
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2853Electrical testing of internal connections or -isolation, e.g. latch-up or chip-to-lead connections

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Health & Medical Sciences (AREA)
  • Medical Informatics (AREA)
  • Quality & Reliability (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

集積回路の歩留りと品質とをテストし、分析し、改善する方法と、装置と、システムとが、本明細書で開示される。例えば、1つの例示的実施形態で、設計欠陥抽出ルールは、少なくとも部分的に、設計製造ルールのセットから導出される。潜在的な欠陥が、設計欠陥抽出ルールを使用して、集積回路レイアウトの表現から抽出される。1つ又は複数の回路テスト中に適用される回路テスト刺激が、判定される。適用された回路テストから生じるテスト応答が、障害を発生した集積回路を識別し、適用された回路テストに関連する1つ又は複数の潜在的なタイプの欠陥の、障害を発生した集積回路での発生を識別するために、評価される。潜在的なタイプの欠陥の発生の障害を発生した集積回路での反復識別に関する情報が、集められ、分析されて、潜在的なタイプの欠陥がレイアウトに従って製造される集積回路に存在する尤度が判定される。

Description

(関連出願の相互参照)
本願は、参照によって本明細書に組み込まれている、2004年9月6日出願の米国特許出願第60/607728号の利益を主張するものである。
(技術分野)
開示されるテクノロジは、全般的に、集積回路の設計とテストとに関する。
集積回路の特徴サイズが縮小し続けるので、特徴関連欠陥の影響は、ますます重要になりつつある。例えば、現在の集積回路を実現するのに使用されるリソグラフィ技法は、しばしば、それが作成する特徴より大きい波長を有する。サブ波長リソグラフィの1つの結果は、リソグラフィ・プロセスによって引き起こされる障害(例えば、結果の設計に現れる短絡と開路とを引き起こすひずみ)が多数になることである。実際に、集積回路の製造歩留りに対する特徴欠陥の影響は、増えつつあり、製造中に存在するランダム粒子の影響より支配的である。
作られる欠陥チップの個数を減らすために、ファウンドリ(集積回路製造業者)は、しばしば、設計者が彼らの特定の設計の歩留りを改善するのを助けるのに使用できる複数の推奨される設計を提供する。そのようなルールは、本明細書では一般にDesign for Manufacturability(DFM)と称するが、集積回路設計に関連する非常にさまざまなパラメータに関するものとすることができる。例えば、このルールは、2つのワイヤの間又は2つの特徴の角の間の距離など、設計の物理的特性又は特質に関するものとすることができる。DFMルールによって対処される可能な設計問題の中に、冗長性と、間隔と、幅と、長さと、面積と、エンクロージャと、延長部と、オーバーラップと、密度と、方位と、ジョブ/ノッチと、アンテナと、整合とがある。更に、これらのカテゴリのそれぞれが、適用される多数のルールを有することができる。更に、あるファウンドリが、それぞれが歩留りに対する異なる潜在的影響を有する、所与の設計パラメータに関する複数のルールを与えることができる。例えば、ファウンドリは、特定のパラメータの最小値又は最大値並びに推奨値を与えることができる。一般に、DFMルールの使用は、集積回路が、そのDFMルールによって暗示されるプロセスとテクノロジとを使用して実際に製造可能であることを保証するのを助ける。
DFMルールは、伝統的に、テスト・チップの使用を介して決定されてきた。テスト・チップとは、特定のDFMルールについてターゲットにされる幾つかのパラメータにまたがって系統的に変更された同一のテスト構造の多数のグループを含む特殊化されたチップである。次に、テスト・チップから得られたテスト結果を、統計的に分析し、ターゲットにされたDFMルールの値を決定するのに使用することができる。しかし、テスト・チップは、実際のコストと、テスト・チップの作成、テスト、及び分析から生じる失われるウェハ容量との両方に関して、使用するのが高価になり得る。又、テスト・チップは、限られた情報を供給する。例えば、テスト・チップは、通常、テスト・チップのテスト構造に含まれない回路の特徴に対する影響に関する情報を供給することができない。更に、新しいDFMルールが、プロセス変更又は得られた新しい知識に対処するために開発される時に、新しいテスト・チップを設計し、製造しなければならないが、これは、一般に数週間から数ヶ月を要するプロセスである。
従って、歩留りを高めるために設計製造ルールを判定し、変更し、使用する改善された方法が望ましい。
以下で開示するのは、方法と、装置と、システムとの代表的実施形態であるが、これらを、決して限定的と解釈してはならない。そうではなく、本開示は、単独の並びに互いとのさまざまな組合せと副組合せとでの、さまざまな開示される方法と、装置と、システムとのすべての新規の自明でない特徴及び態様とその同等物とを対象とする。
本明細書で開示される例示的方法の1つでは、設計欠陥抽出ルールのセットが、少なくとも部分的に設計製造ルールのセットから導出される。潜在的欠陥が、集積回路設計の物理的レイアウトの表現から抽出され、抽出された潜在的欠陥は、少なくとも部分的に欠陥抽出ルールに基づく。1つ又は複数の回路テスト中に適用される回路テスト刺激が、判定される。適用される回路テストから生じるテスト応答は、障害を発生する集積回路を識別し、適用された回路テストに関連する1つ又は複数の潜在的なタイプの欠陥の、障害を発生する集積回路での発生を識別するために、評価される。潜在的なタイプの欠陥の発生の、障害を発生する集積回路での反復識別に関する情報が、集められる。集められた情報は、潜在的なタイプの欠陥が物理的レイアウトに従って製造される集積回路に存在する尤度を判定するために、分析される。回路テスト刺激は、抽出された潜在的欠陥のうちの1つ又は複数をターゲットにすることによって生成されるテスト・パターン及び/或いは抽出された潜在的欠陥のうちの1つ又は複数を検出すると判定される、前に生成されたテスト・パターンを含むことができる。抽出された欠陥のうちの1つ又は複数は、更に、次のプロパティ即ち、(a)各々の潜在的欠陥を他の潜在的欠陥から区別する欠陥識別子と、(b)各々の潜在的欠陥を抽出するのに使用された欠陥抽出ルールを識別する被導出ルール識別子と、(c)各々の潜在的欠陥を抽出するのに使用された欠陥抽出ルールがそれから導出された設計製造ルールを識別する設計製造ルール識別子と、(d)集積回路設計の物理的レイアウト内の各々の潜在的欠陥の1つ又は複数の物理的プロパティと、(e)集積回路設計の物理的レイアウト内の各々の潜在的欠陥の物理的位置と、(f)他の潜在的欠陥に対する相対的な各々の潜在的欠陥のランキングとのうちの少なくとも1つを関連付けられることができる。ある種の実施形態では、ランキングが、抽出された潜在的欠陥の少なくとも幾つかに割り当てられ、このランキングは、潜在的欠陥が集積回路内で発生する尤度を示す。このランキングを、集められた情報の分析に少なくとも部分的に基づいて更新することができる。更に、回路テストの適用を、抽出された潜在的欠陥と抽出された潜在的欠陥のランキングとに少なくとも部分的に基づいて順序付けることができる。幾つかの実施形態で、集められた情報を分析する動作は、更に、複数のテストされた集積回路の集められた情報を分析するステップ、並びに、(a)集積回路の生産歩留りを推定する動作と、(b)潜在的なタイプの欠陥又は集積回路設計の物理的レイアウトに従って製造される集積回路のエスケープ・レートを推定する動作と、(c)設計製造ルールのうちの1つ又は複数の歩留り感度曲線を推定する動作と、(d)少なくとも複数の設計欠陥抽出ルールを使用して、他の集積回路設計の生産歩留りを推定する動作と、(e)設計製造ルールに従って設計された他の集積回路設計内の潜在的なタイプの欠陥のエスケープ・レートを推定する動作とのうちの1つ又は複数を実行するステップを更に含む。ある種の実施形態では、集められた情報を分析する動作は、更に、複数のテストされた集積回路の経時的な集められた情報を分析するステップと、潜在的欠陥が製造された集積回路に存在する尤度の変化の傾向を判定するステップとを含む。判定された傾向に少なくとも部分的に基づいて、欠陥のある集積回路を識別する尤度を改善するために、テスト刺激を、変更し、追加し、又は削除することができる。幾つかの実施形態で、情報を集める動作と集められた情報を分析する動作とは、実質的にリアル・タイムで、集積回路製造者の生産ラインで作られる製造される集積回路に対して実行される。開示されるテクノロジの例示的実施形態では、テスト応答及び上記テスト応答を作った関連するテストは、関連するテストの失敗から前に生成され保管された期待される失敗するテスト応答の辞書を使用して比較される。これらの実施形態では、情報を集める動作は、更に、比較によって識別された潜在的欠陥を識別する比較からの情報を集めるステップを含むことができる。幾つかの実施形態で、辞書に保管される情報は、辞書の生成中に圧縮される。例えば、1つ又は複数のビット・マスクを、集積回路設計内の少なくとも1つの潜在的欠陥を検出するテスト応答を表すために計算することができ、或いは、1つ又は複数の擬似故障を、集積回路設計内の少なくとも1つの潜在的欠陥を検出するテスト応答を表すために計算することができる。幾つかの実施形態は、次の形即ち、(a)辞書内で前に見つからなかった潜在的欠陥に関連する少なくとも1つのテストを追加すること、又は(b)辞書内で前に見つからなかった潜在的欠陥がテストされた集積回路内に存在する場合に受け取られると期待される少なくとも1つの期待される失敗するテスト応答を追加することのうちの1つ又は複数で辞書を変更することを更に含む。生成された辞書のエントリを、削除することもできる(例えば、そのエントリに関連する失敗するテスト応答が、閾値回数の回路テストについて観察されなかった場合に)。開示される方法の幾つかの実施形態では、生成された辞書内で見つからない少なくとも1つの潜在的欠陥の存在を、診断することもできる(例えば、増分診断又は増分シミュレーションを使用して)。設計製造ルールのセット内の設計製造ルール又は設計欠陥抽出ルールを、集められた情報を分析する動作に少なくとも部分的に基づいて、変更し、追加し、又は削除することができる。
本明細書で開示されるもう1つの例示的方法では、集積回路レイアウトの表現が、受け取られる(例えば、GDSII又はOasisファイル)。集積回路を製造するための1つ又は複数の推奨される設計パラメータも、受け取られる。抽出ルールが、推奨される設計パラメータのうちの1つ又は複数から判定され、これらの抽出ルールは、集積回路レイアウトの表現から欠陥候補の複数の集合を識別するルールを含む。設計パラメータは、例えば、1つ又は複数の設計製造ルールを含むことができる。更に、欠陥候補の複数の集合は、関連する推奨される設計パラメータから異なる各々の範囲の値だけ逸脱する欠陥候補を含むことができる。幾つかの実施形態で、抽出ルールは、集積回路レイアウトに従って作られる集積回路のテストから入手されたテスト結果に少なくとも部分的に基づいて変更される。この変更は、抽出ルールによって識別される欠陥候補の集合の個数の増加を含むことができる。抽出ルールを、集積回路レイアウトの表現に適用して、集積回路レイアウト内の欠陥候補のリストを生成することができる。欠陥候補の少なくとも幾つかをターゲットにするテスト・パターンを、生成することができる。その代わりに又はそれに加えて、欠陥候補の少なくとも幾つかを検出するテスト・パターンを、前に生成されたテスト・パターンのセットから識別することができる。幾つかの実施形態では、欠陥候補のリストが、欠陥候補のうちの1つ又は複数に関連する歩留り感度に少なくとも部分的に基づいて順序付けられる。例示的方法のある種の実施形態では、失敗するテスト応答とその失敗するテスト応答を潜在的に引き起こした関連する欠陥候補とを示す故障辞書が、生成される。
以下で開示するもう1つの例示的実施形態では、製造された集積回路内の潜在的欠陥の存在を評価する設計製造ルールの変更されたセットが、判定される。設計製造ルールの変更されたセットは、少なくとも部分的に設計製造ルールの第1セットから導出され、設計製造ルールの第1セットは、欠陥タイプの少なくとも第1及び第2のクラスを含む、潜在的欠陥のタイプの複数のクラスを定義する。この実施形態では、設計製造ルールの変更されたセットは、少なくとも、設計製造ルールの第1クラスに関連する複数の設計製造ルールの第1サブクラスの第1セットと、設計製造ルールの第2クラスに関連する複数の設計製造ルールの第2サブクラスの第2セットとを定義する。設計製造ルールの第1及び第2のサブクラスのうちの少なくとも複数が、設計製造ルールのランキングされた第1及び第2のサブクラスが製造された集積回路内の欠陥を識別する尤度によってランキングされる。設計製造ルールの第1及び第2のサブクラスを満足する潜在的欠陥が、集積回路の物理的レイアウト記述から抽出される。この方法のある種の実施形態では、製造される回路に適用される回路テストが、判定される。回路テストは、例えば、設計製造ルールの第1及び第2のサブクラスに含まれる設計製造ルールのうちの少なくとも複数に関連する抽出された潜在的欠陥を検出するように構成することができる。回路テストが適用されることに応答して入手される製造された回路からのテスト応答を、評価して、変更された設計製造ルールのうちの1つ又は複数が、テストされた集積回路内に存在する可能性が高い潜在的欠陥を識別したかどうかを判定することができる。更に、評価を、適用される回路テストによって検出された欠陥の製造された回路内での発生の尤度を示す統計情報を提供するために、十分な個数の製造された集積回路からのテスト応答を使用して実行することができる。ある種の実施形態で、設計製造ルールの第1及び第2のサブクラスをランキングする動作は、第1サブクラス内の複数の設計製造ルールを互いに対して相対的にランキングするステップと、第2サブクラス内の複数の設計製造ルールを互いに対して相対的にランキングするステップとを含む。幾つかの実施形態で、設計製造ルールの変更されたセットは、それに関して欠陥を抽出してはならない、集積回路の物理的レイアウトの区域を定義する設計製造ルールを含む。設計製造ルールの変更されたセットは、歩留り低下に影響する特性の経時的な変化の傾向を判定する、歩留り低下を制限する製造ルールをも含むことができる。そのような歩留り低下を制限するルールの1つの例は、信号線のインライン抵抗に関連する。
本明細書で開示されるもう1つの例示的方法では、対応する観察点組合せから1つ又は複数の欠陥候補を識別する1つ又は複数の故障辞書が、生成される。この例示的方法では、観察点組合せは、各々のテスト・パターンの適用の際の故障テスト値を取り込んだテスト中回路の観察点を示す。更に、1つ又は複数の欠陥辞書は、(a)第1欠陥候補について、第1欠陥候補を検出するテスト・パターンを示す1つ又は複数の第1インジケータを保管することと、(b)第2欠陥候補について、第2欠陥候補を検出するテスト・パターンを示す少なくとも1つの第2インジケータを保管することとによって生成され、第2インジケータは、第1欠陥候補を検出するテスト・パターンのうちのどれが第2欠陥候補をも検出するかを示すビット・マスクを含む。幾つかの実施形態で、テスト中回路は、電子デバイス内での機能的使用のために設計された集積回路を含む。1つ又は複数の第1インジケータは、第1欠陥候補を検出するテスト・パターンに関連する一意ID及び/又は第1欠陥候補を検出するテスト・パターンの観察点組合せに関連する一意IDを含むことができる。幾つかの実施形態で、第1欠陥候補と第2欠陥候補とは、テスト中回路のファン・アウト・フリー領域内にある。更に、第1欠陥候補を、ファン・アウト・フリー領域のステムに置くことができる。幾つかの実施形態では、1つ又は複数の欠陥候補が、生成された1つ又は複数の故障辞書を使用してテスト中回路の生産テスト中に識別される。更に、ある種の実施形態では、増分診断及び/又は増分シミュレーションが、生成された1つ又は複数の故障辞書によって識別されない1つ又は複数の可能な欠陥に対して実行される。幾つかの実施形態では、生成された1つ又は複数の故障辞書が、増分診断及び/又は増分シミュレーションからの結果を用いて更新される。同様に、欠陥抽出ルール又は設計製造ルールを、増分診断及び/又は増分シミュレーションからの結果を用いて更新することができる。第1欠陥候補を検出するテスト・パターンの個数を、(a)所定の値又は(b)ユーザ選択の値のうちの少なくとも1つまでに制限することができる。幾つかの実施形態で、第1欠陥候補を検出するテスト・パターンは、静的故障モデルを使用するテスト・パターンと、動的故障モデルを使用するテスト・パターンとを含む。更に、この実施形態のある種の実施態様では、少なくとも第1故障辞書と第2故障辞書とが、生成され、静的故障モデルを使用するテスト・パターンに関する故障辞書情報は、第1故障辞書に保管され、動的故障モデルを使用するテスト・パターンに関する故障辞書情報は、第2故障辞書に保管される。更に、第2欠陥候補を検出するテスト・パターンのうちの少なくとも幾つかは、第1欠陥候補を検出するのに使用されるものと異なるタイプの故障モデルを使用することができる。この例示的方法の幾つかの実施形態で、観察点組合せは、テスト中回路内のコンパクタからのコンパクト化されたテスト応答に対応する。幾つかの実施形態で、次のプロパティ即ち、(a)欠陥候補を他の潜在的欠陥から区別する欠陥識別子、(b)第1欠陥候補を抽出するのに使用された欠陥抽出ルールを識別する被導出ルール識別子、(c)第1欠陥候補を抽出するのに使用された欠陥抽出ルールがそれから導出された設計製造ルールを識別する設計製造ルール識別子、(d)第1欠陥候補の1つ又は複数の物理的プロパティ、(e)集積回路設計の物理的レイアウト内の第1欠陥候補の物理的位置、又は(f)同一タイプの他の潜在的欠陥に対する相対的な第1欠陥候補のランキングのうちの1つ又は複数が、少なくとも第1欠陥候補に関連付けられ、又は少なくとも第1欠陥候補のために更に保管される。
本明細書で開示されるもう1つの例示的方法では、集積回路レイアウト内の潜在的欠陥のリストが、受け取られる。この例示的実施形態では、リスト内の潜在的欠陥は、少なくとも部分的に設計製造ルールのセットから導出された抽出ルールを使用することによって識別されたものであり、設計製造ルールは、集積回路を製造するための設計パラメータを含む。テスト・パターンのセットが、(a)識別された潜在的欠陥の少なくとも幾つかを検出する1つ若しくは複数のテスト・パターンを、以前に生成されたテスト・パターンから選択すること、(b)識別された潜在的欠陥の少なくとも幾つかを明示的にターゲットにする1つ若しくは複数のテスト・パターンを生成すること、又は(a)と(b)との両方によって作られる。少なくとも1つの故障辞書が、生成され、この故障辞書は、関連するテスト・パターンに対する1つ又は複数の失敗するテスト応答とその失敗するテスト応答にそれぞれが関連する1つ又は複数の潜在的欠陥とを示す。ある種の実施形態で、少なくとも1つの故障辞書は、圧縮された故障辞書であり、この故障辞書を生成する動作は、各々の潜在的欠陥に関連する失敗するテスト応答を表すために1つ又は複数のビット・マスクを使用するステップ及び/又は各々の潜在的欠陥の検出情報を表すために1つ又は複数の擬似故障の検出情報を使用するステップを含む。テスト・パターンのセット内のテスト・パターンの少なくとも一部を使用する集積回路のテスト中に入手される失敗するテスト応答を含むテスト結果データを、受け取ることができる。少なくとも1つの故障辞書を、失敗するテスト応答のうちの1つ又は複数に関連する潜在的欠陥を診断するために、テスト結果データに適用することができる。増分診断手順又は増分シミュレーション手順を、少なくとも1つの故障辞書を使用して診断可能ではない潜在的欠陥を診断するために、使用することができる。潜在的欠陥が失敗するテスト応答を実際に引き起こしている確率を、少なくとも1つの故障辞書の適用から入手される診断結果を使用して、統計的に判定することができる。
本明細書で開示されるもう1つの例示的方法では、少なくとも部分的に設計製造ルールの第1セットから導出される欠陥抽出ルールのセットが、判定される。この欠陥抽出ルールは、例えば、設計製造ルールによって識別される潜在的欠陥の少なくとも1つのカテゴリの複数のサブカテゴリを定義する。潜在的欠陥が、欠陥抽出ルールの少なくともサブセットを集積回路の物理的レイアウトの電子記述に適用することによって抽出される。この例示的実施形態の抽出される潜在的欠陥は、サブカテゴリのうちの少なくとも1つに含まれる。製造された集積回路内の潜在的欠陥の存在を示す複数の回路テストが、定義される。回路テストのそれぞれは、例えば、集積回路を含む製造された集積回路に適用される回路刺激のセットを含む。少なくとも複数の回路テストについて、潜在的欠陥又は回路テストによって検出される欠陥と、観察された場合に潜在的欠陥又は回路テストによって検出される欠陥の存在を示すはずの失敗するテスト応答とが、保管される。この方法は、製造された集積回路に回路テストを適用するステップと、テスト応答を取り込むステップと、適用された回路テストに失敗する1つ又は複数のテスト応答を作る、障害を発生する集積回路を判定するステップとを更に含むことができる。ある種の実施形態で、障害を発生する集積回路のうちの1つ又は複数について、1つ又は複数の失敗するテスト応答を作った1つ又は複数の回路テストが、識別され、これによって失敗するテスト応答を潜在的に引き起こした1つ又は複数の欠陥を演繹することを試みるために、識別された回路テストに関連する失敗するテスト応答のうちの1つ又は複数が、識別された回路テストに関連する保管された失敗するテスト応答と比較される。上記比較の結果を保管する時に保管されるデータの量を、少なくとも潜在的欠陥ごとにk個の検出する失敗するテスト応答だけを保管することによって、圧縮するか制限することができ、kは、保管される潜在的欠陥に関する失敗するテスト応答の最大個数を指定する。この例示的方法の幾つかの実施形態は、障害を発生する集積回路内の潜在的なタイプの欠陥の発生の反復識別に関する情報を集め、分析するステップと、あるタイプの欠陥が失敗するテスト応答を引き起こしている確率を表すデータを報告するステップとを更に含む。報告するステップは、例えば、データのグラフィカル表現(例えば、パレート図)を生成することによって実行することができる。幾つかの実施形態で、各々の潜在的欠陥に関連する次のプロパティ即ち、(a)各々の潜在的欠陥を他の潜在的欠陥から区別する欠陥識別子と、(b)潜在的欠陥を抽出するのに使用された欠陥抽出ルールを識別する被導出ルール識別子と、(c)欠陥抽出ルールがそれに基づく設計製造ルールを識別する設計製造ルール識別子と、(d)集積回路設計の物理的レイアウト内の各々の潜在的欠陥の1つ又は複数の物理的プロパティと、(e)集積回路設計の物理的レイアウト内の各々の潜在的欠陥の物理的位置と、(f)他の潜在的欠陥に対する相対的な各々の潜在的欠陥のランキングとのうちの1つ又は複数をも、保管することができる。ある種の実施形態で、欠陥抽出ルールのセットは、ワースト・ケース欠陥識別子によってワースト・ケース欠陥として識別される少なくとも1つの潜在的欠陥をも含む。幾つかの実施形態で、保管する動作は、故障がそれから導出された潜在的欠陥の識別と、潜在的故障のタイプと、潜在的故障を検出するテスト・パターンと、各検出するテスト・パターンの観察点とによって失敗するテスト応答を記述する欠陥比較辞書を生成する動作を含む。更に、これらの実施形態の幾つかの実施態様で、例示的方法は、(a)辞書内で前に見つからなかった潜在的欠陥の追加、(b)辞書内で前に見つからなかった潜在的欠陥に関連する少なくとも1つのテストの追加、(c)辞書内で前に見つからなかった潜在的欠陥がテストされた集積回路内に存在する場合に受け取られると期待される少なくとも1つの期待される失敗するテスト応答の追加、又は(d)所定の若しくはユーザ選択の回数の回路テストの後の、障害を発生する回路を検出しなかったテスト応答に関連する辞書内のエントリの削除のうちの1つ又は複数を実行することによって、保管された情報を変更することを更に含む。又、欠陥比較辞書を使用するある種の実施態様で、回路テストのうちの1つに関連する失敗するテスト応答が、潜在的欠陥の存在と上記欠陥のタイプとを判定するために、辞書に保管された回路テストに関連する失敗するテスト応答と比較され、上記比較の結果が、保管される。このプロセスの一部として、比較によって識別されなかった種々雑多な潜在的欠陥に起因して障害を発生する集積回路を、識別することができ、例示的方法を、種々雑多な潜在的欠陥のうちの少なくとも1つをターゲットにするために、欠陥抽出ルールの変更されたセットを使用して繰り返すことができる。更に、ある種の実施態様では、比較によって識別されなかった種々雑多な潜在的欠陥を、種々雑多な潜在的欠陥を識別するために診断することができる(例えば、影響−原因ベースの診断手順、増分シミュレーション、及び/又は増分診断を使用して)。辞書を、識別された種々雑多な潜在的欠陥を含めるために変更することができる。
本明細書で開示されるもう1つの例示的方法では、テスト結果情報が、複数の集積回路のテストから受け取られる。このテスト結果情報は、テスト中に適用された各々のテスト・パターンに関連する失敗するテスト応答を含む。故障辞書が、失敗するテスト応答のうちの1つ又は複数を引き起こした可能性がある潜在的欠陥を識別するために、テスト結果情報の少なくとも一部を診断するのに使用される。増分診断手順又は増分シミュレーション手順のうちの少なくとも1つが、故障辞書を使用して診断可能ではなかったテスト結果情報を診断するために使用される。幾つかの実施形態で、潜在的欠陥のうちの1つ又は複数が集積回路障害を実際に引き起こした確率が、故障辞書を使用して作られた診断結果から判定される。これらの確率が、その後、報告される。(a)1つ若しくは複数の設計製造ルールを調整する動作、(b)1つ若しくは複数の欠陥抽出ルールを調整する動作、又は(c)集積回路内の1つ若しくは複数の特徴の推奨される変更を提供する動作のうちの1つ又は複数を、報告された確率に少なくとも部分的に基づいて実行することができる。幾つかの実施形態で、故障辞書は、1つ又は複数の失敗するテスト応答を各々の潜在的欠陥に関連付けるのに1つ又は複数のビット・マスクを使用する圧縮された故障辞書である。
本明細書で開示されるもう1つの例示的方法では、情報が、電子デバイス内での機能的使用のために設計された集積回路のテスト応答を処理することから受け取られる。この実施形態では、この情報は、集積回路のテスト中に観察された集積回路障害と、集積回路障害を引き起こす可能な歩留り制限要因とを示す。集積回路内の可能な歩留り制限要因のうちの1つ又は複数が集積回路障害を実際に引き起こした確率が、受け取られた情報を統計的に分析することによって判定される。1つ又は複数の可能な歩留り制限要因が集積回路障害を実際に引き起こした確率が、報告される。受け取られた情報は、例えば、(a)診断結果、(b)歩留り制限要因の1つ若しくは複数のリスト、又は(c)テスト中の歩留り制限要因の検出に関する情報のうちの1つ又は複数を含むことができる。幾つかの実施形態で、集積回路の歩留りの推定値が、判定された確率に少なくとも部分的に基づいて判定される。歩留り推定値を、他の集積回路について判定することもできる。ある種の実施形態で、各々の可能な歩留り制限要因又は集積回路のエスケープ・レートの推定値が、判定された確率に少なくとも部分的に基づいて判定される。他の集積回路の可能な歩留り制限要因又は他の集積回路のエスケープ・レート推定値をも、判定することができ、この他の集積回路は、テストされた集積回路を設計するのに使用された設計製造ルールに実質的に似た設計製造ルールに従って設計される。幾つかの実施形態で、テストされる集積回路は、1つ又は複数の設計製造ルールに従って設計され、例示的方法は、設計製造ルールのうちの少なくとも1つに関する歩留り感度曲線を推定するステップを更に含み、この推定は、判定された確率に少なくとも部分的に基づく。更に、例示的方法は、経時的に繰り返して実行されることができ、経時的に観察された判定された確率の変化に基づいて生産傾向を判定するステップを含むことができる。報告された確率に少なくとも部分的に基づいて、(a)1つ又は複数の設計製造ルールを、変更することができ、(b)集積回路の設計内の潜在的欠陥を識別するのに使用される1つ又は複数の欠陥抽出ルールを、変更することができ、且つ/又は(c)集積回路内の特徴に対する1つ又は複数の推奨される変更を、提供することができる(幾つかの例で、変更された特徴を有する集積回路が、作られる)。幾つかの実施形態で、確率のグラフィカル表現が、生成される(例えば、パレート図)。ある種の実施形態で、受け取られた情報は、被疑特徴のリストを含む。被疑特徴のリストを、例えば、少なくとも1つの圧縮された故障辞書及び/又は増分診断を使用して生成することができる。幾つかの実施形態で、確率は、各々の可能な歩留り制限要因が、関連する各々の集積回路障害を引き起こした確率を推定することと、推定された確率を、各々の可能な歩留り制限要因が関連する障害を引き起こした実際の確率に関係付ける連立方程式を反復的に解くこととによって判定される。更に、ある種の実施形態で、可能な歩留り制限要因は、(a)集積回路レイアウト内のネット、(b)集積回路レイアウト内の特徴、又は(c)集積回路の製造に関連する設計製造ルールのうちの少なくとも1つを含む。更に、テストされた集積回路は、共通の設計を有することができ、確率を判定する動作は、集積回路の設計を複数の設計ブロックに区分するステップを含むことができ、各設計ブロックは、可能な歩留り制限要因の部分集合を含む。この区分手順は、集積回路の設計内のネットに関連する故障をシミュレートするステップと、少なくともネットの第1セットからの誤りを取り込む観察点の第1グループとネットの第2セットからの誤りを取り込む観察点の第2グループとを識別するステップと、第1設計ブロックにネットの第1セットを、第2設計ブロックにネットの第2セットを含めるステップとを含むことができる。確率を判定する動作は、設計ブロック障害確率を、歩留り制限要因が各々の設計ブロック内に含まれる障害確率に関係付ける確率モデルを構築するステップと、設計ブロック障害確率を受け取られた情報と比較するステップと、回帰技法を使用して、歩留り制限要因の推定された障害確率を計算するステップとを更に含むことができる。確率を判定する動作は、他のネットより実質的に高いレートで障害を発生するネットを識別するステップをも含むことができる。他のネットより実質的に高いレートで障害を発生するネットが、テストされた集積回路のインスタンスをそれぞれが含むダイの複数のインスタンスを含む複数のウェハの同一のダイ位置又はその付近で繰り返して発生するかどうかの判定を、行うことができる(例えば、ウェハ欠陥マップを生成することによって)。例示的方法の幾つかの実施形態で、複数の集積回路のテストは、その中で1つ又は複数の潜在的欠陥がビット・マスクの適用によって識別される故障辞書を使用して集積回路内の潜在的欠陥を識別するステップを含む。
本明細書で開示されるもう1つの例示的方法では、集積回路のテスト応答を処理することからの情報が、受け取られる。この実施形態では、この情報は、集積回路のテスト中に観察された集積回路障害と、その集積回路障害を引き起こした可能性がある潜在的欠陥とを示す。潜在的欠陥が集積回路障害を実際に引き起こしている確率が、受け取られた情報を分析することによって判定される。この実施形態では、分析する動作は、推定された確率を、各々の潜在的欠陥が関連する集積回路障害を引き起こした実際の確率に関係付ける連立方程式を反復的に解くステップを含む。判定された確率を、報告することができる(例えば、パレート図などの確率のグラフィカル表現を生成することによって)。受け取られた情報は、例えば、(a)診断結果、(b)潜在的欠陥の1つ若しくは複数のリスト、又は(c)テスト中の潜在的欠陥の検出に関する情報のうちの1つ又は複数を含むことができる。幾つかの実施形態で、集積回路の歩留りの推定値が、判定された確率に少なくとも部分的に基づいて判定される。歩留り推定値を、他の集積回路について判定することもできる。ある種の実施形態で、各々の潜在的欠陥又は集積回路のエスケープ・レートの推定値が、判定された確率に少なくとも部分的に基づいて判定される。他の集積回路内の潜在的欠陥又は他の集積回路のエスケープ・レート推定値をも、判定することができる。幾つかの実施形態で、テストされた集積回路は、1つ又は複数の設計製造ルールに従って設計され、例示的方法は、設計製造ルールのうちの少なくとも1つの歩留り感度曲線を推定するステップを更に含み、この推定は、判定された確率に少なくとも部分的に基づく。更に、例示的方法は、経時的に繰り返して実行されることができ、経時的に観察された判定された確率の変化に基づいて生産傾向を判定するステップを更に含むことができる。ある種の実施形態で、(a)1つ若しくは複数の設計製造ルールを調整する動作、(b)1つ若しくは複数の欠陥抽出ルールを調整する動作、又は(c)集積回路内の1つ若しくは複数の特徴の推奨される変更を提供する動作のうちの1つ又は複数が、報告された確率に少なくとも部分的に基づいて実行される。集積回路内の1つ又は複数の特徴が、報告された確率に少なくとも部分的に基づいて変更される実施形態で、例示的方法は、変更された1つ又は複数の特徴を有する1つ又は複数の集積回路を作るステップを更に含むことができる。ある種の実施形態で、テストされた集積回路は、共通の設計を有し、確率を判定する動作は、集積回路の設計を複数の設計ブロックに区分するステップと、前の段落で説明したように設計ブロックを分析するステップとを含む。例えば、区分する手順は、集積回路の設計内のネットに関連する故障をシミュレートするステップと、少なくともネットの第1セットからの誤りを取り込む観察点の第1グループとネットの第2セットからの誤りを取り込む観察点の第2グループとを識別するステップと、第1設計ブロック内にネットの第1セットを、第2設計ブロック内にネットの第2セットを含めるステップとを含むことができる。確率を判定する動作は、設計ブロック障害確率を、各々の設計ブロック内の潜在的欠陥の障害確率に関係付ける確率モデルを構築するステップと、設計ブロック障害確率を受け取られた情報と比較するステップと、回帰技法を使用して、潜在的欠陥の推定された障害確率を計算するステップとを更に含むことができる。確率を判定する動作は、他のネットより実質的に高いレートで障害を発生するネットを識別するステップをも含むことができる。他のネットより実質的に高いレートで障害を発生するネットが、テストされた集積回路のインスタンスをそれぞれが含むダイの複数のインスタンスを含む複数のウェハの同一のダイ位置又はその付近で繰り返して発生するかどうかの判定を、行うことができる(例えば、ウェハ欠陥マップを生成することによって)。ある種の実施形態で、受け取られた情報は、更に、各々の潜在的欠陥に関連する次のプロパティ即ち、(a)各々の潜在的欠陥を他の潜在的欠陥から区別する欠陥識別子と、(b)各々の潜在的欠陥を抽出するのに使用された欠陥抽出ルールを識別する被導出ルール識別子と、(c)欠陥抽出ルールがそれに基づいた製造ルールを識別する設計製造ルール識別子と、(d)各々の潜在的欠陥の1つ又は複数の物理的プロパティと、(e)集積回路設計の物理的レイアウト内の各々の潜在的欠陥の物理的位置と、(f)潜在的欠陥の同一のクラス内の他の潜在的欠陥に対する相対的な各々の潜在的欠陥のランキングとのうちの1つ又は複数を更に示す。
本明細書で開示されるもう1つの例示的方法では、複数の集積回路のテスト中に観察された集積回路障害と、その集積回路障害を引き起こした可能性がある潜在的欠陥とを表す情報が、受け取られ、潜在的欠陥は、設計製造ルールから導出された抽出ルールを使用して抽出され、テストに関してターゲットにされる。受け取られた情報は、潜在的欠陥のうちの1つ又は複数に関連する1つ又は複数の障害レートを判定するために分析され、判定された障害レートが、報告される。受け取られた情報は、例えば、(a)診断結果、(b)潜在的欠陥の1つ若しくは複数のリスト、又は(c)テスト中の潜在的欠陥の検出に関する情報のうちの1つ又は複数を含むことができる。幾つかの実施形態で、集積回路の歩留りの推定値が、判定された障害レートに少なくとも部分的に基づいて判定される。歩留り推定値を、他の集積回路について判定することもできる。ある種の実施形態で、各々の潜在的欠陥又は集積回路のエスケープ・レートの推定値が、判定された障害レートに少なくとも部分的に基づいて判定される。他の集積回路の潜在的欠陥又は他の集積回路のエスケープ・レート推定値をも、判定することができる。幾つかの実施形態で、例示的方法は、設計製造ルールのうちの少なくとも1つの歩留り感度曲線を推定するステップを更に含み、この推定は、判定された障害レートに少なくとも部分的に基づく。更に、例示的方法を、複数の時間期間にわたって実行することができ、生産傾向を、複数の時間期間にわたって観察された判定された障害レートの変化に基づいて判定することができる。(a)1つ若しくは複数の設計製造ルールを調整する動作、(b)1つ若しくは複数の欠陥抽出ルールを調整する動作、又は(c)集積回路内の1つ若しくは複数の特徴の推奨される変更を提供する動作のうちの複数のうちの1つを、報告された障害レートに少なくとも部分的に基づいて実行することができる。集積回路内の1つ又は複数の特徴が、報告される確率に少なくとも部分的に基づいて変更される実施態様で、この方法は、変更された1つ又は複数の特徴を有する1つ又は複数の集積回路を作るステップを更に含むことができる。ある種の実施形態で、診断結果は、少なくとも1つの故障辞書の適用を介して入手される。例えば、少なくとも1つの故障辞書は、潜在的欠陥を識別するのに1つ又は複数のビット・マスクを使用する圧縮された故障辞書とすることができる。幾つかの実施形態で、分析する動作は、特徴障害レートに関連する確率モデルを構築するステップと、構築された確率モデルを受け取られる診断結果に関係付けるステップと、回帰分析を使用して推定された特徴障害レートを計算するステップとを含む。
開示される方法又は手順の何れをも、コンピュータにその方法を実行させるコンピュータ実行可能命令を含む有形のコンピュータ可読媒体内で実施することができる。更に、上で説明した方法の何れかによって作成されたDFMルール、説明した方法の何れかと共に使用される圧縮故障辞書、説明した方法の何れかによって生成されるテスト・パターン、又は説明した方法の何れかから生じる結果を保管する有形のコンピュータ可読媒体も、開示される。開示される方法の何れかを、テスト中の回路の生産テスト中に実行することもできる。手順の開示される方法の何れかを、開示される方法又は手順を実行するようにプログラムされた1つ又は複数のコンピュータによって実行することもできる。開示される方法の何れかを使用して、部分的に識別された欠陥又は部分的に変更された設計を有する回路も、本開示の範囲内にあると考えられる。
なお、開示される実施形態の前述及び追加の特徴及び利点は、図面を参照して進行する次の詳細な説明からより明白になるであろう。
全体的な考慮事項
以下で開示するのは、集積回路の歩留りと品質をテストし、分析し、改善することへの特定の適用可能性を有する方法と、装置と、システムとの代表的実施形態であるが、これらを、決して限定的と解釈してはならない。そうではなく、本開示は、単独の並びに互いとのさまざまな組合せと副組合せとでの、さまざまな開示される方法と、装置と、システムとのすべての新規の自明でない特徴及び態様とその同等物とを対象とする。開示されるテクノロジは、特定の態様又は特徴或いはその組合せに限定されず、1つ若しくは複数の特定の利点が存在する開示される方法と、装置と、システムとに、又は解決される問題に限定されない。
更に、本明細書で説明される方法と、装置と、システムとの何れをも、非常にさまざまな構成要素(例えば、ディジタル構成要素、アナログ構成要素、又は混合信号構成要素)を利用する非常にさまざまな集積回路(例えば、特定用途向け集積回路(ASIC)、フィールド・プログラマブル・ゲート・アレイ(FPGA)などのプログラマブル・ロジック・デバイス(PLD)、又はシステム・オン・ア・チップ(SoC))の製造及びテストと共に使用することができる。
開示される方法のうちの幾つかの動作を、便利な提示のために特定のシーケンシャル順序で説明するが、特定の順序付けが下で示される特定の言語によって要求されない限り、説明のこの形が、再配置を含むことを理解されたい。例えば、順次説明される動作を、再配置するか並列に実行することができる。更に、図を単純にするために、添付図面は、開示される方法と、装置と、システムとを他の方法と、装置と、システムと共に使用できるさまざまな形を示さない場合がある。更に、この説明は、時々、開示されるテクノロジを説明するのに「判定する」及び「識別する」などの単語を使用する。これらの単語は、実行される実際の動作の高水準の抽象である。これらの単語に対応する実際の動作は、特定の実施態様に非常に依存し、当業者によってたやすく識別可能である。
開示される実施形態は、非常にさまざまな環境で実施することができる。例えば、開示される技法の何れをも、有形のコンピュータ可読媒体(例えば、1つ若しくは複数のCD、揮発性メモリ構成要素(DRAM又はSRAMなど)、又は不揮発性メモリ構成要素(ハード・ドライブなど)などの有形のコンピュータ可読媒体)に保管されたコンピュータ実行可能命令を含むソフトウェアで、全体的に又は部分的に実施することができる。そのようなソフトウェアに、例えば、electronic design automation(EDA)ソフトウェア・ツール(例えば、自動テスト・パターン生成(ATPG)ツール)を含めることができる。しかし、決して、説明される特定のソフトウェア・ツールを、限定的と解釈してはならない。というのは、本明細書で開示される原理が、全般的に他のソフトウェア・ツールに適用可能であるからである。開示される技法を使用して検出される欠陥をもたらす回路設計を、幾つかの情況で修復することができる。
そのようなソフトウェアを、単一のコンピュータ上又はネットワーク化されたコンピュータ上で(例えば、インターネット、広域ネットワーク、ローカル・エリア・ネットワーク、クライアント・サーバ・ネットワーク、又は他のそのようなネットワークを介して)実行することができる。説明を明瞭にするために、ソフトウェア・ベースの実施態様のある選択された態様だけを、説明する。当技術分野で周知の他の詳細は、省略する。例えば、開示されるテクノロジが、すべての特定のコンピュータ言語、プログラム、又はコンピュータに限定されないことを理解されたい。同一の理由から、コンピュータ・ハードウェアは、更に詳細には説明しない。開示される方法の何れをも、その代わりにハードウェア(例えば、ASIC、PLD、又はSoC)で実施する(部分的に又は完全に)ことができる。
更に、開示される方法の何れかから作られるデータを、さまざまな異なるデータ構造又はフォーマットを使用する有形のコンピュータ可読媒体(例えば、1つ若しくは複数のCD、揮発性メモリ構成要素(DRAM又はSRAMなど)、又は不揮発性メモリ構成要素(ハード・ドライブなど)などの有形のコンピュータ可読媒体)上で作成し、更新し、又は保管することができる。そのようなデータは、ローカル・コンピュータで又はネットワークを介して(例えば、サーバ・コンピュータによって)作成し又は更新することができる。
例示的な歩留り分析方法の概要
開示されるテクノロジの例示的な望ましい実施形態は、集積回路のDesign−for−Manufacturability(DFM)に関する。DFMルール(本明細書では、時々、「設計製造ルール(design manufacturing rule)」と称する)は、本明細書で「欠陥」と称する潜在的な生産異常(production aberration)を判定するのに使用することができる。プロセス・ウィンドウにまたがるレイアウト・プリンタビリティのシミュレーションなどの他の技法も、欠陥を識別するのに使用することができる。開示されるテクノロジのある実施形態によれば、欠陥のクラスの実際の分布と個々の欠陥の実際の分布とを、望ましいことに、集積回路ファウンドリによる出荷のために実際に作られる集積回路(例えば、電子デバイス内での機能的使用のために設計された集積回路)を使用して判定することができる。言い換えると、特別に設計されたテスト・チップ上のテスト構造ではなく、製造中の集積回路設計に存在する特徴を、分析することができる。その結果、特別に設計されたテスト・チップの必要又は使用を、除去するか、大幅に減らすことができる。更に、これらの分布を分析することによって、DFMルールを、その集積回路とDFMルールの同一のセットを使用する他の集積回路との生産の歩留りを高めるために変更することができる。
図47は、開示されるテクノロジの1つの例示的実施形態による歩留り分析方法(4700)を概略的に示すブロック図である。図示の実施形態では、歩留り改善は、基礎になるDFMルールの、或いは少なくとも部分的にDFMルールの初期セットから導出されるDFMルールの抽出されたセット又は変更されたセットの、テスト・ベースの分析を実行することによって達成される。これらのDFMルールに基づいて、現れる可能性が最も高い欠陥を、集積回路のレイアウトから抽出することができ、高品質テスト・パターン・セットを、これらの欠陥の検出をターゲットにするために生成することができる。例えば、図47を参照すると、故障/欠陥抽出構成要素(4710)(例えば、物理検証ツール又は他の適当なEDAソフトウェア・ツール)は、欠陥ルール(4702)のセット(例えば、ファウンドリによって供給されるDFMルール又はこの方法(4700)の以前の反復によって作成されたルール・セット)と、集積回路の設計(4704)(例えば、GDSII又はOasisファイルなど、集積回路設計の幾何形状のレイアウト記述)とを受け取ることができる。
故障/欠陥抽出構成要素(4710)は、集積回路設計から潜在的欠陥を抽出するように動作することができ、この抽出は、欠陥ルール(4702)のうちの1つ又は複数に少なくとも部分的に基づく。例えば、1つ又は複数の欠陥抽出ルールを、欠陥ルールから判定し、回路設計に適用することができる。欠陥抽出ルールの作成と抽出とを実行する例示的技法は、下でより詳細に述べる。幾つかの実施形態で、欠陥を、複数のクラスとサブクラスとに分類することができ、これらは、クラスの特定の特徴によってパラメータ化される。故障シミュレーション/テスト・パターン生成構成要素(4712)(自動テスト・パターン生成(ATPG)ツールなど)は、抽出された欠陥を受け取り、抽出された欠陥をターゲットにする1つ又は複数のテスト・パターンを作成するように動作することができる。テスト・パターンを生成するために、テスト・パターン生成構成要素(4712)は、ネットリスト(4713)など、集積回路の異なる表現を使用することができる。更に、故障シミュレーション/テスト・パターン生成構成要素(4712)は、既存のテスト・パターン(4711)を受け取り、抽出された欠陥についてこれらをシミュレートするか、他の形でその特徴を表すことができる。更に、故障シミュレーション/テスト・パターン生成構成要素(4712)は、増分テスト・パターンを生成することができる。抽出された欠陥についてテスト・パターン生成を実行する例示的技法は、下でより詳細に述べる。故障シミュレーション/テスト・パターン生成構成要素(4712)は、欠陥データベース(4720)(時々、故障辞書、故障シソーラス、又は欠陥辞書と称する)も作ることができる。
集積回路設計が、製造され(4705)、テストされる(4714)(例えば、テスタ又はATEを使用して)。テスト(4714)中に、1つ又は複数の集積回路の障害情報を、記録することができる。テストは、例えば、抽出された欠陥をターゲットにする生成されたテスト・パターンを使用して実行することができる。ある種の実施形態で、テストされる集積回路は、特殊化されたテスト・チップではなく、製品回路である。テスト分析構成要素(4716)は、生産中に障害情報を受け取り、評価することができる。幾つかの情況で、開示されるテクノロジの幾つかの実施形態によれば、増分シミュレーション手順と増分診断手順とを、欠陥クラス分解能を高めるために使用することができる。テスト結果分析に少なくとも部分的に基づいて、障害を発生している設計プロパティに関する情報を、例えば診断結果(4722)として、集め、保管することができる。診断結果分析構成要素(4718)は、1つ又は複数の統計方法(下でより詳細に説明する)を使用して結果を分析し、1つ又は複数のグラフィカル表現(4719)として結果を表示するのに使用することができる。例えば、1つの例示的実施形態によれば、結果を、パレート図(例えば、例えば設計特徴の潜在的設計欠陥とそのような欠陥のクラス又はサブクラスとに焦点を合わせた、グラフィカル表現)に表示することができる。診断結果(4722)は、集積回路生産の歩留りを計算するため又はdefects−per−million(DPM)(時々、「エスケープ・レート(escape rate)」と称する)を推定するためなど、複数の形で使用することができる。幾つかの実施態様で、推定される歩留りデータは、予測の正確さを改善するために、継続的に更新される。更に、診断結果の分析の結果は、DFMルールと欠陥抽出ルールとを分析するのに使用することができる。この分析から、DFMルールと欠陥抽出ルール(DFMルールから又は独立にの何れかで導出される)とを、障害を発生しているデバイスの原因に関係付けることができる。次に、DFMルール・セットと欠陥抽出ルール・セットとの改善を、生成することができる(例えば、幾つかの実施形態では、改善されたルールが、自動的に生成される)。新しいルール・セットは、実施される時に、集積回路の生産の品質又は歩留りを高めるのに使用することができ、他の集積回路の生産の初期歩留りを改善することもできる。ルール・セットの改善には、例えば、既存ルールの追加と、削除と、変更とを含めることができるが、新しい欠陥クラスの作成又はクラスの洗練を含めることもでき、これらは、欠陥ルール(4702)の新しいセットの少なくとも一部を形成する。従って、このブロック図に示された歩留り分析手順(4700)は、図示の歩留り分析プロセスの構成要素のうちの1つ又は複数の以前の適用によって改訂され又は変更された1つ又は複数のルールを有するルールのセットについて繰り返すことができる。更に、欠陥の発生の傾向を識別するために、経時的に集められた障害データ・セットを用いる分析を、互いと比較することができる。これらの判断は、一般に、歩留り向上判断ステージ(4724)で実行され、通常は手動で行われる。そのような方法の使用を介して、いわゆるテスト・チップの必要性を、大幅に減らすか完全になくすことができる。
他の可能な利点の中で、開示されるテクノロジの実施形態は、DFMルール、欠陥抽出ルール、及び/又は欠陥ランキングを改善することによって、集積回路と将来の集積回路との生産の歩留りと品質とを改善するのに使用することができる。下でより詳細に説明するように、開示されるテクノロジの実施形態に、次の方法動作のうちの1つ、幾つか、又はすべてを含めることができる:
・DFMルールなどの欠陥ルールからの欠陥抽出ルールの導出、
・導出された欠陥抽出ルールと追加の欠陥抽出ルールとを使用する、集積回路の記述からの欠陥の抽出、
・集積回路の記述に基づく各抽出された欠陥へのランキングの割当(幾つかの実施形態で、ランキングは、継続的に更新される(例えば、記述された集積回路又は他の集積回路をテストするテスト・デバイスから集められたデータを使用することによって))、
・例えば集積回路のレイアウト内の欠陥のランキング又は位置に少なくとも部分的に基づく、抽出された欠陥のフィルタリング、
・集積回路の歩留り及び品質の予測、
・抽出された欠陥の故障モデルへのマッピング、
・抽出された欠陥とそのランキングとに少なくとも部分的に基づく、入力刺激(例えば、テスト・パターン)の生成、適格化、及び/又は順序付け、
・生成された入力刺激に関する辞書の生成、
・製造されたチップへのテスト刺激の適用とテスト結果データの収集、
・辞書を使用するテスト結果データの処理(例えば、テスト結果の診断)、
・次の方法動作で使用できるデータを供給するための、診断の結果の分析、
・診断結果の分析に少なくとも部分的に基づくDFMルールの変更、追加、及び/又は削除、
・診断結果の分析に少なくとも部分的に基づく欠陥抽出ルールの変更、追加、及び/又は削除、
・診断結果の分析に少なくとも部分的に基づく欠陥ランキングの変更、
・辞書に元々は含まれなかった欠陥の診断。この診断は、辞書の変更及び/或いは、新しいDFMルール若しくは欠陥抽出ルール若しくはこの両方の種類のルールの追加又は古いDFMルール若しくは欠陥抽出ルール若しくはこの両方の種類のルールの変更につながり得る、
・欠陥と欠陥クラスとの分布に関する傾向を計算し、表示するための複数の分析結果セットの比較。
図47に示された一般的方法など、この一般的方法の幾つかの実施形態で、この方法は、スキャン・チェーン・ベースの設計を有する集積回路に適用され、この設計には、更に、オン・チップ圧縮ロジックを含めることができる。更に、この一般的方法は、情報の交換が制限される環境に適用することができる。
注記したように、例示的な一般的方法のある種の実施形態には、DFMルールに基づく欠陥の抽出(例えば、欠陥抽出構成要素(4710)を使用することによる)が含まれる。幾つかの実施態様で、例えば、1つ又は複数の欠陥抽出ルールを、1つ又は複数のDFMルールから導出することができる。更に、導出された欠陥抽出ルールに、各々の基礎になるDFMルールに関して、集積回路の記述に関して、及び/又は外部刺激に関して複数の形で「厳重にされ」、「緩和される」ルールを含めることができる。欠陥抽出ルールのこれらの変形は、各々の基礎になるDFMルールの障害発生を判定し、分析する際の分解能を高めるのを助けることができる。1つ又は複数の欠陥候補を、厳重にされた欠陥抽出ルールと緩和された欠陥抽出ルールとを使用して集積回路の記述から抽出することができる。更に、1つ又は複数の欠陥候補を、存在しないか元々の欠陥ルールによって暗示されない欠陥抽出ルールの追加セットを使用することによって、集積回路の記述から抽出することができる。一実施態様によれば、例えば、欠陥抽出手順は、少なくとも、各欠陥クラスのワースト・ケース・シナリオを表す欠陥の公称集合を、抽出された欠陥候補の集合に追加する。抽出方法は、これらの欠陥を特殊なワースト・ケース欠陥としてマークすることができる。幾つかの実施態様で、集積回路記述の1つ又は複数の部分を、上で述べた欠陥抽出ルールのセットを使用する時にそれらの部分から欠陥が抽出されなくなるように指定することができる。
欠陥の各匹敵するクラス内での欠陥の発生を、集積回路の記述、厳重にされた欠陥抽出ルール及び緩和された欠陥抽出ルール、並びに/又は各々の基礎になるDFMルールを使用してランキングすることができる。又、或いはその代わりに、欠陥のクラスの発生を、集積回路の記述、厳重にされた欠陥抽出ルール及び緩和された欠陥抽出ルール、並びに/又は各々の基礎になるDFMルールを使用してランキングすることができる。ある種の実施態様で、これらのランキングの何れか又は両方を計算する時に、ヒストリカル・データを、考慮に入れることができる。欠陥を、更に、欠陥の各クラス内の個々の欠陥のランキングを各々のクラスのランキングにオーバーレイすることによってランキングすることができる。更に、達成可能な歩留りを、集積回路の記述、厳重にされた欠陥抽出ルール及び緩和された欠陥抽出ルール、各々の基礎になるDFMルール、並びに/又は欠陥及び欠陥のクラスのランキングに基づいて予測することができる。又、クラス内とクラスにまたがるランキングを、現在の設計又は匹敵するより以前の設計から達成された結果の何れかの診断結果分析(4718)の結果に基づいて再ランキングすることによって達成することができる。又、フィルタを、適用して、欠陥のランキング又は集積回路のレイアウト内の位置などの欠陥のある種のプロパティなどのパラメータに基づいて抽出された欠陥を選択することができる。
例示的な一般的方法の幾つかの実施形態は、一般に「DFMルール学習」と称する、1つ又は複数のDFMルールの改善を伴うプロセスを用いる。例えば、幾つかの実施態様で、DFMルールは、1つ又は複数の集積回路のテストの結果に基づいて改善される。この改善には、DFMルールの変更、DFMルールの追加、及び/又はDFMルールの削除を含めることができる。又、或いはその代わりに、厳重にされた欠陥抽出ルールと緩和された欠陥抽出ルールとのうちの1つ又は複数を、改善することができる。この改善には、同様に、欠陥抽出ルールの変更、欠陥抽出ルールの追加、及び/又は欠陥抽出ルールの削除を含めることができる。欠陥クラス及び/又は個々の欠陥の仮定されるランキングをも、1つ又は複数の集積回路のテストの結果に基づいて改善することができる。
例示的な一般的方法の実施形態は、抽出された欠陥(例えば、テスト・パターン生成構成要素(4712)によって)のうちの1つ又は複数をターゲットにするテスト・パターンの生成をも含む。例えば、欠陥の1つ又は複数のクラスからの1つ又は複数の欠陥を、1つ又は複数の故障モデルに関連する1つ又は複数の対応する故障にマッピングすることができる。一部の欠陥について、複数のマッピングが可能なので、欠陥対故障マッピングを、指示することができる(例えば、外部刺激を介して)。設計のネットリスト記述(例えば、ネットリスト(4713))を、変更して、テスト・パターン生成、シミュレーション、及び故障シミュレーションなどのdesign−for−test手順が、ゲートの追加又は削除、信号線の追加又は削除、或いはその両方による欠陥ベースのテストをサポートできるようにすることができる。幾つかの実施態様で、欠陥分解能及び/又は欠陥カバレッジを高めるために、パターンを、再順序付けすることができる。このパターン再順序付けは、他の保管された情報を検討している間に実行することができる。ある種の実施態様で、ターゲット欠陥の集合並びにその集合内の欠陥をどうするかを定義するタスクを、選択することができる(例えば、手動で又は自動的に)。この集合は、1つ又は複数の欠陥を含み、それのために選択された1つ又は複数のタスクを有することができる。欠陥のこの集合とタスクとを使用することによって、テスト・パターン生成を、実行することができる。例えば、テスト・パターン生成を、実行して、ターゲット欠陥の集合内の名前付き欠陥のうちの1つ又は複数に関する1つ又は複数のテストを生成するか、集合内のターゲット欠陥の部分集合の間で区別する1つ又は複数のテストを生成することができる。これらの部分集合のそれぞれに、1つ又は複数の欠陥を含めることができる。成功のテスト・パターン生成の可能性を高めるために、ターゲット欠陥の集合とタスクとに関連する故障を、選択することができる(例えば、故障の相互作用とそれらの故障でタスクを達成する可能性とを分析することによって)。更に、ある種の実施態様で、ターゲット欠陥の集合とタスクとに関する候補テスト・パターンの追加を、この候補テスト・パターンがテスト・パターン・セットと欠陥集合との総合品質に対して有する影響(特に欠陥分解能に関する)の分析に従って、遅延させることができる。この分析は、例えば、ターゲット故障の集合とタスクとに関する候補テスト・パターンを使用してはならないことを判定することができる。この分析は、ターゲット故障の集合とタスクとに関するテスト・パターン生成を打ち切らなければならないこと、又は同一の若しくは変更されたターゲット欠陥の集合とタスクとに関する異なる候補テスト・パターンの生成を実行しなければならないことを判定することができる。幾つかの実施態様で、実行される故障シミュレーション及び/又はテスト・パターン生成は、複数の故障モデルを同時にサポートする。幾つかの実施態様で、欠陥の1つ又は複数の集合からマッピングされた故障とこれらの故障を検出するテスト・パターンとを、識別することができ、その結果、その欠陥と、故障と、テスト・パターンとを、テスト・パターン・セット全体をシミュレーションする必要なしに、更なる検討から除去できるようになる。ある種の実施態様は、更に、欠陥分解能を高めるため、且つ/又は前述の出力応答コンパクタにセレクタ・ステージを追加することによって欠陥分解能を高めるために、統合された出力応答コンパクト化方式を変更するように構成される。
一般的方法の実施形態は、「歩留り低下機構学習(yield loss mechanism learning)」として知られるプロセスも用いることができる。例えば、ある種の実施態様で、歩留り低下機構のパレート図が、製品集積回路の障害データを分析することと、例えば欠陥辞書(用語「辞書」及び「シソーラス」が、本開示において交換可能に使用されることに留意されたい)に保管された事前に計算された情報を使用することとによって、計算される(例えば、テスト分析構成要素(4716)及び/又は診断結果分析構成要素(4718)によって)。そうでなければどのクラスに属するものとしても識別できない種々雑多な欠陥を、分析することができ(例えば、高度診断構成要素(4726)によって)、その結果、新しい情報を、学習し、欠陥ルール・セットと、欠陥抽出ルール・セットと、欠陥辞書とに組み込めるようになる。欠陥辞書を、新しい結果抽出ルール・セットに基づいて更新することもできる。各欠陥集積回路に関連する生産テスト障害データを、分析することができ、その障害を引き起こした欠陥のクラスを、識別することができる。統計データを、分析し、較正して、区別できない等価な欠陥に起因する平均予測誤差を最小にすることができ、その結果、例えば歩留り低下機構に関する、計算されたパレート図が、より高い精度を達成できるようになる。
図1は、図47に示された歩留り分析を実行する例示的方法をより具体的に示すブロック図である。図1に示された例示的方法は、図1ではセクションIと、IIと、IIIと、IVとして識別される4つのセクションに副分割されている。提示を簡単にするために、この図のさまざまなセクション及び手順に、時々、あるアクションのアクタであるものとして言及するが、説明される手順が、通常はコンピュータ実施される方法として実施されることを理解されたい。セクションIは、欠陥抽出ルール(3)と、欠陥のリスト(9)と、ランキング(6)との生成(例えば、故障欠陥抽出構成要素4710による)に関する。欠陥(9)は、集積回路のレイアウト記述(5)(例えば、GDSIIファイル)から抽出される。ある種の実施形態で、この欠陥のリスト(9)は、明示的には表されず、セクションIとセクションIIとの間で通信されず、その代わりに暗黙のうちに表される。欠陥抽出ルール(3)の幾つかは、サブセクションIAに示されているように、集積回路がそれに従って設計されたDFMルール(1)の所与のセットから自動的に導出(2)することができる。セクションIIは、欠陥ベースのテスト・パターン生成と、シミュレーションと、パターン最適化(13)と(例えば、テスト・パターン生成構成要素4712による)に関する。このセクションは、欠陥カバレッジと特に欠陥のクラス及びサブクラスの欠陥分解能とに関して高品質のテスト・パターン・セット(17)を作ることができる。クラスは、非導出の欠陥抽出ルール(4)とDFMルール(1)とによって定義することができ、サブクラスには、導出された各々の欠陥抽出ルール(3)を含めることができる。セクションIIIは、ATE内のデバイス(18)へのテスト・パターン・セット(17)の適用(19)に関する。セクションIVは、セクションIIIでテストされたデバイスからのテスト結果データ(20)の処理(21)(例えば、テスト分析構成要素4716及び/又は診断結果分析構成要素4718による)に関する。テスト結果データは、例えば、セクションIIによって生成された辞書(16)を用いて評価される。複数の分析を、データに対して実行することができる。あるタイプの分析は、いわゆる「パレート図」(22)を使用することによるテストされたデバイスの結果の表示につながる。もう1つのタイプの分析(23)は、欠陥と欠陥クラスとのランキングの更新(24)に使用できるデータをもたらす。この情報は、改善された欠陥ランキングと歩留り予測とのためにセクションIにフィード・バックされる。もう1つのタイプの分析(25)は、現在の欠陥抽出ルールのセットに焦点を合わせたものである。この分析は、欠陥抽出ルール(3)がそれから導出されたDFMルール(1)のうちの幾つかを変更しなければならないかどうかを調査する。この情報は、現在の集積回路のDFMルールを、従って歩留りを改善する(例えば、再設計又はマスク変更(27)によって)のに使用することができる。更に、改善されたDFMルールは、現在は改善されているDFMルールに基づく次の設計のより高い初期歩留りにつながることができる。この分析のもう1つの態様は、例えば欠陥分解能を高めるため又は以前に検討されなかった欠陥を含めるための、変更された(26)欠陥抽出ルール(3)、(4)のフィードバックである。
次のセクションでは、図1に示された一般的方法で実行されるさまざまな手順を、より詳細に説明する。しかし、説明される特定の手順を、決して限定的と解釈してはならない。というのは、これらの手順が、図1に示された手順の特定の代表的実施態様を説明するものであるからである。説明される手順の何れをも、他のシステムの一部として別々に又は独立に使用することができる。
この例示的手順の議論では、まだそれに関する多くの経験及び知識がないDFMルールを使用する集積回路の生産を仮定する。伝統的に、大量のテスト・チップが、DFMルールと生産課題とに関して多くを学習するために作られてきた。ここでは、開示される方法の実施形態が、テスト・チップの必要を減らすと同時に、得られる知識の質を高めるのをどのように助けることができるかを示す。
この議論全体を通じて、2つのDFMルールを、例として検討する。しかし、説明されるルールが、例示のみのためのものであることと、説明される実施形態が、通常ははるかに多数のDFMルール又はDFMルールのはるかに大きいセットに適用されることを理解されたい。第1の例のルールは、2つの信号線の間の最小距離に関する。第2の例のルールは、ビア接続がある場合の信号線の端のレイアウト幾何形状に関する。下の議論は、まず図1に示された方法に焦点を合わせ、欠陥抽出ルールがDFMルールからどのように導出されるかと、欠陥がどのように抽出され、ランキングされるかとを説明する。当初は、例は、可読性のために、実際のテスト・パターン生成とテスト結果データ評価とに関する詳細を省く。例は、失敗する欠陥抽出ルールの分析に継続し、この情報を、基礎になるDFMルールに戻って関係付ける。最後に、テスト・パターン生成の例示的方法に関する詳細を、説明し、その後、テスト結果データを評価する例示的方法の詳細を続ける。最後のセクションでは、出力応答コンパクタを有する回路設計へのこの一般的方法の適用を説明する。
DFMルール・ベースの欠陥抽出ルール導出
図1のサブセクション1aを参照すると、例示的なフローは、DFMルールのセット(1)と集積回路のレイアウト・データ(5)とから始まる。これらのDFMルールから、欠陥抽出ルール(3)を、導出(2)しなければならない。上で注記したように、DFMルールには、通常、DFMセット内のルールの強調の異なるレベルを反映する推奨又は提案と、これらにより厳格に又はよりゆるやかに従うための異なる要件とが含まれる。しかし、例示のみのために、さまざまなタイプのルールは、下の議論では区別されない。しかし、説明される方法の実施態様では、ルールの間の相違が、考慮される。
図2及び3は、DFMルールの例を示す。図2は、2つの平行の信号線(例えば、信号線(201)、(202))がdという距離だけ互いから離されなければならないことを提案するルールを示す。図3は、信号線(例えば、信号線(301))がすべての他のレイアウト特徴(例えば、特徴(302))からdという距離だけ離されなければならないことを提案する類似するルールを示す。他のDFMルールは、例えば、異なる層内の2つの信号線が、ビアを使用してどのように接続されなければならないかを記述することができる。図4と、7と、8とに、そのようなルールの例を示す。
一般に、DFMルールは、集積回路が、そのDFMルールによって暗示されるプロセスとテクノロジとを使用して実際に製造可能であることを保証するのに使用される。図1を参照すると、DFMルールのセット(1)は、欠陥抽出ルールのセット(3)に変換(2)される。例として、もう一度図2を参照する。DFMルールが、次のように解されるものとする:M1:同一層内のすべての平行の信号線について、最小距離は、dである。
1つの例示的実施形態によれば、ルール導出手順(2)は、次のように、M1を欠陥抽出ルールのセット(3)に変換する。集積回路のレイアウト(5)を、分析する。この分析は、可能な欠陥候補と、その各々のプロパティ及び分布とを識別する。この分析は、外部刺激も考慮に入れる。この例のために、ユーザが、ある種の欠陥(例えば、ブリッジング欠陥)の高い尤度をもたらす、デバイスに関する生産問題が現在あることを指定したと仮定する。ルール導出方法内の分析は、この問題に対処することができる。例えば、ブリッジング欠陥が指定される場合に、この分析は、通常より多数のブリッジ欠陥抽出ルールを定義することができる。欠陥クラスに対する分解能を、それに応じて高めることができ、これによって、貴重な情報が生産エンジニアに与えられる。
更に、この分析は、設計ルールM1がレイアウト(5)で侵害されていることを判定することができる。従って、適当な欠陥抽出ルールを、セットに追加することができる。例えば、隣接する信号線の対の間の最小距離(ここではd+35%*dという上側要因によって制限されている)の分布を、図36のグラフに示されているものとすることができる。y軸が、図36から省略されていることに留意されたい。というのは、y軸が、図36〜39に示されたグラフ全体を通じて、異なるパラメータを概略的に表すのに使用されるからである。例えば、図36に示された分布曲線に関して、y軸は、x軸に示された対応する距離を有する隣接する信号線の個数を概略的に表す。
候補のこの分布に基づいて、ルール導出手順(2)は、欠陥候補を、類似する最小距離を有するクラスに分配する。この分類は、設計ルールM1に関する生産故障の分解能を高めるのを助けることができる。この分析は、欠陥候補を実際に抽出する前に、欠陥候補の個数を推定するのに使用することもできる。ユーザは、例えば潜在的な欠陥候補の個数があまりに多数になる場合に、この時点で欠陥抽出方法に影響することができる。上の分布について、次の例示的な欠陥抽出ルール(3)を、ルール導出手順(2)によってDFMルールM1について生成することができる:
E1(M1):その最小距離dE1がd−3%*d≦dE1<dによって定義される同一層内の信号線の対のすべてを抽出する、
E2(M1):その最小距離dE2がd−8%*d≦dE2<d−3%*dによって定義される同一層内の信号線の対のすべてを抽出する、
E3(M1):その最小距離dE3がdE3<d−8%*dによって定義される同一層内の信号線の対のすべてを抽出する、
E4(M1):その最小距離dE4がdE4=dによって定義される同一層内の信号線の対のすべてを抽出する、
E5(M1):その最小距離dE5がd<dE5≦d+4%*dによって定義される同一層内の信号線の対のすべてを抽出する、
E6(M1):その最小距離dE6がd+4%*d<dE6≦d+8%*dによって定義される同一層内の信号線の対のすべてを抽出する、
E7(M1):その最小距離dE7がd+8%*d<dE7≦d+13%*dによって定義される同一層内の信号線の対のすべてを抽出する、
E8(M1):その最小距離dE8がd+13%*d<dE8≦d+20%*dによって定義される同一層内の信号線の対のすべてを抽出する。
信号線の対が、信号線の異なるセクションで異なる距離で互いと平行に走り、その対が複数の抽出ルールによって選択されることを引き起こすことが、可能である。このフローのより後の欠陥確率計算は、望ましくは、これを考慮に入れなければならない。
検討すべき第2の例示的なDFMルールM2は、幾何学的ルールである。具体的に言うと、M2は、信号線を隣接する層内の別の信号線に接続するビアがある場合の信号線の端での幾何形状を定義する。図4、7、8は、信号線の端での幾何形状の異なる可能性を示す。これらの幾何形状のすべてが、ビア(403)を介して信号(401)を信号(402)に接続するが、これらは、その信頼性において異なる。図5は、その問題を示す。信号線(501)の端は、実際の正方形ではなく、複数の生産問題に起因して丸い形状をとる。これは、ビア(502)が信号接点から部分的に外れることを引き起こし、これが、接続される信号の間で正しい接続を確立する、そのビアの能力を制限する。図6は、この問題に対する1つの解決策を示す。ビア(502)は、信号の端から少し離れて再配置される。図7及び8に、他のそのような例を示す。図4、7、8に示された実現は、異なる確率で障害を発生し(即ち、これらは、歩留りに対する異なる影響を有する)、図8は、このグループのうちで最も信頼できる接続幾何形状を示す。従って、DFMルールM2は、次のように解することができる。
M2:信号線が、その信号線の端にあるビアを用いて別の信号線に接続される場合に、幾何形状は、図8に類似しなければならない。
端にビアを有するすべての信号線を抽出することは、極端にむずかしいか不可能である可能性がある。従って、欠陥抽出ルールは、欠陥候補を注意深く選択しなければならない。例えば、
E1(M2):すべての各々の接続が図4に従うn個の信号線を抽出する、
E2(M2):すべての各々の接続が図7に従うn個の信号線を抽出する、
E3(M2):すべての各々の接続が図8に従うn個の信号線を抽出する、
E4(M2):E1(M2)からE3(M2)によって選択されなかったn個の信号線を抽出する。
ルールE1(M2)からE3(M2)は、よい欠陥分解能のために望ましい。しかし、抽出される欠陥の各々の集合が、空になり得る。ルールE4(M2)は、テスト・パターン・セットに信頼性テストを追加する。すべての問題のあるビアをターゲットにすることはできないので、障害を発生する可能性が最も高い信号線だけが、ターゲットとして選択される。ビアに関する一般的な問題がある場合には、多数のテストが、失敗するが、DFMルールM2に関するテストだけは、失敗しない。しかし、これらの抽出ルールは、ある種の分解能能力をテスト・パターン・セットに追加する。
類似する欠陥抽出ルール導出を、他のDFMルール(1)について計算することができる。導出される欠陥抽出ルールは、集合的に、図1で(3)によって表されるセットを形成する。導出プロセス(2)は、欠陥抽出ルールごとに、どのDFMルールからそれが生成されたかを判定することが可能なままになることを保証しなければならない。例えば、各DFMルールに割り当てられる一意IDを設けることができる。同一のDFMルールから変換された各欠陥抽出ルールは、そのデータ構造の一部としてこのIDを共用することができる。
DFMベースの欠陥抽出ルール(3)の他に、作成でき使用できる、欠陥抽出ルールのもう1つのセット(4)がある。このセットは、伝統的な欠陥を制限された歩留り低下(defect−limited yield loss)態様に焦点を合わせたものであり、この欠陥を制限された歩留り低下態様は、DFMベースの欠陥抽出ルールによってカバーはされない。この種のルールの1つの例は、信号線のインライン抵抗(inline resistance)をターゲットにし、このインライン抵抗は、電圧レベルの遷移が信号線を介して伝わる速度を変更する。セット(4)のルールの追加のサブセットが、欠陥が抽出されてはならない区域を定義する。そのような区域は、例えば、それ自体の自己テストを有するメモリである。
欠陥抽出
欠陥抽出ルール(3)(4)に基づいて、実際の欠陥候補が、集積回路のレイアウト記述(5)を使用して抽出される。抽出ルールの用意ができたならば、抽出自体(7)は、よく理解されており、多数の使用可能なツールのうちの1つ(例えば、Mentor Graphics Corporation社のCalibre(登録商標))を使用して達成することができる。抽出(7)は、潜在的な欠陥のリスト(9)を生成する。
抽出された欠陥は、位置及び物理的プロパティなど、実際の欠陥を記述するデータによって定義することができる。追加のデータを、各欠陥に関連付けることができる。例えば、1つの例示的実施形態で、リスト(9)内の欠陥は、次の追加プロパティのうちの1つ、幾つか、又はすべてを有する:
1.一意識別子、
2.欠陥のリストへの各々の欠陥の包含を引き起こした欠陥抽出ルールに対応する、DFM欠陥抽出ルール(3)及び/又はテスト欠陥抽出ルール(4)からのルールを開示する識別子、
3.欠陥のリストへの各々の欠陥の包含を引き起こした欠陥抽出ルールを開示する識別子、
4.集積回路のレイアウト内の欠陥の位置、
5.長さ、幅、抵抗、及び/又はキャパシタンスなど、欠陥の1つ又は複数の物理パラメータ、
6.その欠陥が欠陥の特殊なクラスに属する(例えば、欠陥の各クラスのワースト・ケース欠陥)かどうかのインジケータ、及び
7.ランキング。一実施態様によれば、ランキングは、欠陥確率に対応する。
項目1は、通常、元々の欠陥に故障(ATPGと、故障シミュレーションと、パターン最適化との方法を使用して欠陥から導出される)を関係付けるのに使用される。単一の欠陥から導出される複数の故障がある可能性がある。
項目2及び3は、例えば、ルールの有効性の分析で使用され、この有効性は、欠陥抽出ルール及び/又は設計製造ルールの追加、削除、又は変更にフィード・バックされる。
項目4は、頻繁に障害を発生する位置の識別と物理的障害分析の案内とに使用することができる。
項目5は、物理パラメータの変動に対する欠陥の感度を推定するのに使用することができる。
項目6は、必ずしも通常の欠陥抽出ルールのターゲットではなかった欠陥の発生にフラグを立てるのに使用される。これらの特別にマークされた欠陥のおかげで、可能な欠陥クラスのすべて又はほとんどすべてをカバーすることが、可能である。これらの特別にマークされた欠陥は、統計的に有意になる前に、例えばドリフトする生産パラメータの早期警告をユーザに与えるのに使用することができる。例えば、インライン抵抗欠陥の1セットが、特定の金属層内の5つの最も長い信号線を含むことができる。当初に、これらの欠陥を検出するはずのテスト・パターンは、非常にまれに失敗するが、2〜3週間後に、これらのテスト・パターンは、よりしばしば失敗する。障害の総数は、デバイスの全体的なテスト結果に関して統計的に有意でない場合があるが、これらの特殊な欠陥に関する統計的に有意な増えた障害発生率(failing rate)は、問題増加が歩留りに実際に影響する前に、その問題増加の早期警告を生産エンジニアに与えることができる。
項目7は、説明される方法がすべての異なる種類の欠陥を正しくランキングするのを助ける。
ランキング
抽出された欠陥をランキングするのに単独で又は一緒に使用できる少なくとも2つのランキング方式が、ある。第1の例示的なランキングは、各クラス内の欠陥をランキングすることを伴う。これは、このランキングが、すべての可能な欠陥候補の中での絶対的な数を表すのではなく、特定のクラスに固有であることを意味する。例えば、すべてのブリッジ・タイプの欠陥のランキングを、比較可能とすることができ、すべての開いたビア又は抵抗性ビアのランキングを、比較可能とすることができる。このランキングは、自動的に計算することができる。第2の例示的なランキングは、欠陥クラスの間のランキングであり、通常は、ある外部から供給されるデータなしでは自動的に判定されない。例えば、定量化された形で欠陥のクラスを関係付ける情報を、供給することができる。
下で、ヒストリカル・データを、障害発生率を予測し、欠陥をランキングするのにどのように使用できるかの説明を与える。このヒストリカル・データは、例えば、同一の集積回路の以前のテストから、又は同一の若しくは類似するDFMルールを使用する他の集積回路のテストから、収集することができる。又、同一クラスの欠陥を、ヒストリカル・データが使用可能でない場合にどのようにランキングできるかの説明を、与える。例示的な全体的ランキング手順も、説明する。
図37は、期待される歩留り感度曲線を示す破線と一緒に、図36の分布曲線のグラフを示す。この例では、歩留り感度曲線は、仮定及び経験から導出された。この歩留り感度曲線に関して、y軸は、x軸上の対応する距離だけ離された信号線から生じる歩留り低下のパーセンテージを概略的に示す。一般に、歩留り感度は、サブクラスのそれぞれに関する期待される歩留り低下(例えば、期待される障害のパーセンテージ)を示し、ランキングに使用することができる(例えば、最高の歩留り感度から最低の歩留り感度へ)。歩留り感度データは、各サブクラスE1(M1)からE8(M1)内の結果候補の実際の個数と共に、抽出ルールごとに、欠陥に起因する期待される障害の個数の推定値(図37のステップ関数に示されている)を計算するのに使用することができる。このデータも、欠陥をランキングするのに使用することができる(例えば、期待される障害の最高の個数から最低の個数へ)。この例が、使用されるDFMルールとこれらのルールを使用するデバイスの生産とに関する多くの知識がないことを仮定することを想起されたい。従って、この歩留り感度曲線は、責任を負うエンジニアの教育された最初の推測を表す。下でより詳細に説明するように、期待される歩留り低下を、実際のテスト応答データと比較することができ、結論を、その比較から引き出すことができる。
DFMルールM2に関して抽出された欠陥の例示的ランキングを、この段落で提示する。上で述べたように、障害発生の確率は、ルールE1(M2)と、E2(M2)と、E3(M2)とに関連する3つの可能な幾何形状について異なる。1つの例示的実施態様によれば、ルールE1(M2)に起因して抽出される欠陥のランキングは、ルールE2(M2)に起因して抽出される欠陥より高く、ルールE2(M2)に起因して抽出される欠陥のランキングは、ルールE3(M2)に起因して抽出される欠陥より高い。ルールE4(M2)に起因して抽出される欠陥のランキングは、異なる幾何形状の発生の実際の個数に依存し、変化する。
1クラス内の欠陥のランキングを計算するために、欠陥に割り当てられた障害発生確率が存在することが、必要ではないことに留意されたい。しかし、欠陥のクラスを互いに対して相対的にランキングすることが望まれる場合には、幾つかの追加データが、通常は使用される。ある種の実施態様では、デフォルト値が、仮定されるが、実際のデータが、通常は好ましい。例えば、ブリッジとビアとの間の障害の関係が、80:20であることがわかっていると仮定されたい。これから、クラスの間のランキングを、単純な形で、具体的に言うと、それ自体のランキング手順に従って選択される8つおきのブリッジ欠陥について、次の2つの最上位ランキングのビア欠陥が選択される形で、計算することができる。実際の欠陥データが、この時点では使用可能でないと仮定されるので、このランキングには、第1近似が含まれる。しかし、このフローは、通常はパターン・セットを切り捨てることを必要としないので、収束は、通常は問題ではない。潜在的に、ある欠陥を、それが選択されなければならない時より早く又はそれより遅くに選択することができる。使用可能なデータがそれを可能にする場合に、方法(7)は、歩留り推定値(8)を与えることができる。
テスト・パターン生成と生産テスト
欠陥のリスト(9)が生成された後に、図1に示された一般的方法は、セクションII及びIII即ち、テスト・パターンの生成及び最適化とテスト適用とに入る。この説明の明瞭さを維持するために、テスト・パターンがどのように生成されるかの議論は、後で提供する。しかし、テスト・パターンに関する次のポイントに、留意しなければならない。
開示されるテクノロジのある種の実施形態で、テスト・パターン(17)のうちの1つ又は複数は、欠陥のクラスを区別でき、ある種の実施形態では欠陥のサブクラスを区別することができる。更に、テスト・パターンは、欠陥のクラスとサブクラスとを区別する能力に関して従属する目的としてのみ、欠陥の大多数を区別することができる(この議論では、各DFMルールが、1つのクラスを定義し、各欠陥抽出ルールが、対応するサブクラスを定義すると仮定する)。
この議論のために、欠陥カバレッジを高めるために、ユーザ選択可能なNを有する、N−検出パターンが、仮定される。即ち、N個の異なるパターンが、所与の欠陥を検出するのに使用される。
この議論のために、故障辞書(16)が、パターン・セットと一緒に生成されると仮定する。故障辞書には、例えば、行に編成された表を含めることができ、各行は、1テスト・パターンのデータを含む。行は、従ってテスト・パターンは、テスト・パターンが付随するパターン・セット(17)にリストされるのと同一シーケンスとすることができる。故障辞書内の故障は、その故障がそれから導出された欠陥のIDと、故障タイプと、その故障が検出された総回数と、その故障が現在のテスト・パターンの下で伝播され得る観察点の個数とを担持することによって記述され得る。更に、故障辞書は、限られた量の検出データだけを保管することができる。例えば、故障ごとに、最初のk個の検出するテスト応答だけを、保管することができ、その後のすべての検出について、テスト応答は、保管されないが、検出は、それでもカウントされる。テスト・パターンごとに、そのテスト・パターンによって検出可能な故障を集積回路のネットリスト表現内で観察できる観察点を、故障辞書内でリストするか、故障辞書から識別可能とすることができる。検出可能な故障を、例えば、現在のパターンについてリストされた観察点のそれぞれに関連するリストとして編成することができる。説明される実施形態の何れとも共に使用できる故障辞書の例示的実施態様は、例えば、B.Chess及びTracy Larabee、「Creating Small Fault Dictionaries」、IEEE Transactions on Computer−Aided Design、Vol.18、no.3、346〜356頁(1999年3月)と、V.Boppana、I.Hartanto、及びW.K.Fuchs、「Full Fault Dictionary Storage Based on Labeled Tree Encoding」、Proceedings of the VLSI Test Symposium、174〜197頁(1996年)に記載されている。又、開示される実施形態の何れにおいても使用できる故障辞書を作成する他の例示的方法を、下で別々のセクションで説明する。
この議論のために、集積回路(5)を実現するデバイスが、図1のセクションIIIに示されているように、テスト・パターン・セット(17)を使用してテストされたと仮定する。テスト結果データ(20)は、リアル・タイムで(生産テストが、まだ進行中である間に)又は以前のテスト結果を保管するデータベースからの何れかで入手可能とすることができる。
テスト結果分析
図1のセクションIVは、テスト結果データの処理及び分析(21)を含む。この分析のより詳細な説明は、別々のセクションで下で与える。しかし、一般に、テスト結果分析は、テスト結果データ(20)と辞書(16)とから、欠陥のどのクラスが障害を発生したかと、可能な場合に、どの個々の欠陥が障害を発生したかとを判定するために実行される。図31は、この処理(21)を実行できる例示的な形を示すブロック図である。プロセス(21.1)は、欠陥と、それぞれ集積回路の障害発生挙動を最もよく説明できるその欠陥のクラス又はサブクラスとを識別することを試みるために実行される。必要な場合に、増分診断手順(21.3)と増分シミュレーション手順(21.2)とが、アクティブ化される。テストされるデバイスのほとんどの障害を発生するテスト・パターンについて、その障害発生挙動の責任を負う可能性が最も高い欠陥のクラス又はサブクラスを、判定し、診断結果(21.4)として保管することができる。分類されない障害発生デバイスには、他の可能性の中でも、複数の欠陥、雑音関連問題又は信号完全性問題などの周辺的な障害が含まれる。図1の例示的な一般的方法は、欠陥抽出ルール分析(25)に継続し、ここで、欠陥抽出ルールの、そのルールについて識別された欠陥の個数に対する関係を含むデータ・セットを、作ることができる。欠陥ごとに、ターゲット欠陥のリスト(9)への包含の責任を負った抽出ルールの識別子が、通常は入手可能である。図38のグラフは、図36に関して上で述べた例について、ステップ関数としてこの関係を示す。即ち、図38に示されたステップ関数は、抽出ルールごとに観察された実際の障害の個数を概略的に示す。抽出ルールごとの実際の障害の個数と、各ルールによってカバーされる候補の個数とから、欠陥のクラスごとの実際の歩留り低下(歩留り感度)が、計算される。実際の歩留り感度曲線は、図38では点線として示されている。
図39のグラフは、図36に関して上で述べた例の、最初の期待される歩留り低下(破線)を実際の歩留り低下(点線)と比較する。図39は、欠陥のサブクラスごとに、それぞれ、期待される欠陥と観察された欠陥との個数を示す2つの関連するステップ関数の比較も示す。この図からわかるように、実際の歩留り低下は、期待される歩留り低下よりはるかに大きい。より具体的に言うと、次の観察を、行うことができる:(1)歩留り低下は、すべてのサブクラスについて、期待される値より大きく、(2)歩留り低下は、欠陥抽出ルールE4(M1)からE7(M1)について約2倍大きく、(3)抽出ルールE8(M1)の歩留り低下は、期待される歩留り低下より大きいが、期待される歩留り低下に近い。
この観察から、次の2つの結論を、引き出すことができる:(1)DFMルールM1は、有効であるが、集積回路の生産における問題が、ある、又は(2)生産は、最適パラメータ内で稼動し、従って、ルールM1を、変更しなければならない。更なる分析を、実行して、結論1と2との間で又はその組合せを判断するのを助けることができる。例えば、パレート図(22)と他のテスト結果データ分析(21)とが、エンジニアが結論を見つけるのを助けることができる。
この結論は、例えば、次の短期アクションと長期アクションとにつながり得る:
・短期:出荷される部品の歩留り予測とDPM推定個数とを洗練せよ、
・長期:例えば他のテスト結果データを分析することによって、結論1又は2が成り立つかどうかを判定し、選択されたデバイスに対して後続障害分析を実行せよ。
上で述べたアクションの間の時間差は、大きくなり得る。長期アクションのターン・アラウンド・タイムは、何ヵ月も要する可能性がある。障害を発生するデバイスの、必要な細かい分析は、数日又は2〜3週間を要する。しかし、訂正アクションの実施は、生産ラインの変更、マスク生産、又は変更されたDFMルールM1を使用する再設計のどれであれ、通常は2〜3ヵ月を要する。
対照的に、次で概要を示す短期アクションのターン・アラウンド・タイムは、数時間から2〜3日に過ぎない。従って、短期アクションは、重要になり得る。この例では、次の短期アクションのうちの1つ又は複数を、開始することができる:
1.DFMルールM1から抽出された欠陥により密に焦点を合わせるために、欠陥のランキングを変更し、
2.DFMルールM1の抽出ルールを洗練し、現在のテスト結果データを再分析し、
3.問題により密に焦点を合わせるために、欠陥抽出ルールをしかるべく変更し、
4.識別された問題をより高い分解能でよりよくカバーするために、追加テスト・パターンを生成し、
5.前にカバーされていなかった可能性がある欠陥をカバーするために、追加テスト・パターンを生成し、
6.追加テスト結果データが入手可能である時に、必要な場合に1.から5.を繰り返し、
7.1.及び2.から、可能な長期解決策について、改善されたDFMルールM1を結論する。
項目1は、比較的単純である。欠陥ランキングは、対応する実際の欠陥発生データ(23)を使用し、期待される歩留り低下データ(図37のグラフに図示)を実際の歩留り低下データ(図38のグラフに図示)に置換することによって、更新することができる(24)。更に、DFMルールM1から導出される欠陥クラスが、より高い優先順位を有するようにするために、欠陥抽出(7)中に使用される欠陥ランキング記述(24)内の各々のデータを、変更することができる。
次で説明するように、項目2から5は、低い歩留り及び低い品質の問題が解決されるまで、欠陥カバレッジを改善し、集積回路を実現するデバイスのテストの検出分解能を高めることができる。例えば、集積回路の既に作られたデバイスのテスト及び出荷を、継続することができる。しかし、DFMルールM1に関係付けられた欠陥の高められた尤度に起因して、テストは、DPM要件を維持するために、望ましくは、このクラスのより多くの欠陥をフィルタ・アウトするように改善される。更に、DFMルールM1の自動洗練を案内するのに十分な高品質データが必要であるとわかった場合に、そのデータを生成することが、しばしば望ましい。
関連する欠陥抽出ルールの分解能を高める1つの可能な方法は、各欠陥抽出ルールE1(M1)からE8(M1)によってカバーされる区域を半分に分割し、従ってサブクラスの個数を2倍にすることである。しかし、もう1つの可能な方法は、各新しい潜在的なサブクラス内の候補の個数を判定するために欠陥抽出ルール分析データ(25)を評価し、更に、期待される歩留りデータと実際の歩留りデータとを考慮することができる。例えば、ルールE4(M1)からE7(M1)が、他のルールより実質的に大きい歩留り低下を示したので、これらを、2つ以上のより小さいサブクラスに分割することができる。観察された歩留りより高い歩留りを有すると思われたセクションのATPGの分解能要件を、これによって高めることができる。
更に、M1を緩和しなければならない場合について、現在はE7(M1)及びE8(M1)であるものを、新しい最小距離d1newの回りに置くことができる。高品質データを用いる更新された手順(27)をサポートするために、E7(M1)及びE8(M1)を、より小さいサブクラスに分割することもでき、E8(M1)を超える新しいサブクラスを、生成することができる。
更に、図38のグラフに似た、新しい抽出ルールに関する、テスト応答データに基づく歩留り感度予測を、表示することができる。この場合に、テスト・パターン・セット(17)が、新しいサブクラスを区別するために生成されなかったので、ある曖昧さが、可能であることに留意されたい。しかし、上で述べたように、生成されたテスト・パターン・セットは、よい推定値を与えるのに十分に、サブクラスを十分に区別する可能性が高い。新しい欠陥抽出ルールには、例えば、次を含めることができる:
E1(M1):最小距離dE1が、d−1%*d≦dE1<dによって定義される、同一層内の信号線のすべての対を抽出する、
E2(M1):最小距離dE2が、d−3%*d≦dE2<d−1%*dによって定義される、同一層内の信号線のすべての対を抽出する、
E3(M1):最小距離dE3が、d−8%*d≦dE3<d−3%*dによって定義される、同一層内の信号線のすべての対を抽出する、
E4(M1):最小距離dE4が、dE4<d−8%*dによって定義される、同一層内の信号線のすべての対を抽出する、
E5(M1):最小距離dE5が、dE5=dによって定義される、同一層内の信号線のすべての対を抽出する、
E6(M1):最小距離dE6が、d<dE6≦d+1%*dによって定義される、同一層内の信号線のすべての対を抽出する、
E7(M1):最小距離dE7が、d+1%*d<dE7≦d+3%*dによって定義される、同一層内の信号線のすべての対を抽出する、
E8(M1):最小距離dE8が、d+3%*d<dE8≦d+6%*dによって定義される、同一層内の信号線のすべての対を抽出する、
E9(M1):最小距離dE9が、d+6%*d<dE9≦d+10%*dによって定義される、同一層内の信号線のすべての対を抽出する、
E10(M1):最小距離dE10が、d+10%*d<dE10≦d+13%*dによって定義される、同一層内の信号線のすべての対を抽出する、
E11(M1):最小距離dE11が、d+13%*d<dE11≦d+16%*dによって定義される、同一層内の信号線のすべての対を抽出する、
E12(M1):最小距離dE12が、d+16%*d<dE12≦d+20%*dによって定義される、同一層内の信号線のすべての対を抽出する、
E13(M1):最小距離dE13が、d+20%*d<dE13≦d+24%*dによって定義される、同一層内の信号線のすべての対を抽出する。
DFMルールM1のこれらの新しい欠陥抽出ルールは、欠陥抽出ルールのセット(3)内のM1に関する古い欠陥抽出ルールを置換するのに使用することができる。開示されるテクノロジの幾つかの実施形態では、特定の欠陥の抽出の責任を負う欠陥抽出ルールが、既知である。従って、欠陥抽出ルールの改訂されたセットについて、欠陥抽出手順(7)は、より微細なサブクラスを考慮に入れ、新たにカバーされる区域(例えば、E13(M1)によって定義される)の欠陥を抽出するために、欠陥のリスト(9)内にリストされた欠陥の各々の抽出ルール識別を更新することができる。
改訂されたルールについて、1つの例示的実施形態によれば、ATPG手順(13)は、変化しない。より具体的に言うと、ATPG手順は、まだ、欠陥のクラスを区別するテスト・パターンを作ることを試みる。しかし、古いテスト・パターン・セット(17)が、既に、少なくとも部分的に、新しいクラスの多くを識別する可能性が高い。従って、テスト・パターン・セット(17)を、元々のテスト・パターン・セット(11)として使用することができ、ATPGを、欠陥の新しいリストを用いて実行することができる。例えば、元々のテスト・パターン・セット(11)を、欠陥の最初の分類を判定するためにシミュレートすることができる。次に、ATPGは、補充パターンを計算し、必要に応じて新しいサブクラスを区別するために、通常通りにパターンを再順序付けすることができる。全体的に、ATPGで費やされる追加の努力は、新しいテスト・パターン・セットを一から生成するよりかなり少ない。次に、新たに生成されたテスト・パターン・セットは、集積回路を実現するより多くのデバイスをテストするのに、又は、要求された場合に、前のデバイスを再テストするのに、使用することができる。
この議論のために、少し後の時に、長期問題分析が、生産ラインに何も問題がないと結論すると仮定する。従って、DFMルールM1を変更し、それに応じて必要な最小距離dを増やす判断が、行われている。DFMルール更新構成要素(27)は、使用可能なデータから新しい最小距離を判定することができる。更に、DFMルール更新構成要素(24)は、実際のテスト結果データに基づいて、正確な歩留り予測(8)を作るのに使用されるデータを供給することができる。
次のセクションでは、テスト・パターン生成のより詳細な説明を、与える。
DFMテスト・パターンの生成
図1をもう一度参照すると、この一般的方法の1つの例示的実施態様では、ATPG構成要素(13)は、欠陥ベースのテスト・パターン・セット(17)を計算し、このテスト・パターン・セット(17)は、ATE上のデバイスに適用することができる。故障辞書(16)をも、生成することができ、この故障辞書(16)内には、パターン及び欠陥の情報が、簡単な検索及び表示のために保管される。さまざまなファイル(15)(例えば、故障のリストと欠陥のリスト)をも、保管することができる。
次のセクションは、開示されるテクノロジの実施態様で使用できる、欠陥ベースのシミュレーションとテスト・パターン生成との例示的な方法を説明するものである。図9は、図1の欠陥ベースのATPG及びパターン最適化(13)を実行する1つの例示的な方法を示すブロック図である。図9に示された欠陥ベースのATPG及びパターン最適化構成要素(13)は、ネットリスト(12)と欠陥のリスト(9)とを使用し、このネットリスト(12)は、レイアウト(5)によって記述されるものとは異なる、集積回路の表現である。これらの欠陥が故障にマッピングされる形を定義するルールのセット(10)と、任意選択のパターンのセット(11)とが、欠陥ベースのATPG及びパターン最適化構成要素(13)への追加入力である。欠陥ベースのATPG及びパターン最適化手順(13)は、セットが定義されていない場合に、故障マッピング・ルール(10)をデフォルト・ルールに自動的に置換するように構成することができる。欠陥ベースのATPG及びパターン最適化構成要素(13)は、所望の欠陥分解能及び対応する辞書(16)に従って作られた欠陥ベースのテスト・パターン・セット(17)を出力する。更に、さまざまなファイル(15)及び統計(14)を、要求することができる。統計には、故障カバレッジと、テスト・カバレッジと、欠陥カバレッジと、品質の推定値(DPM)となどのメトリックスを含めることができる。
任意選択のパターン・セット(11)は、任意のソースからとすることができる。例えば、パターン・セット(11)を、古典的な故障モデル・ベースのテスト・パターン・セットとすることができる。古典的な故障モデル・ベースのとは、ここでは、パターンが、例えば縮退故障と、トランジション故障(transition fault)と、経路遅延故障(path delay fault)と、他のそのような「古典的な」故障モデルとについて生成されたことを意味する。
欠陥ベースのATPG及びパターン最適化構成要素(13)は、ユーザが、この構成要素が抽出された欠陥を故障にマッピングすることを望む形を記述するルールのセット(10)をも使用する。例は、ブリッジング欠陥である。ブリッジ欠陥に関連する故障を定義する複数の形がある。単純な形は、ワイヤードAND又はワイヤードORである。機能強化された形は、2つのネットの間の0抵抗接続としてブリッジの特徴を表すことができ、短縮されたネット上の電圧の解釈された論理値は、シンク・ゲートの解釈に依存することができる。しかし、ユーザが、正味距離のμmあたりcΩの抵抗を有するブリッジを定義し、実際の計算をツールに委ねることを望む場合がある。欠陥ベースのATPG及びパターン最適化構成要素(13)は、デフォルト・ルールを提供する。
故障マッピング(13.1)
ここで図10を参照すると、故障マッピング(13.1)は、1つの例示的実施形態によれば、2ステップ・プロセスである。まず、このマッピング方法は、欠陥のリスト(9)とルール(10)とを評価する。ある種の実施形態で、故障マッピング手順(13.1)は、ネットリスト(12)が、正しい欠陥対故障マッピングをサポートできるかどうかを判定することができる。ネットリスト(12)が、それをサポートできない場合には、故障ベースのATPGとシミュレーションとが、欠陥の影響を正しく計算し、評価することを可能にするために、ネットリスト(12)を、変更することができる。
故障マッピング手順(13.1)は、例えばユーザ選択可能なルール(10)に基づいて、欠陥を故障にマッピングすることができる。その結果、欠陥強化故障リスト(defect−enhanced fault list)(A)を、生成することができる。幾つかの実施態様では、故障マッピング手順(13)全体を通じて使用される1つの故障リスト(A)だけがあり、各ステップが、この故障リスト(A)内のデータを変更することができることに留意されたい。便利な提示のために、異なるリスト(A)は、後続の図面では区別されない。
使用される故障モデルが、通常は、欠陥の挙動をモデル化するために、伝統的な縮退故障モデル又はトランジション故障モデルより洗練されていることに留意されたい。更に、マッピングは、機能する故障モデルを有するために、欠陥を十分に抽象化する。一般に、非常にさまざまな故障モデルを、使用することができる。更に、故障又は異なる故障モデルが、ATPG方法と故障シミュレーション方法とにおいて望ましくサポートされる。
欠陥シミュレーションとパターン最適化(13.2)
ここで図11を参照すると、ネットリストが、(13.1)で変更され、欠陥が、故障にマッピングされた後に、定義されたパターン・セット(11)がある場合に、任意選択の欠陥シミュレーション手順(13.2)を、実行することができる。この欠陥シミュレーション手順(13.2.1)は、パターン・セット(11)(伝統的な故障モデルを使用して生成することができる)のテスト・パターンのうちのどれが、実際に有効な欠陥テスト・パターンであるかと、どの欠陥が、これらのテスト・パターンによって検出されたかとを判定する。任意選択で、初期の欠陥ベースのテスト・パターン・セットを、ユーザが達成を望む異なる目標に関して最適化することができる(13.2.2)。(13.2.3)で、最初の欠陥ベースのテスト・パターンが、生成される。やはり、異なるファイル及び統計を、要求することができ(15)、(14)、初期パターン・セット(13.2.3)を、対応する辞書(16)と一緒に保存することができる(17)。
図12は、欠陥シミュレーション手順((13.2)の(13.2.1))の実施形態をより詳細に示すブロック図である。大部分、(13.2.1)は、伝統的な故障シミュレーションのように見え、(11)内のパターンは、欠陥強化故障リスト(A)内の故障を使用して故障シミュレートされる。この欠陥シミュレーション手順は、外部故障辞書の内部版(B)にデータを追加することもできる。欠陥強化故障リスト(A)に似て、通常は、欠陥ベースのATPG及びパターン最適化構成要素(13)で使用される内部辞書(B)の1つのコピーだけがある。その主目的が、これまでのテスト・パターンの達成を検出された故障及び検出されない故障という形で記録することである欠陥強化故障リスト(A)とは異なって、内部辞書(B)は、主に、後の最適化手順とパターン生成手順とを案内して、その各々の目標を効果的に達成するのに使用される。内部辞書(B)には、通常、故障辞書(16)に実際に保管されるものより多くの情報が保管される。というのは、適用できるさまざまな最適化手順及びテスト・パターン生成手順が、通常は、高められた柔軟性を必要とするからである。例えば、故障辞書(16)が、k−検出辞書であり(即ち、k倍までの検出情報が、故障ごとに記録される)、結果のデータが、(16)に保管される場合に、内部辞書(B)は、やはり少なくともk−検出辞書でなければならない。しかし、最終結果の品質は、内部辞書(B)がk’−検出辞書であり、k‘>>kである場合に高めることができる。というのは、下で説明するものなどの最適化方法が、その場合に、パターンがそこから選択されるオプションをより多く有するからである。更に、k及びk’の変形を用いると、欠陥ベースのATPG及びパターン最適化構成要素(13)のメモリ需要に、大きく影響することができる。
他の動作の中で、欠陥シミュレーション手順(13.2.1)は、欠陥ベースのテスト・パターン・セット(13.2.1.3)を生成し、これは、任意選択のパターン最適化手順(13.2.2)で使用することができる。図13〜15は、パターン最適化手順を実施できる(13.2.2.Aと、13.2.2.Bと、13.2.2.C)3つの例示的な形をより詳細に示す。この3つの手順は、同様に動作するが、その各々の最適化目標は、異なる。図13(13.2.2.A)は、分解能向上分析(13.2.2.2)を使用する欠陥分解能の向上に焦点を合わせたものである。図14(13.2.2.B)は、欠陥カバレッジ向上分析(13.2.2.3)を使用してできる限り早く欠陥カバレッジを高めることを試みる。最後に、図15(13.2.2.C)は、欠陥分解能向上と高速カバレッジ向上との間の妥協を見つけるように構成されている。この例示的実施形態で、パターン最適化手順(13.2.2)が、パターン再順序付けを使用することによって実行されることに留意されたい。例えば、パターン再順序付けは、部分的にパターン選択手順(13.2.2.1)によって実行され、このパターン選択手順(13.2.2.1)は、分解能向上とカバレッジ向上との一方又は両方のために分析方法と相互作用し、欠陥強化故障リスト(A)及び内部辞書(B)と相互作用する。前に計算されたランキングのおかげで、パターン選択手順(13.2.2.1)は、分解能向上と、カバレッジ向上と、この2つの組合せとなどの異なる前述の目標を達成するために次に選択すべきパターンを判断することができ、その後、欠陥強化故障リスト(A)と、内部辞書(B)と、結果のパターン・セット(13.2.2.4)とを更新する。目標によって指示されるパターン選択の例は、下で与える。
原則として、パターンの選択は、選択されたパターンが、欠陥dのk番目の検出を表すことができ、従って、dのすべての後続検出が、辞書に保管されないはずなので、選択されないパターンのデータが、各選択の後に変化し得るという追加プロパティを有するカバレッジ問題と考えることができる。従って、最適解を見つけることは、最も小さい集積回路以外については、むずかしいか不可能である。通常、いわゆる「貪欲な」手法は、そのようなカバレッジ問題について許容可能な結果を作る。従って、(13.2.2.1)の1つの可能な実施態様は、区別されない欠陥クラスの最高ランキングのグループを分割する、パターンの貪欲な選択である。
次の例を検討されたい。テスト・パターンpのそれぞれと、pによって検出される欠陥dのそれぞれについて、テスト・パターンpに関して、d1の観察点が、d2の観察点でもある場合及びその逆の場合に限って、sig(p,d1)=sig(p,d2)というプロパティを有する、シグネチャsig(p,d)が、計算される。これは、d1とd2とが、同一のシグネチャを有する場合に、これらが、pによって区別可能ではないことを意味する。sig(d)が、この点までのすべてのテスト・パターンpのすべてのsig(p,d)の組合せを表すシグネチャであるものとする。やはり、sig(d1)=sig(d2)である場合に、欠陥d1とd2とは、今回はこれまでのすべてのテスト・パターンによって、区別可能ではない(各欠陥に結び付けられるこのシグネチャの例示的実施態様は、タイプ(パターン番号、観察点番号のリスト)の要素のリストである。しかし、この実施態様は、そのようなシグネチャが存在することを示すだけのためにここで言及されたものなので、この実施態様を、限定的として解釈してはならない)。
1つの例示的実施態様によれば、クラスC1内及びC2内の検出されない欠陥に関する欠陥の2つの最高ランキングのクラスC1及びC2は、C1のすべての検出されない欠陥(undetected defects)pとC2のすべての検出されない欠陥qとについて、
Figure 2008516305
を使用して見つけられる。次に、sig(d1)=sig(d2)である、C1の最高ランキングの検出されない欠陥d1と、C2の最高ランキングの検出されない欠陥d2とが、見つけられる。欠陥は、k−検出方式では、k回以上検出された場合に限って検出されたと呼ばれることに留意されたい。カバレッジが、最適化の要因ではない場合には、上で述べた関数rank(d)は、以前に判定された欠陥のランキングを返すことができる。カバレッジが、考慮に入れられる(例えば、テスト・パターン最適化手順(13.2.2.B)又は(13.2.2.C)中で)場合には、rank(d)は、欠陥のランキングと期待されるカバレッジ(例えば、欠陥のオブザベーション・コーン(observation cone)とコントローリング・コーン(controlling cone)とのサイズで測定される)との加重和とすることができる。
減少する係数としての検出の現在回数k’<kを、欠陥のランキングについて考慮に入れることも可能である。例えば、k−k’が、小さいほど、ペナルティが、大きく、これによって、何回も検出されない、より低いランキングの欠陥を、より以前と考えることが可能になる。
この例示的実施態様によれば、2つの欠陥d1及びd2は、最も有利なターゲットを表す。ここで、sig(p,d1)≠sig(p,d2)である、テスト・パターンの古いシーケンス内の最も近いテスト・パターンpを見つけることができ、テスト・パターンの再順序付けされたシーケンスの次のテスト・パターンとしてこれを選択することができる。pの新しい位置と古い位置との間のすべてのパターンについて、検出された欠陥dの組み合わされたシグネチャsig(d)が、変化し得ることに留意されたい。しかし、このシグネチャは、pによって検出される欠陥についてのみ更新されなければならない。従って、次のパターン再順序付けステップが、これらのパターンについてpによって検出されなかった欠陥だけを検討する場合には、各々のシグネチャの再計算は、通常は不要である。更に、シグネチャは、通常、pの古い位置の後のすべてのパターンについて更新される必要がないが、少なくとも1つの問題があり得る。即ち、内部辞書(B)に保管された限られた個数の検出kに起因して、再位置決めされたパターンpが、現在はk番目の検出であり、これによって、後のパターンqのdについてテスト・パターン・プロパティが無効にされることが、可能である。しかし、欠陥が、k番目の検出に達することがわかっているので、この特殊なケースは、簡単に処理することができる。一般に、説明されるパターン再順序付け手順は、パターンの古いシーケンスを通って進行することができ、古いシーケンスの終りに達した後に、シグネチャを再シミュレートし、更新することができる。パターン選択手順(13.2.2.1)は、欠陥分解能を更に改善するために、反復して繰り返すことができる。2つのクラスだけが、上の例示的手順で区別されるが、この手順を、追加クラスにより一般的に適用するように変更することができる。
図16を参照すると、欠陥シミュレーション及びパターン最適化手順(13.2)からの結果のパターン・セット(13.2.3)は、変更されたパターン順序を有しないが有効でない欠陥テスト・パターンをもはや有しない欠陥シミュレートされた(13.2.1.3)パターン・セット(11)又は再順序付けされた版(13.2.2.4)の何れかである。
欠陥ATPG及びパターン最適化(13.3)
図17は、欠陥ベースのテスト・パターン生成及び最適化手順(13.3)を実行する例示的な形を示すブロック図である。図17内に、ATPG方法(13.3.1)があり、このATPG方法(13.3.1)は、(追加の)欠陥ベースのテスト・パターンを生成する。これらのパターンは、(13.2.3)の任意選択のパターンと一緒に、その後、最適化手順(13.3.2)を使用して任意選択として改善される。次に、最終的な欠陥ベースのテスト・パターン・セット(13.3.3)を、欠陥ベースのテスト・パターン・セット(17)として、それに対応する故障辞書(16)と一緒に計算し、保管することができる。やはり、さまざまなファイル(15)及び統計(14)をも、要求することができる。
図18は、図17からの欠陥ATPG(13.3.1)を実行する例示的な形を示すブロック図である。欠陥選択手順(13.3.1.1)は、ATPG(13.3.1.2)のために1つ又は複数の欠陥を選択する。更に、この欠陥選択手順は、選択された欠陥とその各々の故障とをどうするかをATPGのために定義するタスクを選択することができる。更なる詳細を、次の段落で、欠陥選択の方法を説明する時に提示する。ATPG(13.3.1.2)が、故障をテスト不能と判定する場合に、ATPG(13.3.1.2)は、更新手順(13.3.1.5)を使用して、故障リスト(A)及び辞書(B)を更新することができる。そうでない場合には、ATPG(13.3.1.2)は、生成されたテスト・パターン候補を欠陥シミュレーション(13.3.1.3)に使用可能にすることができる。次に、このシミュレーションの結果を、(13.3.1.4)で分析することができ、受け入れられる場合に、その候補テスト・パターンが、欠陥ベースのテスト・パターン・セット(13.3.1.6)に追加される。どちらの場合でも、(A)と(B)との両方が、更新手順(13.3.1.5)によって更新される。
図19から21は、欠陥選択手順(13.3.1.1)の3つの例示的変形(13.3.1.1.Aと、13.3.3.1.Bと、13.3.1.1.C)を示す。概要は、パターン最適化に似ている。例えば、図示の実施形態では、ATPGのターゲット欠陥の集合(13.3.1.1.4)が、ATPGが欠陥のこの集合に対して達成する特定のタスクと一緒に、選択される。この集合には、欠陥分解能を高めるために(図19、13.3.1.1.A)、カバレッジを高めるために(図20、13.3.1.1.B)、又はその組合せのために(図21、13.3.1.1.C)、ATPGが区別する欠陥の集合を含めることができる。このターゲット欠陥集合(13.3.1.1.4)によって、欠陥選択手順(13.3.1.1)を、欠陥ベースのATPGプロセス(13.3)をステアリングするのに使用して、その目標を達成することができる。欠陥ベースのATPGの効率とテスト・パターンの有効性とは、通常はこの手順に依存し、これを、次で説明する。
欠陥選択方法の1つの可能な実施態様は、次の通りである(これは、前に説明したパターン選択方法に似ている)。検出されない故障の中で、上の式1によって定義されるプロパティを有する2つのクラスC1、C2が、見つけられる。これらのクラスのそれぞれから、最高ランキングの欠陥が、次のターゲット欠陥として選択される。この方法は、区別すべき3つ以上のクラスを含むように拡張可能である。欠陥の区別について、ATPGは、通常は3つの基本的選択肢即ち、制御しない、観察しない、又は異なる観察点での影響を観察するを有する。
図22に示されているように、ATPG(13.3.1.2)は、ターゲット欠陥集合及びATPGタスク(13.3.1.1.4)を使用する。まず、故障を、ターゲット欠陥集合(13.3.1.1.4)の選択された欠陥に対応する欠陥強化故障リスト(A)から選択することができる。複数の選択がある場合に、ATPGは、故障の特定の集合を選択することによって、この段階でパターン生成の成功に影響することができる。例えば、タスクが、それぞれ信号線XとY、XとZを接続する2つのブリッジ欠陥B1とB2とを区別することであると仮定されたい。更に、4つの縮退種類の故障へのブリッジ欠陥の共通の侵略側−犠牲側(aggressor−victim)マッピングを仮定されたい。B1の侵略側としてハイである信号線Yを選択し、ブリッジB2についてハイである信号線Zを選択すると、両方の欠陥は、信号線Xを介して同一の故障影響を伝播するはずであり、従って、区別不能になる可能性が高い(信号線YとZとは、それでも変化することができる)。よりよい選択は、ブリッジが侵略側として信号線Xを選択することであるはずである。その結果、Y及びZは、故障の影響を伝播する。これは、この影響が、異なる経路を介して伝播されることを意味する。
ターゲット故障の選択された集合について、ATPG(13.3.1.2.2)は、ターゲット欠陥集合で定義されたタスクとATPGタスク(13.3.1.1.4)とを満足することを試みる。タスクが、複数の欠陥の間で区別することを要求する場合に、ATPGは、複数のオプションを有する。例えば、ATPGは、幾つかの欠陥について故障影響伝播をブロックし、他の欠陥だけが検出されることを可能にすることができ、或いは、故障影響を異なる観察点に伝播することができる。成功の場合に、ATPG手順(13.3.1.2.2)は、テスト・パターン候補(13.3.1.2.3)を選択し、テスト・パターン候補(13.3.1.2.3)は、図23に示されているように、欠陥シミュレート(13.3.1.3)され得る。このシミュレーションが、通常の故障シミュレーションと異なることに留意されたい。というのは、この故障シミュレータは、パターン候補(13.3.1.2.3)がテスト・パターン・セットへの追加について受け入れられるかどうかをまだ知らないので、故障リスト(A)を更新する必要がないからである。従って、一実施態様では、欠陥シミュレーション手順(13.3.1.3)は、後の評価のために、候補テスト・パターンのシミュレートされた応答(13.3.1.3.1)を保管する。この評価は、例えば、図24に示された応答分析手順(13.3.1.4)によって実行することができる。この点で、パターン生成が少なくとも部分的に成功であったことが、既にわかっている。
ここで図24を参照すると、応答分析手順(13.3.1.4)は、候補テスト・パターンが他のすべての欠陥に対して有する影響を調査することができる。応答分析手順(13.3.1.4)は、例えば、他の欠陥に関する不利益が、ターゲット欠陥に関する利益にまさると判定し、従って、その候補パターン・セットを捨てることができる。テスト目標検証手順(13.3.1.4.1)は、パターン候補(13.3.1.2.3)と、そのシミュレートされた応答(13.3.1.3.1)と、ターゲット欠陥のセット及びタスク(13.3.1.1.4)とを使用する。テスト目標検証手順(13.3.1.4.1)は、更に、内部辞書(B)及び前に計算されたランキングとインターフェースすることができる。この情報に基づいて、テスト目標検証手順(13.3.1.4.1)は、テスト・パターン候補を受け入れることができるかどうかを判定することができる。そうである場合には、そのテスト・パターン候補を、ATPGの欠陥ベースのテスト・パターン・セット(13.3.1.6)に追加することができる。しかし、どちらの場合でも、欠陥強化故障リスト(A)と内部辞書(B)とを、図25に示されているように更新することができる(特に、候補テスト・パターンが、無効化され、その結果、次のターゲット欠陥集合選択を改善できるようになる場合に、学習された情報を用いて)。
図26は、ATPGに続くことができる任意選択のパターン最適化手順(13.3.2)を示す。通常、任意選択の欠陥ベースのテスト・パターン・セット(13.2.3)と新たに生成された欠陥ベースのテスト・パターン・セット(13.3.1.6)とが、一緒に、欠陥ベースのテスト・パターン・セット(13.3.2.1)を形成し、この欠陥ベースのテスト・パターン・セット(13.3.2.1)は、前に説明した結果ベースのテスト・パターンの最適化手順(13.2.2)によって改善することができる。それに応じて、この例の実施形態では、図13の最終的なテスト・パターン・セット(13.3.3)が、欠陥ベースのテスト・パターン・セット(13.3.2.1)又は最適化された欠陥ベースのテスト・パターン・セット(13.3.2.2)の何れかである。
テスト結果分析/診断
集積回路の生産テスト中に、テスト・パターンが、集積回路に適用される。各適用の後に、観察点での値が、期待される値と比較される。不一致がある場合に、その回路は、テストに失敗する。テスト結果データは、通常、テスタ・ログに保管され、このテスタ・ログは、障害発生パターンのしるしを、不一致が発生した観察点(障害発生ビットと称する)と一緒に含む。テスタ・ログは、固定された個数の障害発生パターン、又は固定された個数の障害発生ビット、又は各障害発生デバイスのすべての障害発生パターンを含むように構成することができる。
図31は、テスト結果分析構成要素(21)を実施する例示的な形を示すブロック図であり、ここで、テスト結果は、何れかの観察された障害を潜在的に引き起こした1つ又は複数の欠陥候補を識別するために診断され、分析される。図31に示された例示的な方法は、生産テスト結果データ(20)と故障辞書(16)とを使用する。この方法は、おそらくは障害を引き起こし得た欠陥を識別し、この例示的実施形態では、欠陥候補のランキングされたリスト(時々、被疑特徴(suspect feature)のリストと称する)を生成する。各欠陥は、それが属するクラスを示すIDを有するので、すべての候補が同一のクラスに含まれる場合に、欠陥識別手順は、停止し、次の集積回路に関連する障害データの分析に進むことができる。この形で、対応するパレート図を、精度の低下なしに更新することができる。そうでない場合には、増分シミュレーションと増分診断とを含む技法を、一致する候補を区別するのに使用することができる。候補が、それでも区別不能である場合には、確率的量を、欠陥が属するクラスに割り当てることができる。
欠陥識別(21.1)
欠陥を識別するために、欠陥識別手順(21.1)の実施形態は、故障辞書(16)から、観察された障害発生パターンの障害発生ビットに関連する故障を取り出すことができる。これらの故障を、1つ又は複数の欠陥候補と突き合わせることができる。欠陥識別手順(21.1)の実施形態は、そのように取り出された欠陥を分析し、一致する欠陥候補のランキングされたリストを生成することができる。故障辞書を使用する欠陥識別の2つの非限定的な例示的技法を、下で提示する。
欠陥識別の第1の例示的技法
第1の例示的技法は、次の2つのプロセスを使用して動作する:(1)個々の障害発生パターンの障害発生ビットを分析することによる、欠陥候補の識別及びランキングと、(2)識別されランキングされた欠陥候補からの、分析された障害発生パターンによって示される挙動と一致する欠陥候補の識別及びランキング。この2つの例示的プロセスを、下で詳細に述べる。
1.個々の障害発生パターンの障害発生ビットを分析することによる、欠陥候補の識別及びランキング
1.a.故障/欠陥分類
前に説明したように、この議論のための故障辞書は、故障ごとに限られた個数の障害発生応答だけを記録する。この個数が、Nであるものとする。従って、各障害発生デバイスに関連する障害データを分析する時に、この例示的方法は、最初のN個の障害発生パターンの障害発生ビットに関連するエントリを取り出す。
これらの障害発生パターンV,i=(1,2,…,N)について、障害発生ビットの個数が、Mであり、M個のビットのそれぞれについて、故障の関連する集合が、Fij(j=1,2,…,M)であると仮定する。幾つかの故障が、複数の集合に現れる可能性がある。量foccを、各故障fに割り当てて、fがこれらのM個の集合に現れる回数を記録することができる。ここで、min{focc}=1,max{focc}=Mである。幾つかの実施形態で、パターンVをシミュレートする時に故障fが伝播した観察点の個数を、故障辞書にfosimとして記録することができる。foccと、fosimと、Mとの値に基づいて、故障集合F=Fi1∪Fi2∪…∪Fi,Miを、この例示的実施形態で次の4つのタイプに分類することができる:
タイプI:F={f:focc=fosim=M}、これは、予測された故障挙動が、観察された挙動と完全に一致することを暗示する、
タイプII:FII={f:focc=fosim<M}これは、故障によって予測された出力誤りが、観察された出力誤りの部分集合であることを暗示する、
タイプIII:FIII={f:focc=M<fosim}、これは、故障によって予測された出力誤りが、観察された出力誤りの超集合であることを暗示する、
タイプIV:FIV={f:focc<M且つfocc<fosim}、これは、故障によって予測された出力誤りが、観察された出力誤りと部分的にオーバーラップすることを暗示する。
図33は、予測された故障の挙動と観察された挙動とが異なる関係を有する4つの例を示す。F=F+FII+FIII+FIVであることを、示すことができる。
故障について上で導入された量及び分類は、欠陥について使用される量及び分類に変換することができる(例えば、類似する数と集合docc、dosim、及びD(l=I,II,…,IV)とを使用して)。一般に、故障から欠陥への直接のマッピング関係が、存在し、故障辞書内の各故障は、通常、それが表す欠陥を示すIDを有する。欠陥は、単一の故障又は複数の故障としてモデル化することができる。両方の場合で、doccと、dosimと、D(l=I,II,…,IV)とを、foccと、fosimと、F(l=I,II,…,IV)とから直接に導出することができる。
1.b.個々のパターン一致
タイプI欠陥は、観察された挙動と一致するので、通常は最も高くランキングされなければならない。タイプI欠陥に割り当てられる優先順位パラメータが、λ(0<λ≦1)であるものとする。通常、λには、1がセットされる。
しかし、複数のタイプII欠陥が、観察された挙動を説明する場合がある。タイプII欠陥の組み合わされた集合が、観察されたものと同一の挙動をもたらす場合には、これらも、候補リスト内で高くランキングされなければならない。これらのタイプII欠陥に割り当てられる優先順位パラメータが、λであるものとする。通常は、λ=λである。この例示的方法は、次のように定式化できる集合カバー問題(set cover problem)を解くことによって、タイプII欠陥候補を識別する。
タイプII集合が、S個の欠陥からなると仮定すると、1つの変数x(x∈{0,1})を、欠陥ごとに作成することができる。一実施態様によれば、この変数は、対応する欠陥が候補として選択される場合に1、そうでない場合に0になる。
Figure 2008516305
,Al,j∈{0,1}の下で
Figure 2008516305
になり、AがM×S行列である、{x}を、見つけることができる。Al,jは、欠陥jが、l番目の出力の誤りを説明する場合に1になり、そうでない場合に0になる。閾値ηは、複数の欠陥候補の個数を制限するためにセットされる。例えば、4つ以上の欠陥が、存在し、特定のパターンの下で同時に出力に現れることは、ありそうにないと考えることができ、従って、閾値ηには、幾つかの実施形態で3をセットすることができる。図34は、観察された障害発生ビットが、2つの異なるタイプII欠陥によってもたらされる障害発生ビットの組合せである例を示す。
タイプIII欠陥は、挙動が故障モデルによって完全には取り込まれていない欠陥を表す。例えば、ブリッジング障害のビザンチン将軍問題は、誤りに、ブリッジされたノードのファンアウトのサブセットから下流で発生させる。しかし、シミュレーション中に使用される通常の4ウェイ・ブリッジング故障モデルは、あるブリッジ・ノード(犠牲側)のすべてのファンアウトが、故障の値を取ると仮定する。その結果、4ウェイ・ブリッジング故障によって導入される誤りは、実際のブリッジ欠陥によって影響されるはずのものより多数の回路出力に伝播され得る。モデル化されない挙動も、他の形で診断に影響し得ることは、明確である。例えば、モデル化されない挙動が、故障モデルによって導入される誤りに、実際の欠陥によって影響されるはずのものより少数の回路出力に現れさせることができ、或いは、故障モデルによって導入される誤りが、欠陥を絶対に活性化しないはずのテスト・パターンによって検出され得る。一般に、タイプIII欠陥は、モデル化されない欠陥の部分集合をカバーすることしかできない。タイプIII欠陥は、可能な候補であり、これらに割り当てられる優先順位パラメータは、λ(0<λ≦λ)とすることができる。タイプIV欠陥は、この例示的診断方法では候補として検討されない。
欠陥識別手順のこの第1プロセスの結果として、欠陥候補とそれに関連する一致の良さの定量的な量とのリストが、最初のN個の障害発生パターンについて生成される。障害発生パターンV(i=1,2,…,N)のリストは、次の例示的フォーマットを有することができる。
cand(V)={{d,λ},{d,λ},{(d,d),λ},{d,λ},…} (2)
2.プロセス(1)によって作られた欠陥候補からの、すべての分析された障害発生パターンによって示される挙動と一致する欠陥候補の識別及びランキング
最も単純な場合に、単一の欠陥のシミュレーション結果は、最初のN個の障害発生パターンにまたがって、観察された挙動と一致する。Dcand(V)の共通の要素を単純に選択することによって、これらの種類の欠陥を識別することは、通常は自明である。しかし、テスト中の回路が、複数の欠陥を含み、これらの欠陥のそれぞれが、異なるテスト・パターンの下で現れる場合に、この手法は、失敗する可能性がある。故障モデルが、十分には正確でなく、その故障モデルによって予測された誤りが、観察された挙動と一致せず、従って、少数の説明されないテスト・パターンをもたらすことも、可能である。
プロセス(2)での欠陥識別問題は、次の集合カバー問題に定式化することができる。
最初のN個の障害発生パターンVと、関連する集合Dcand(V)とを与えられれば、集合Dcand(N)を、
Figure 2008516305
として定義することができる。変数y(y∈{0,1})を、集合Dcand(N)の要素ごとに作成することができる。一実施態様で、この変数は、対応する欠陥が候補として選択される場合に1になり、そうでない場合に0になる。もう1つの変数kが、集合Dcand(N)内の各要素のサイズを示すために作成される。例えば、要素{(d,d),λ}に関連する変数kは、2である。
Figure 2008516305
(i=1,2,…,N),Bi,m∈{0,1}の下で
Figure 2008516305
になり、Bi,mが、yに対応するタプル{d,λ}がDcand(V)に含まれる(即ち、{d,λ}∈Dcand(V))の場合に1になり、それ以外の場合に0になる、{y}を、見つけることができる。閾値ηは、候補空間のサイズを制限するためにセットすることができる。例えば、回路内に存在する欠陥の個数が3個を超える可能性が低いことを考慮して、ηに、一実施態様で3をセットすることができる。
図35は、観察された障害発生挙動を、複数の欠陥d及びdの組み合わされたシミュレーション結果によって説明できることを示す例である。この集合カバー問題に対する解の集合は、観察された障害発生挙動に完全に又は部分的に一致する単一の欠陥又は複数の欠陥を表す。欠陥候補を、その相対的な一致の良さに従って順序付けることができる。一致を審判する判断基準は、次の2つの観察に基づくものとすることができる:(1)特定の障害発生パターンに関する個々の一致について、最良の候補は、観察された出力誤りと一致する候補であり、これに、最大量の出力誤りを含む候補が続く。これは、パラメータλと、λと、λとによって反映され、このパラメータは、前のセクションで述べた識別プロセスで定義されたものであり、(2)正しい候補は、通常、より多くの個数の障害発生パターンを説明する。これを考慮に入れるために、パラメータβ(0<β<0.5)を、V(i=1,2,…,N)ごとに定義することができる。λ及びβを、欠陥候補ごとの一致の良さの定量的な量を計算するために組み合わせることができる。次の擬似コードは、一致量γを欠陥候補dについて計算できる1つの例示的な形を示す:
1.γ←1;
2.loop i=1,2,…,N
3.if{d,λ}∈Dcand(V)then γ←γ×λ
else γ←γ×β
4.end loop。
上の擬似コードから、γが大きいほど、候補が、観察された挙動によく一致することがわかる。
この例示的識別手順は、故障辞書に障害ごとにN個までの障害発生応答が保管されるので、最初のN個の障害発生パターンを分析する。情報が、残りの障害発生パターンを分析するのを助けるために使用可能である場合には、より高い診断分解能を、達成することができる。例えば、欠陥がある回路の障害ログには、N個(N>N)の障害発生パターンが含まれる。欠陥a及びbは、最初のN個の障害発生パターンにわたって同一の故障シグネチャを共有し、従って、これらは、区別不能であり、等価クラス(equivalent class)にあると言われる。しかし、aが、テスト・セットがシミュレートされた時にN個の障害をもたらし、bが、N個の障害を引き起こすだけであることがわかっている場合には、欠陥aを、より可能性の高い候補として識別することができる。
識別手順の後に、欠陥候補リストと関連する一致の良さの量とを、上で述べた情報によって較正することができる。テーブルを、テスト・セットをシミュレートしている間に作成して、欠陥ごとに、その欠陥が所与のテスト・セットによって検出された回数を記録することができる。例えば、障害ログに、欠陥のある回路のN個(N>N)の障害発生パターンが含まれると仮定する。テーブルで示されるように、欠陥候補dが、ndj回障害を発生し、ndj<N−Nthである(Nthは、所定の閾値であり、Nth>0である)場合に、関連する一致品質量を、調整することができ、例えば、γ←γ×δ(0<δ<1)とすることができる。その結果、例示的診断方法は、最終的なリスト内に、γ>γthであるQ個の最高ランキングの候補を保持することができ、Qは、所定の限度である。これらのQ個の候補に、同一クラスからではない候補が含まれる場合には、増分シミュレーション及び増分診断となどの技法を、候補を区別するのに使用することができる。代替案では、例示的手順は、欠陥候補の一致の良さの量と欠陥に関連する他の確率的量とに基づいて、かかわりあいのあるクラスに尤度クレジットを割り当てることができる。診断システムの構成に応じて、増分シミュレーション及び増分診断を、どの欠陥クラスが観察された挙動を説明するかを識別するために2ステップ手順が失敗する時に必ず、又はある個数の欠陥集積回路が欠陥クラスを成功して識別せずに発生した後に診断システムによって必要と考えられる時に、呼び出すことができる。
欠陥識別の第2の例示的技法
このセクションでは、欠陥識別の第2の例示的技法を述べるが、この議論は、故障辞書をどのように作成できるかの議論を続け、これに、情報をその辞書からどのように取り出すことができるかの議論が続く。
1.故障辞書の作成
普通の故障辞書(又はシソーラス)は、通常、現代のマルチ・ミリオン・デザイン(multi−million design)の物理メモリにロードするには大きすぎ、或いは、採用されるエンコーディング技法に起因してアクセスするのに非効率的すぎる。このセクションでは、大量の故障検出情報のストレージを可能にし、テスト結果処理中の保管された故障検出情報への効率的なアクセスを可能にする、圧縮辞書方式の実施形態を、説明する。開示される方式の実施形態を使用すると、大量の生産テスト結果を、すばやく処理でき、デフェクティビティ(defectivity)機構情報を、導出することができる。
例示のために、この議論は、図46を参照するが、図46は、回路に埋め込まれた例示的なファンアウト・フリー領域を示す。この例示的な領域には、1つのNANDゲートと1つのORゲートとが含まれる。信号sは、このファンアウト・フリー領域の「ステム」と呼ばれ、信号線aと、bと、cと、dとは、内部信号である。例示のみのために、組合せテスト・パターン・セットだけが、この回路をテストするのに使用されると仮定する。しかし、この仮定を、限定的と解釈してはならない。というのは、説明される方法論を、当業者が、他のタイプのテスト・パターンと共に使用するためにたやすく適合させることができるからである。
単一の故障は、通常、多数の異なるテスト・パターンによって検出することができる。更に、異なる検出テスト・パターンによって作られる特定の故障に関する観察点組合せは、しばしば、回路ロジックの構造的制約に起因して、非常に似ている。例えば、所与の故障に関する独自の観察点組合せの個数は、通常は少なく、ある故障に関する検出テスト・パターンの総数は、多数の故障がランダム・テスト可能なので、はるかに多くなり得る。従って、一実施形態によれば、故障辞書のサイズは、独自の観察点組合せごとに1つの一意IDを割り当てることによって縮小することができる。ある故障の検出テスト応答が、保管される時に、すべての検出テスト・パターンについてすべての観察点をリストするのではなく、対応する観察点組合せの一意IDが、メモリ使用量を減らすために使用される。この技法は、多数の観察点を有する頻繁に使用される観察点組合せに特に効果的になり得る。というのは、観察点組合せのメモリ・コストが、多数のテスト・パターンと多数の故障とにまたがって徐々に減らされるからである。
例えば、故障a stuck−at−1が、テスト・パターンpによって、幾つかの観察点で検出される場合に、故障s stuck−at−0も、観察点の同一のリストで検出される。これは、内部故障c stuck−at−1とステム故障s stuck−at−1とについてもあてはまる。この観察に基づいて、故障辞書のサイズを、ステム故障s stuck−at−0とステム故障s stuck−at−1とに関する完全な検出テスト応答だけを保管することによって、更に減らすことができる。このファンアウト・フリー領域の名部信号に関連する他の1つ又は複数の故障について、検出テスト・パターンだけが、保管される必要があり、観察点情報は、故障s stuck−at−0又は故障s stuck−at−1の何れかから回復することができる。更に、1つの例示的実施形態で、ステム故障に関する検出テスト・パターンのうちの少なくとも幾つかが、リストに入れられ、ビット・マスクが、すべての非ステム故障について検出パターン情報を保管するのに使用される。
この技法は、抽出された欠陥(例えば、開路及びブリッジ)にも適用することができる。例えば、図46の信号線dに開路欠陥があると仮定する。例示のために、この開路欠陥が、完全に開いていると仮定する。従って、dに接続されたORゲートの入力ピンの電圧は、浮動になり、残留電荷と、物理的近傍との容量結合と、物理的近傍の電圧となどの多数の要因によって決定され得る。通常、開路欠陥は、stuck−at−X故障としてモデル化され、これは、この欠陥が、あるテスト・パターンの下では故障d stuck−at−0として現れ、他のテスト・パターンの下では故障d stuck−at−1として現れ得ることを意味する。故障d stuck−at−0及び故障d stuck−at−1の検出テスト応答は、それぞれステム故障s stuck−at−0の部分集合及びステム故障s stuck−at−1の部分集合なので、ビット・マスクを、基礎としてステム故障の欠陥テスト応答を使用することによって、この開路欠陥の検出テスト応答を効果的に取り込むのに使用することができる。
更に、信号線cとgとの間のブリッジ欠陥を検討されたい。このブリッジ欠陥が、支配的なタイプのブリッジのように振る舞い、ここで、gが、侵略側であり、cが、犠牲側であると仮定する。統計的には、このブリッジは、信号線cとgとが反対の論理値を有する時に活性化され、このブリッジは、gが「0」である時には故障c stuck−at−0のように振る舞い、gが「1」である時には故障c stuck−at−1のように振る舞う。前に述べた開路欠陥に似て、このブリッジの検出テスト応答を保管するためには、少数のビットだけが、どのパターンがこのブリッジ欠陥を検出できるかを示すために必要であり、詳細な検出観察点は、ステム故障s stuck−at−0及びs stuck−at−1の完全な検出テスト応答を参照することによって回復することができる。
故障辞書には、通常、故障辞書内のさまざまな故障及び欠陥の間に大量の情報冗長性が含まれる。開示されるテクノロジの特定の実施形態によれば、検出テスト応答の直接記述を置換するために1つ又は複数のビット・マスク及び/又は一意IDを使用する故障辞書を、作成することができる。従って、故障辞書の情報冗長性及び最終的なサイズが、実質的に減らされる。テスト結果処理中の望ましく高速のアクセスを達成するために、完全な故障辞書を、専用の階層アーキテクチャに編成することができる。従って、複雑で時間集中型のエンコーディング方法(ハフマン・コーディング及びLZWエンコーディングなど)を、避けることができる。
1つの特定の例示的実施態様では、圧縮辞書が、次のように構築される:ターゲットにされる故障の1つ又は複数の可能な観察点組合せ(例えば、すべての観察点組合せ)と欠陥(例えば、すべての欠陥)とが、選択された集積回路設計について識別され、観察点組合せのグループ(時々、観察点組合せプールと呼ばれる)に置かれる。次に、観察点組合せのそれぞれに、将来の参照のためにID(例えば、一意ID)を割り当てることができる。より効率的なアクセスをもたらすために、観察点組合せを、ハッシュ化することができる。例えば、各観察点組合せに含まれる観察点のIDを、ハッシュ・キーを計算するのに使用することができる。検出テスト応答を、いわゆる「擬似故障」のリストについて集めることができ、この「擬似故障」は、他の故障及び欠陥の基礎として使用される故障を指す。擬似故障のテスト応答を集める1つの可能な形は、設計の1つ又は複数のファンアウト・フリー領域(例えば、すべてのファンアウト・フリー領域)についてステムstuck−at−X故障を使用することに基づく。所与のファンアウト・フリー領域について、ステムstuck−at−X故障を、シミュレートすることができ、検出テスト応答が、保管される(例えば、検出テスト・パターンのリストとして)。この例示的実施態様では、IDの対が、検出テスト・パターンごとに使用される。例えば、第1のIDは、テスト・パターンを表す(又は示す)ことができ、第2のIDは、上で確立されたプール内の観察点組合せを参照することができる。擬似故障のリストを、更に、より高速のアクセスのためにソートすることができる。例えば、すべての擬似故障を、対応するファンアウト・フリー領域のIDに基づいてソートすることができ、これによって、バイナリ・サーチを使用することによって所与のファンアウト・フリー領域に関する擬似故障をすばやく見つけることが可能になる。これらの動作は、圧縮辞書の基礎を作成するために実行することができる。基礎が作成された後に(又は基礎が作成されつつある時に)、1つ又は複数の他の故障又は欠陥の検出テスト応答を、対応する擬似故障に基づいてビット・マスクに圧縮することができる。例えば、所与の故障fについて、それに対応する擬似故障pfの検出テスト・パターンの個数に対応する長さを有するビット・マスクを、検出テスト応答を圧縮するのに使用することができる。使用時に、fが、pfと同一の形でテスト・パターンによって検出される場合に、ビット・マスク内の対応するビットが、セットされ、そうでない場合には、そのビットは、リセットされる(又は、実施態様に応じてその逆)。
ほとんどの故障及び欠陥に関して、対応する欠陥テスト応答を、ビット・マスクに圧縮することができ、それに応じて、故障辞書のサイズが、減らされる。説明される圧縮技法の実施形態が、働かない情況で(例えば、内部故障fが、所与のテスト・パターンによってそのステム故障と異なる形で検出される場合に)、別々の項目を、作成し、使用して、パターンIDと観察ポイント組合せIDとの対に基づいて、fのこの検出パターンを記述することができる。更に、同一の擬似故障に関連する故障のうちの1つ又は複数を、テスト結果処理中のより効率的なアクセスを可能にするために、故障リスト全体の中で近傍として配置することができる。
上で説明した実施形態を、決して限定的と解釈してはならない。というのは、代替の又は補足的な技法を、故障辞書圧縮の他の望ましい特徴又は改善を実現するために実行できるからである。例えば、判定された観察点組合せの間に多数の類似性が存在するという観察に基づいて、観察点組合せプールに関するメモリ要件を、観察点組合せのグループからの観察点組合せのうちの1つ又は複数を増分式に記述することによって、更に減らすことができる。例えば、ある種の実施形態では、ベース観察点組合せからの相違だけが、観察点組合せごとに保管される。もう1つの可能な実施形態は、擬似故障の個数を減らす(従って、擬似故障に関する検出テスト応答を保管するのに使用されるメモリを減らす)。例えば、ファンアウト・フリー領域ごとに擬似故障を作成するのではなく、複数のファンアウト・フリー領域(例えば、密接に関連するファンアウト・フリー領域)を、組み合わせることができ、単一の擬似故障を、組み合わされた領域内の故障について作成することができる。
更に、出力応答コンパクタが使用されるアーキテクチャで、上で説明した例示的な辞書圧縮方式の実施形態を、使用することもできる。例えば、コンパクト化された検出テスト応答を、提案される技法を使用して故障辞書に保管することができ、コンパクト化の前の元々のテスト応答を回復する必要なしに、テスト結果処理に直接に使用することができる。更に、圧縮技法の例示的実施形態が、例示のみのために静的な故障及び欠陥に適用されるものとして説明されることを理解されたい。この技法は、タイミングに関連する動的な故障及び欠陥に適用することもできる。例えば、an−speedテスト・パターン・セットが適用される時に、検出テスト応答を、トランジション故障及びタイミング関連欠陥について計算することができる。観察点組合せを、すべてのトランジション故障について計算し、観察点組合せのグループ(例えば、観察点組合せプール)に置くことができる。擬似故障の検出テスト応答を、例えば、ステムのslow−to−rise故障及びslow−to−fall故障に基づいてファンアウト・フリー領域ごとに計算することができる。他のトランジション故障及びタイミング関連故障を、対応する擬似故障をテンプレートとして使用することによって、可能な時に必ず、ビット・マスクに圧縮することができる。静的とat−speedとの両方のテスト・パターンを有するテスト・パターン・セットについて、2つの故障辞書を、静的な故障及び欠陥とタイミング関連の故障及び欠陥とについて別々に作成することができる。一実施形態で、この2つの故障辞書は、テスト結果分析中に順次アクセスされる。
2.辞書からの情報の取出し
テスト結果処理中に、圧縮辞書は、故障及び抽出された欠陥に関する保管された欠陥テスト応答の効率的な取出しと、障害を発生している集積回路の高速診断とを可能にし、大量生産テストの分析に必要な高スループットをもたらす。診断中に、障害を発生している回路のすべての失敗するテスト・パターンが、個別に分析される。所与の失敗するテスト・パターンについて、この失敗するテスト・パターンを説明できるすべての被疑物が、次の手順によって識別される:現在の失敗するテスト・パターンの観察点組合せのIDが、まず、観察点組合せプールを検索することによって判定され、次に、このテスト・パターンを説明できるすべての擬似故障が、失敗しているテスト・パターンのIDと失敗しているテスト・パターンの観察点組合せのIDとを比較することによって識別される。この失敗しているテスト・パターンの被疑物リストは、上で判定された擬似故障に関連するすべての故障及び欠陥のビット・マスクを検査することによって識別することができる。この手順を、すべての失敗するテスト・パターンについて繰り返すことができる。最後に、それぞれが少なくとも1つの失敗するテスト・パターンを説明する、被疑物のリストが、判定される。このリストを、更に処理して、潜在的な欠陥(又は欠陥候補)のリストを生成することができ、この欠陥又は欠陥候補は、失敗するテスト・パターンのすべて又は少なくとも大多数と、任意選択として、合格するテスト・パターンとを説明することができるはずである。更に、潜在的な欠陥のリストに、識別された潜在的な欠陥に関連する追加情報を含めることができる。例えば、次のプロパティのうちの1つ又は複数を、更に、潜在的欠陥のリスト内の潜在的欠陥に関連付けることができる:(a)各々の潜在的欠陥を他の潜在的欠陥から区別する欠陥識別子と、(b)各々の潜在的欠陥を抽出するのに使用された欠陥抽出ルールを識別する被導出ルール識別子と、(c)各々の潜在的欠陥を抽出するのに使用された欠陥抽出ルールがそれから導出された設計製造ルールを識別する設計製造ルール識別子と、(d)集積回路設計の物理的レイアウト内の各々の潜在的欠陥の物理的位置と、(e)集積回路設計の物理的レイアウト内の各々の潜在的欠陥の物理的プロパティと、(f)他の潜在的欠陥に対する相対的な各々の潜在的欠陥のランキング。
増分シミュレーション(21.2)
欠陥のある回路の障害ログには、欠陥識別手順(21.1)によって分析されない障害発生パターンが含まれ得る。増分シミュレーション手順(21.2)は、これらの障害発生パターンのうちの1つ又は複数をシミュレートするのに使用することができる。1つの例示的実施形態によれば、シミュレートされる欠陥は、ランキングされた候補リストから選択される。更に、故障辞書計算プロセスで使用されるものと同一の故障モデルを、検討中の欠陥に適用することができる。欠陥を表す故障のどれもが、観察された障害発生挙動と一致しない場合に(一致の良さを審判する判断基準は、欠陥識別プロセス(21.1)でタイプIと、IIと、IIIとの欠陥について定義されたものに似たものとすることができる)、その欠陥を、候補リストから削除することができる。増分シミュレーションは、候補リストに残っている欠陥が1つのクラスに含まれるようになったならば、停止することができる。というのは、障害発生機構を、一意に識別できるからである。
増分診断(21.3)
故障辞書の欠陥のどれもが、観察された障害発生挙動を説明できないことがありえる。その理由は、例えば、(1)その欠陥をモデル化する故障が、十分に正確ではなく、従って、幾つかのテスト・パターンの下で欠陥の挙動を十分に取り込むことができないか、(2)診断されている欠陥が、欠陥抽出ステージ中に潜在的な候補として考慮されなかった、である可能性がある。従って、欠陥の故障シグネチャが、故障辞書に保管されていない場合がある。
1つの例示的実施形態によれば、増分診断(21.3)は、欠陥識別手順(21.1)及び増分シミュレーション(21.2)が空の候補リストを生成する場合に、観察された障害発生挙動を分析するのに使用することができる。増分診断(21.3)は、例えば、図47に示された高度診断構成要素(4726)によって実行することができる。例えば、影響−原因ベースの診断手順を、使用して、実際の応答を分析し、観察された挙動を潜在的に引き起こした欠陥を判定することができる。増分診断手順(21.3)は、通常、候補のリスト並びに関連する故障タイプを作る。候補が、同一のクラスに含まれる場合に、歩留り低下パレート図を、分解能低下なしに更新することができる。そうでない場合に、確率的量を、これらの候補が属するクラスに割り当てることができる。
欠陥候補を、別々のファイルに保管することができ、このファイルは、後に、新しい欠陥ルールを学習するために使用することができる。又、欠陥辞書を、これらの欠陥候補に関する情報を組み込むことによって更新することができる。
グラフィカル表現計算(21)(22)
診断結果(21.4)の1つ又は複数のグラフィカル表現を、図31に示されたグラフィカル表現計算手順(22)を使用して計算することができる。グラフィカル表現計算手順(22)は、図47に示された診断結果分析構成要素(4718)に対応する。診断データを使用して計算できる1つの例示的な表現が、パレート図である。従って、このセクションの残りでは、パレート図の生成を論じるが、集積回路内の潜在的欠陥の尤度を示す他のグラフィカル表現を、その代わりに計算できることを理解されたい。
パレート図計算には、設計内のさまざまな歩留りを制限する特徴の障害確率の計算が含まれる。この議論のために、用語「特徴」は、製造中に障害をこうむりがちな、従って少なくとも部分的に歩留り低下に寄与する、設計内の特性を指す。特徴の物理的具体化は、非常に特定の要素(例えば、長い距離にわたって最小限の間隔で互いに平行に走り、従ってブリッジングをこうむりがちな2つの金属線)から、より一般的な要素(例えば、設計内のすべてのネット又はライブラリ・セル)までの範囲にわたる可能性がある。このセクションでは、特徴を、f,f,…fと表す。所与の設計について、各特徴は、複数のインスタンスを有することができる(例えば、単一のビアは、奇形になり、開路につながりがちな特徴であり、設計には、数百万個の単一ビア・インスタンスがある可能性がある)。特徴fのインスタンスを、
Figure 2008516305
と表し、ここで、nは、設計内のfのインスタンスの個数である。製造中に、各特徴が、潜在的に奇形になる可能性がある。本明細書で使用される時に、これが発生する確率を、pfail(f)によって表す。開示されるテクノロジのある種の実施形態で、パレート図計算の目的は、生産中に収集された大量の診断結果から、異なる特徴の障害のこれらの確率を推定することである。
診断が、理想的である(例えば、障害を発生するダイごとに、診断が、障害の正確な原因を正確に指摘できる)場合に、障害確率の推定は、単純化されるはずであり、障害確率は、特徴が製造される回数に対する、特徴が障害を発生した回数の比率になる。しかし、実際には、診断は、必ず100%正確であるわけではない。通常、診断(図47に示されたテスト結果分析(4716)など)は、実際の障害発生特徴ではなく、欠陥のあるダイ内の障害の原因である可能性が高い被疑特徴のリストを作る。通常、これは、大抵、他の特徴での障害が、テスタで観察された欠陥のあるダイの挙動を同等に説明できるからである。従って、ブール値障害情報だけを使用すると、欠陥の実際の原因として、ある特徴の間で区別することは、むずかしいか不可能である可能性がある。例として、図40に示された情況を検討されたい。この情況では、角対角ブリッジ及び側面対側面ブリッジなど、同一のネット対に関連する複数の特徴がある。この場合に、論理レベル診断だけを使用して、ネット対上のブリッジの実際の原因がどれであるかを判定することは、通常は不可能である。診断における曖昧さの他の原因は、論理レベルでは区別不能な、いわゆる「等価故障」である。例として、図41に示された例示的バッファを検討されたい。バッファの入力及び出力での故障は、等価である。これは、特徴の障害確率を推定するタスクを些細でないタスクにする。要約すると、非常に多数の、障害を発生したダイ(failed die)の診断は、潜在的にダイごとの障害の原因でありえる被疑特徴(suspect features)のリストを作るが提供される。例として、
Figure 2008516305
一実施形態によれば、パレート図計算には、個々の特徴障害確率pfail(f)の推定値を信頼できる形で計算するために、被疑特徴のリスト(上の例など)を分析することが含まれる。
次のセクションでは、個々の特徴障害確率を計算する2つの例示的方法を、説明する。しかし、これらの例示的方法は、限定的と解釈されてはならない。というのは、複数の追加の又は代替の方法を、開示されるテクノロジの任意の実施形態で使用することができるからである。第1の例示的方法では、反復手順が、特徴障害レートを計算するのに使用される。第2の例示的方法では、設計をより小さいブロックに区分することと、各ブロックの障害レートをそのブロック内に含まれる特徴に関係付けることとに基づく線形回帰ベースの方法が、使用される。この2つの例示的方法を説明した後に、ウェハ・レベルのシステマティック欠陥原因によって導入されるバイアスという可能な問題に、対処する。そのような欠陥原因は、ウェハ上のある区域で、及びおそらくはダイ内の特定の領域で、ダイに影響する可能性がある。そのような欠陥の非ランダムな性質に起因して、そのような欠陥原因は、ある特徴に向かう誤ったバイアスを導入する可能性がある。これらの影響に対処する例示的技法も、下で説明する。
1.例示的な反復学習手順
ある種の例示的実施形態では、反復学習手順が、使用される。幾つかの実施形態で、この反復学習手順は、被疑特徴のリスト内の特定の特徴が、診断された被疑特徴インスタンスの所与のリストに関する障害の実際の原因である確率がどれほどかを判定することを含む。この確率は、未知変数pfail(f)に関して記述することができる。この手順には、更に、この確率を使用してpfail(f)自体を推定することが含まれる。これが、各特徴の障害レートを推定するために反復的な形で解くことができる連立方程式を作る。
それに関する診断が次の被疑特徴インスタンス
Figure 2008516305
を作る、欠陥のあるダイを検討されたい。まず、このダイ内の実際の障害発生特徴インスタンスが、被疑特徴インスタンスのこのリストに含まれると仮定する。上の診断結果を与えられて、このダイ内の欠陥の実際の原因が
Figure 2008516305
である確率は、確率理論を使用して、2つのイベントAとBとを
A=
Figure 2008516305
が、故障のあるダイ内の欠陥の唯一の原因であり、
B=特徴インスタンス
Figure 2008516305
のうちの少なくとも1つが、故障のあるダイ内の欠陥の原因である
と定義することによって判定することができる。Bを与えられた場合のAの条件付き確率は、A⊂Bなので、
Figure 2008516305
である。ここで、すべての特徴が、独立に障害を発生すると仮定すると、イベントA及びイベントBの確率は、
Figure 2008516305
Figure 2008516305
とによって与えることができる。式(4)及び(5)を式(3)に代入することによって、この条件付き確率は、
Figure 2008516305
になる。ここで、個々の特徴障害確率は、10−8程度になると期待することができ、そうでない場合には、ほとんどすべての製造されたダイが、複数の障害を有し、歩留りが、ほとんど0になる。この仮定の下で、pfail(f、pfail(f、pfail(f)pfail(f)などの式(6)の高次の項は、1次の項よりはるかに小さくなり、従って、無視することができる。この単純化を用いると、式(6)は、
Figure 2008516305
になる。一般に、診断によって判定された次の特徴被疑リスト
のx個のインスタンス
のx個のインスタンス

のx個のインスタンス
を有する、障害のあるダイについて、その障害のあるダイ内の欠陥の実際の原因がfのインスタンスである確率は、
Figure 2008516305
によって与えることができる。次に、式(8)を、pfail(f)のエスティメータを展開するのに使用することができる。もう一度、障害のあるダイ内の診断された被疑物のリストが
Figure 2008516305
である、前の例を検討されたい。従って、この事例では、特徴f及びfのそれぞれの1つの被疑インスタンスと、特徴fの2つの被疑インスタンスとがある。ここで、例示のために、類似する診断被疑リストを有するNsimilar個の他の障害を発生したダイがあると仮定する。即ち、被疑リストには、f及びfのそれぞれの1つのインスタンスと、fの2つのインスタンスとが含まれる。従って、Nsimilar個の障害を発生したダイからの平均では、障害の実際の原因が特徴fのインスタンスであるダイの個数は、
Figure 2008516305
によって与えられる。この例から、上の例の障害を発生したダイが、障害を発生したダイの集合全体の特徴fの障害カウントに
P(fのインスタンスが欠陥の実際の原因である/診断結果(An instance of f is the actual cause of defect/Diagnosis Results))
だけ寄与することがわかる。言い換えると、障害を発生したダイの集合を与えられれば、fのx個のインスタンスを有する診断被疑リストを有するダイは、fの特徴障害カウントに
Figure 2008516305
だけ寄与する(式(8)から)。上の式によって与えられる、fの障害カウントへの各障害を発生したダイの寄与を、加算することができ、その合計を、nmanufによって除算して、pfail(f)の推定値を得ることができる。
この例示的技法を要約するために、Nmanuf個の製造されたダイがあると仮定する。更に、これらのうちで、Nfail個が、欠陥があることがわかり、診断されると仮定する。
Figure 2008516305
が、障害を発生したダイl(1≦l≦Nfail)の被疑リスト内の特徴fのインスタンスの個数を表すものとする。特徴fの障害レートは、この例示的実施形態に従って、
Figure 2008516305
として推定することができる。従って、未知変数pfail(f)と既知の診断結果との非線形連立方程式が、存在する。これらの式は、pfail(f)値のある初期予想値から始めて、解に向かって反復的に収束する、反復的な形で解くことができる。従って、この例示的技法は、反復特徴障害レート学習手順として特徴を表すことができ、開示される実施形態の何れとも共に使用することができる。
2.設計ブロックに対して線形回帰を使用する例示的手順
このセクションでは、診断結果から特徴障害レートを推定するもう1つの例示的な方法を、説明する。この例示的な方法は、前のセクションで説明した反復手順の上に構築される。
この例示的方法では、回路設計全体が、B個の類似するサイズのブロックに区分される。各ブロックは、「より小さいダイ」として特徴を表すことができ、この「より小さいダイ」には、設計内の特徴インスタンスの部分集合が含まれる。設計内の自然な変動に起因して、特徴インスタンスの分布は、ブロックごとに変化する可能性が高い。例えば、2つの特徴即ち、f=金属層3と4との間の単一ビアと、f=金属層1と2との間の単一ビアとを検討されたい。設計の1セクションが、fより多数のfのインスタンスを含むことができ、異なるセクションが、fと比較してより多くのfインスタンスを有することができる。従って、異なる設計ブロックは、別個の特性を有することができる。従って、設計ブロックの障害レートをそのブロック内の特徴インスタンスに関係付けることによって、予測子変数としてpfail(f)を、観察された変数としてブロック障害レートを有する回帰モデルを、構築することができる。その結果、特徴の障害レートを、標準的な回帰技法を使用して推定することができる。例えば、N.Draper及びH.Smith、「Applied Regression Analysis」(Wiley−Interscience、1998年)を参照されたい。各設計ブロックの障害レートも、前のセクションで説明した反復手順の実施形態を使用して、診断結果から判定することができる。この形で特徴障害確率を判定することには、少なくとも2つの可能な利点がある。第1に、設計内のブロックのうちの半分を、pfail(f)を推定するために回帰モデルをトレーニングするのに使用することができる。これらの推定は、これらを使用して残りの半分のブロックの障害レートを予測することと、これらを診断結果からの測定された障害レートと比較することとによって検証することができる。従って、この方法は、結果を検証する組込み機構を有するものとして特徴を表すことができる。第2に、回帰の使用は、診断誤りを許容することを可能にする(例えば、欠陥のあるダイ内の障害の実際の原因である特徴インスタンスが診断被疑リストに含まれない診断誤り)。例えば、診断誤りが、設計ブロックにまたがって均等に分布すると仮定すると、誤りは、通常、回帰中に実質的に平均される。
2.1 ネットに基づく設計のブロックへの区分
設計を、多数の可能な形でブロックに区分することができる。ほとんどの特徴を、設計内のネットに関連付けることができるので、1つの例示的な技法は、ネットに基づいて設計を区分する。例えば、NETが、設計内のすべてのネットの集合であるものとする。この集合が、B個の部分集合即ち、NET,1≦s≦Bに分割される場合に、これらの部分集合は、一般に、異なる設計ブロックを定義する。本技法の1つの例示的実施形態によれば、NETを部分集合に分割できる形は、任意に選択することができる。しかし、結果の部分集合は、望ましくは、部分集合内の特徴が別個であるという上で述べた判断基準を満足しなければならない。更に、これらの部分集合は、望ましくは小さすぎない。そうでなければ、pfail(f)の推定値が、統計的に信頼できないものになる可能性がある。
部分集合を選択する1つの例示的な形は、あるネットに関連する縮退故障が所与のテスト・パターン・セットについて観察されるスキャン・セルに基づく。より具体的に言うと、設計内のスキャン・セルが、まず、B個のグループにグループ化される。スキャン・セルのこのグループ化は、設計内のスキャン・チェーンに基づくものとすることができる(例えば、スキャン・チェーン内で互いに隣接するスキャン・セルを、同一グループに置くことができる)。設計内の縮退故障ごとに、観察スキャン・セルを、故障シミュレーションを使用して判定することができる。次に、ネットを、そのネットに関連する縮退故障がどのスキャン・セル・グループで観察されるかに基づいて、部分集合に置くことができる。例として、図42に示された例示的設計を検討されたい。例のみのために、この例示的設計は、1つのスキャン・チェーンだけを有する。この設計が、望ましく2つのブロックに区分されると仮定する。これを達成するために、ネットの集合NETを、2つの部分集合即ちNETとNETとに分割することができる。次に、スキャン・セルを、図42に示されているように、2つのグループ即ちGとGとにグループ化することができる。まず、例のネットnetを考慮すると、それに関連する4つの故障(A stuck−at−1と、A stuck−at−0と、B stuck−at−1と、B stuck−at−0)が、グループG内のスキャン・セルで観察されることを、観察することができる。その結果、このネットを、NETに含めることができる。幾つかのネットについて、それに関連する故障が、複数のスキャン・セル・グループ内で観察される場合があることに留意されたい。例えば、netは、そのようなネットである。というのは、故障C stuck−at−0及びC stuck−at−1が、スキャン・セル・グループG内で観察されると同時に、故障D stuck−at−0及びD stuck−at−1が、G内で観察されるからである。1つの例示的実施態様によれば、そのようなネットは、部分集合の何れか1つに任意に置くことができる。
2.2 ブロック障害レートの判定と特徴への関係付け
設計をブロックに区分したならば、各ブロックの障害レートを、診断結果から判定することができる。障害レートを判定する1つの例示的技法は、次の通りである。被疑設計ブロック(又はサブネットNET)のリストを、診断された被疑ネットのリストから判定する。これらのブロックの障害確率を、反復手順(例えば、上のサブセクション(1)で説明した反復手順)を使用して推定する。この議論のために、これらの障害レートを、
Figure 2008516305
と表すものとする。次に、推定ブロック障害レートを、特徴障害レートに関係付けることができる。例えば、ある特徴インスタンスを、1つ又は複数のネット(単一ビアなどの特徴の場合には単一のネット、或いは、ブリッジなどの特徴の場合には2つのネット)に関連付けることができるので、部分集合NETに関連する特徴インスタンスを、判定することができる。例えば、yisが、サブ部分集合NETに関連する特徴fのインスタンスの個数であるものとする。従って、ネットの部分集合NET内に欠陥がある確率は、
P(NETに関連する少なくとも1つの特徴が障害を発生する)
=1−P(NETに関連するすべての特徴が障害を発生しない)
又は、同等に、
Figure 2008516305
によって与えることができる。やはり、上の近似は、pfail(f)の値が1よりはるかに小さくなると期待されるという観察によって正当化することができる。上の式(12)の連立方程式は、予測子変数としてpfail(f)を、観察された変数として
Figure 2008516305
を有する線形回帰モデルを定義する。このモデルは、周知の回帰技法(例えば、最小二乗推定技法)を使用してpfail(f)の推定値を生成するのに使用することができる。例えば、N.Draper及びH.Smith、「Applied Regression Analysis」(Wiley−Interscience、1998年)を参照されたい。
3.階層歩留り学習
ウェハのある区域内だけのダイに影響する、ある欠陥機構がある。例えば、焦点深度関連の問題は、ウェハの外側の縁にあるダイ内の欠陥だけを引き起こす場合がある。そのような問題の例を、図43に示す。更に、ステッパ関連の問題は、ウェハの4つおきのダイ内だけに現れる場合がある。そのようなシステマティック欠陥機構は、すべてのダイに均等に影響するのではないので、特徴の障害レートに誤ったバイアスを与える可能性を有する。例として、あるウェハの周辺のダイが、設計内の特定のネットを別のネットにブリッジさせる焦点はずれ問題を有する情況を検討されたい。更に、このネット対に関連する角対角ブリッジ特徴があると仮定する。このウェハ上の多数のダイが、このシステマティック欠陥機構を示す可能性があるので、角対角ブリッジの障害レートが高いことが、認められ得る。しかし、この認識は、正しくない。というのは、ウェハの内部のダイが、この焦点はずれ問題によって影響されず、従って、対応するダイが、高い角対角ブリッジ障害レートを示さないからである。この問題を軽減するために、1つの例示的実施形態によれば、階層歩留り学習手順を、使用することができる。この手順の1つの例示的実施態様では、ウェハ上の位置に固有の欠陥機構に起因して障害を発生する障害発生ダイが、識別される。次に、これらのダイは、障害レート計算から除外され、従って、すべてのダイに均等には影響しないシステマティック問題によって導入される誤りが回避される。
幾つかの場合に、ウェハ位置に固有の欠陥機構は、非常に顕著である。そのような場合を、例えば、ウェハ上の欠陥のあるダイの位置を示すウェハ欠陥マップから識別することができる。ウェハ欠陥マップの例を、図44に示す。しかし、他の場合に、システマティック問題が、規則的な障害発生ダイによって偽装され得る。単純なウェハ欠陥マップから識別するのがむずかしいそのような問題を発見する際の診断結果の使用は、有益になり得る。例として、ウェハ・レベルのシステマティック機構が、特定のネット(例えば、net)内で、ウェハ上の特定の4つの位置に置かれたダイ内だけに欠陥を引き起こす(例えば、図45に示されているように)場合を検討されたい。この微妙な機構は、ウェハ欠陥マップ(図44)から識別するのが簡単ではない。しかし、この機構は、診断結果を使用して、いわゆる「ホット・ネット(hot net)」を判定することによって発見することができる。一般的に言って、ホット・ネットは、他の類似するネットより不釣り合いに高いレートで障害を発生するネットとして特徴を表すことができる。図示の例では、netを、ホット・ネットとして指定することができる。障害を発生したダイ内のホット・ネットは、特徴を個々のネットであるものとすることと、その後、ネット障害レートを判定するために反復学習手順を使用することとによって、識別することができる。障害レートがある閾値より高いネットを、それに応じて、ホット・ネットとして分類することができる。1つの例示的な閾値は、ネットの期待される障害レートとすることができる。これは、そのネットに関連する特徴の障害レートから推定することができる。従って、あるネットが、期待されるより頻繁に障害を発生する場合に、そのネットを、ホット・ネットとして特徴を表すことができる。ホット・ネットが識別されたならば、例えば、ウェハ欠陥マップを、ホット・ネットを含む障害発生ダイだけを示すように更新することができる。結果のマップは、その結果、システマティック問題を示す(例えば、図45に示されているように)。いわゆる「ウェハ・マップ視覚化ルール(wafer map visualization rule)」を、使用して、ウェハ・マップに描かれる障害発生ダイを選択する判断基準を表すことができる。ウェハ・マップ視覚化ルールのタイプ又はカテゴリの他の例(ホット・ネット以外の)には、ある種のセル内で障害を有するダイ、ある種の金属層内で障害を有するダイ、又はこの技法のユーザが定義することを望む他のルールが含まれるが、これらに限定はされない。説明された視覚化方式は、大量の生産中診断の使用によって可能にされる。
4.テスト・エスケープ・レートの推定
テスト・セットが欠陥のあるダイ内の障害発生特徴インスタンスを検出できないので、幾つかの欠陥のある製造された集積回路が、テスト中に識別されない場合がある。そのようなダイを、テスト・エスケープと称し、特定のテスト・セットのテスト・エスケープ・レートを推定することが、しばしば望ましい。これは、開示されるテクノロジの幾つかの実施形態で、前のサブセクションで説明した大量診断結果から判定される特徴障害確率を使用して、次の例示的手順を使用して行うことができる。一般に、テスト・エスケープ・レートは、テスト・セットによって検出されたすべての特徴インスタンスが障害を発生しないのに、少なくとも1つのテストされない特徴インスタンスが障害を発生する確率である。uが、テストによってカバーされない特徴fのインスタンスの個数であるものとする。この個数は、テスト・セットの故障シミュレーションを使用して判定することができる。次に、テスト・エスケープの確率は、
Figure 2008516305
によって与えられる。前と同様に、上の式の高次の項は、特徴確率が1よりはるかに小さくなると期待されるので、無視することができる。この近似を用いると、エスケープ確率は、
Figure 2008516305
になる。上の計算を、特徴ごとの基礎で実行できることに留意されたい(例えば、各個々の特徴のエスケープ確率を、類似する形で計算することができる)。
通常、テスト・エスケープ・レートは、defects−per−million(DPM)数として表され、このDPM数は、テストを受ける100万個のダイのうちの欠陥のあるダイの個数である。上の式によって判定されるエスケープ確率は、10による乗算によって、簡単にこの数に変換することができる。従って、DPM数の推定は、開示されるテクノロジの実施形態によって取り込み、報告することのできる大量診断結果から推定された特徴障害確率のもう1つの用途を構成する。
5.パレート図計算の代替手順
このセクションでは、パレート図計算の代替手順を、述べる。上で述べたように、診断における曖昧さの1つの主要な源は、等価故障に由来し、この等価故障の対応する欠陥は、いわゆる「等価クラス」を形成することができる。欠陥の集合は、それに対応する故障が、同一のシグネチャを共有するが、追加情報がなければ区別できない場合に、等価クラスを形成する。等価クラスが、異なるクラスからの欠陥からなる場合に、診断手順が、実際の障害発生機構を識別することができない場合がある。議論を単純にするために、等価クラスは、以下では、異なるクラスからの要素を有する欠陥を指すのに使用される。
5.1 誤り推定
={Ct1,Ct2,…,Ctk}が、等価クラスを表し、Ctlが、l番目のクラスの欠陥の個数を表すものとする。そのような等価クラスCに、欠陥識別手順(21.1)で出会い、この等価クラスCが、増分シミュレーション(21.2)及び増分診断(21.3)の後に未解決のままである場合に、どの欠陥クラスが実際の原因であるかを知らなければ、診断手順は、通常、Cに含まれる各クラスに確率クレジットを割り当てなければならない。Cの各欠陥が、同等に発生する可能性があると仮定すると、割り当てられるクレジットを、クラスの欠陥の個数に関係付けることができる。例えば、
Figure 2008516305
である。
が、クラスiの欠陥を含む等価クラスの集合を表すものとする。Nが、診断される欠陥のある回路の総数であるものとする。Ni−uが、クラスi欠陥を有するものとして正確に診断できる欠陥のある回路の個数であるものとする。従って、欠陥クラスiを有する回路の個数は、範囲[Ni−u,Ni−u+|C|]内にある。ワースト・ケースでは、クラスiに関する診断された結果の誤りは、|C|個である。従って、k個のクラスに関する予測されたパレート図の曖昧さは、平均値
Figure 2008516305
で測定することができる。
5.2 データ較正
歩留り低下機構の予測された分布は、望ましくは、統計的な意味で、等価クラスによって引き起こされる推定誤りを減らすことができるように較正される。図32に示されているように、データ較正(22.2)は、診断結果計算(22.1)と共に反復的な形で実行することができる。
1つの例示的実施形態では、データ較正は、集合確率(set probability)という概念に基づく。例えば、P(D=i)(i=1,2,…)が、欠陥クラスiが発生する確率であるものとし、P(O=i)(i=1,2,…)が、欠陥が診断によってクラスiとして予測される確率であるものとし、P(O=i|D=j)(i,j=1,2,…)が、欠陥クラスjが発生するという条件の下で欠陥がクラスiとして予測される、条件付き確率であるものとする。確率理論に基づいて、式
Figure 2008516305
が、成り立つ。P(O)が、ベクトル{P(O),P(O),…,P(O)}であるものとし、P(D)が、ベクトル{P(D),P(D),…,P(D)}であるものとする。P(O)とP(D)とを関係付ける式は、
(O)=Γ×P(D) (16)
であり、ここで、Γは、行列であり、P(O|D)は、i行j列の要素である。
観察できる通り、P(O)は、診断を介して入手されるパレート図である。理想的な場合には、異なるクラスの間に曖昧さがなく、P(O|D)=0(i≠j)である。条件付き確率行列Γは、単位行列になり、P(O)は、P(D)と一致する。曖昧さがある場合には、P(O)を、
Figure 2008516305
によって較正することができる。
条件付き確率は、欠陥識別手順(21.1)中に集められた情報に基づいて推定することができる。例示的な2ステップ手順中に、出会った等価クラスを、記録することができる。等価クラスCの記録は、タプル{L,C}とすることができ、ここで、Lは、識別ステージ中のCの発生の回数を表す。
パレート図P(O)が、N個の欠陥のある部分が診断された後に{P(O)=n,P(O)=n,…,P(O)=n}であると仮定する。条件付き確率P(O|D)は、式
Figure 2008516305
を使用して推定することができ、ここで、N(O,D)は、クラスiの欠陥として診断されるクラスjの欠陥である。これは、等価クラスの集合C∩Cから推定することができる。等価クラスC∈C∩Cを検討されたい。等価クラスCは、クラスi及びjの区別不能な欠陥を含む。Cが、{…,Cti,…,Ctj,…}であるものとする。上で説明したように、C内の欠陥がクラスiの欠陥として診断される確率は、
Figure 2008516305
である。P(O)(P(O)={n,n,…,n})が、P(D)とよく一致すると仮定し、同一の分布P(D)が、診断によって等価クラスCに含まれると考えられる欠陥の集合にもあてはまると仮定する。従って、CのT回の発生のうちで、クラスjの欠陥を有する回路の個数は、
Figure 2008516305
として計算することができ、クラスjの欠陥がクラスiの欠陥として予測される回数は、
Figure 2008516305
として計算することができる。言い換えると、Cの発生ごとに、クラスjの欠陥がクラスiの欠陥として予測される確率は、
Figure 2008516305
である。C∩Cのすべての等価クラスを検討し、|C∩C|=mと仮定すると、N(O,D)を、
Figure 2008516305
として計算することができる。ここで、
Figure 2008516305
を、式(17)と、(18)と、(19)とを組み合わせることによって計算することができる。
較正は、較正された
Figure 2008516305
を用いて条件付き確率行列Γを再計算することによって反復する。この反復は、あるサイクル数の後に、又は連続する実行の
Figure 2008516305
の間の誤差が所定の閾値未満になった後に、停止する。
種々雑多な欠陥の分析及び新しい欠陥ルールの学習
種々雑多な欠陥とは、前に定義された何れかのクラスに属するものとして識別することができない欠陥である。増分シミュレーション手順(21.2)及び増分診断手順(21.3)によって作られるデータは、正しくモデル化されていない欠陥並びに/又は故障シミュレーション中及び故障辞書計算ステージ中に考慮されない欠陥を示すことができる。要求された時に、分析手順を、アクティブ化して、このデータを処理し、統計情報を抽出することができる。高度診断構成要素(図47の高度診断構成要素(4726)など)は、この統計情報を使用して、欠陥をシミュレートし、故障辞書を更新することができる。ある判断基準が満足される場合に、新しい欠陥ルールを、生成し、欠陥抽出プロセスの次の反復中に適用することができる。
診断構成要素は、例えば次の形のうちの何れか1つ又は複数で、自己適応式にすることができる。
1.幾つかの新たに識別された欠陥は、発生する可能性が非常に高い。その障害発生応答は、望ましくは、故障辞書に記録される。それに対応する故障は、前に故障辞書計算ステージ中に使用されたものと同一のテスト・セットを用いてシミュレートすることができる。故障辞書を、シミュレートされた障害発生応答を用いて更新することができる。ある欠陥の発生の尤度を判定するために、その欠陥に出会った回数を、カウントすることができる。
2.増分診断結果(21.3)から、新しい故障タイプが、観察された障害発生挙動の記述において、前に使用された故障タイプより効果的であることを観察することができる。これが、欠陥のかなりのパーセンテージについて真である場合には、新たに識別された欠陥並びに必要な場合に故障辞書に前に保管された欠陥を、新しい故障タイプの下でシミュレートすることができる。故障辞書を、シミュレートされた障害発生応答を用いて更新することができる。
3.前に考慮されなかった、ある種の特性を有する種々雑多な欠陥が、発生する可能性が非常に高いことがわかる場合に、望ましくは、新たに識別された欠陥を誘導する特性が、欠陥ルール・セットに組み込まれ、新しい欠陥クラスが、欠陥抽出ルール更新手順(26)で定義される。欠陥抽出(7)を、新しいルールを使用して、レイアウトに対して実行することができる。新たに抽出された欠陥について、対応する故障を、前に故障辞書計算ステージ中に使用されたものと同一のテスト・セットを用いてシミュレートすることができる。故障辞書を、シミュレートされた障害発生応答を用いて更新することができる。
これらの自己学習式適応手順を、提起的な基礎で、又はユーザの要求時に、開始することができる。
欠陥ランキングの更新(24)
上で述べたように、欠陥ランキングは、当初に、レイアウト特徴と製造プロセス・パラメータとに関係付けられた確率的量によって判定される。本一般的方法の1つの例示的実施形態によれば、各欠陥クラスの発生の回数が、テスト結果データ後処理手順中にカウントされ、その結果、欠陥ランキングを、発生の相対頻度を用いて動的に更新できるようになる。
オン・チップ圧縮ロジックに関する特別な考慮事項
出力応答コンパクタなどのオン・チップ圧縮ロジックを使用する集積回路について、幾つかの特別な考慮事項が、生じる。例えば、1つの考慮事項は、診断の制限された可能性である。異なるコンパクタは、異なる能力を有するが、幾つかの実施態様は、例えばG.Mrugalski、J.Rajski、C.Wang、A.Pogiel、J.Tyszer、「Fault Diagnosis in Designs with Convolutional Compactors」、ITC 2004、498〜507頁など、コンパクタなしの集積回路に匹敵する診断品質を提供する。もう1つの考慮事項は、コンパクタが、そのコンパクタの圧縮方法によって候補欠陥の区別を無効化する可能性があることである。例えば、図28から30を検討されたい。図28は、出力応答コンパクタなしの場合を示す。故障影響は、第1スキャン・チェーン(2801)及び第2チェーン(2802)内の複数のスキャン・セルで観察することができ、従って、欠陥は、区別可能である。しかし、図29に示されているように、出力応答コンパクタ(2901)があると、欠陥の影響は、両方の欠陥チャネルの出力応答が互いに打ち消しあう(2欠陥仮定の場合に)ので、もはや区別可能でなくなる場合がある。もう1つの可能性は、例えば、両方の欠陥の観察可能な出力応答が、コンパクタによって同一にされることである。両方の場合について、図30に示されたアーキテクチャは、出力応答コンパクタ(2901)に構成要素を追加する、1つの可能な解決策を提供する。「欠陥分解能出力応答セレクタ」(3001)と称するこの構成要素は、計算された候補テスト・パターンの区別能力を保つために、ATPGが、制御信号線(3002)上の制御信号によって、出力応答コンパクタ(2901)の通常動作を操作することを可能にする。図30に例示されたセレクタ回路(3001)を実施し、使用する、複数の可能な形がある。例えば、あるスキャン・チェーンがテスト中にコンパクト化を行うことをディスエーブルすること、又はあるスキャン・チェーンの出力をテスト中にコンパクタの異なる入力に再ルーティングすることである。特定の例示的実施形態では、欠陥分解能出力応答セレクタ(3001)は、出力応答コンパクタ(2901)に1ステージを追加し、そうでなければ区別不能な故障の異なるシグネチャを出力応答コンパクタ(2901)に計算させるために出力応答コンパクタ(2901)を操作するように構成される。
他の使用法のシナリオ
この実施形態で説明する例示的な方法と、装置と、システムとは、設計及びテスト生成と、生産と、生産テストとが、同一の実体によって実行されること、又は異なる実体の間の情報交換が制限されないことを前提とする。しかし、次では、情報交換が制限される、開示されるテクノロジの例示的実施形態の使用法のシナリオを、説明する。
例えば、各ステップが、異なる実体によって所有され、これらの実体は、絶対最小限の情報だけを交換することを望む。設計実体は、レイアウト・データ即ちネットリストを所有し、テスト・パターンを生成できる唯一の存在であり、ネットリストを共有することを望まない。第2の実体は、生産者であり、レイアウト・データだけを受け取り、その目標は、高い歩留りである。第2の実体は、その歩留りを高める可能性があるルールを共有しない場合があり、さもなければ、生産の秘密を捨てる。必要なDFMルールだけが、設計実体に開示される。最後に、生産テスト実体があり、この実体は、集積回路について何も知らない。生産テスト実体は、テスト・パターン及び生産されたダイだけを受け取る。
上で説明した例示的手順に対する少数の変更を用いると、実質的に同一のDFM改善と歩留り改善とが、この環境で可能である。例えば、1つの解決策は、設計実体用の特別な(エンコードされた)DFMルールを介して生産者によって供給される、ATPGで、例えば欠陥分解能を高めるのに使用されることを意図された、シンボリック抽出ルールを使用する。対応するシンボリック辞書(又はシソーラス)を、設計実体によって生成することができる。この文脈でのシンボリックは、実際の欠陥と、欠陥抽出ルールと、DFMルールとが、設計又は生産ラインに関する知識を全く明かさないことを意味する。この例のために、欠陥が、単純に列挙されると仮定する。設計実体と生産実体との両方が、レイアウト・データ及びDFMデータに基づいて、同一の欠陥リストを計算することができる。設計実体は、この欠陥リストを使用して、テスト・パターンを生成することができ、生産実体は、シンボリック辞書に基づく診断分析結果を受け取ることができる。生産実体は、問題を完全に分析することができ、訂正ステップを行うことができる。必要な場合に、生産実体は、ATPG用の改善された(エンコードされた)DFMルールを設計実体に送り、追加のテスト・パターンを要求することができる。
例示的な計算環境
上で説明したテクノロジの態様の何れをも、分散コンピュータ・ネットワークを使用して実行することができる。図48は、1つのそのような例示的ネットワークを示す。サーバ・コンピュータ(4800)は、関連するストレージ・デバイス(4802)(サーバ・コンピュータの内部又は外部)を有することができる。例えば、サーバ・コンピュータ(4800)を、上で説明した実施形態の何れかに従って(例えば、EDAソフトウェア・ツールの一部として)、DFMルール又は欠陥抽出ルールを生成するか更新し、テスト・パターン、テスト応答、又は故障辞書を生成し、テスト結果から故障又は欠陥を診断し、或いは歩留り分析統計とそのグラフィカル表現とを計算するように構成することができる。サーバ・コンピュータ(4800)を、全般的に(4804)に示されたネットワークに結合することができ、ネットワーク(4804)は、例えば、広域ネットワーク、ローカル・エリア・ネットワーク、クライアント・サーバ・ネットワーク、インターネット、又は他のそのようなネットワークを含むことができる。(4806)、(4808)に示されたものなど、1つ又は複数のクライアント・コンピュータを、ネットワーク・プロトコルを使用してネットワーク(4804)に結合することができる。作業は、単一の専用ワークステーション上で実行することもでき、このワークステーションは、それ自体のメモリと1つ又は複数のCPUとを有する。
図49は、もう1つの例示的ネットワークを示す。1つ又は複数のコンピュータ(4902)が、ネットワーク(4904)を介して通信し、コンピューティング環境(4900)(例えば、分散コンピューティング環境)を形成する。コンピューティング環境(4900)内のコンピュータ(4902)のそれぞれは、例えば上で説明した実施形態の何れかによる、テスト応答生成プロセスの少なくとも一部を実行する(例えば、ATPGツールなどのEDAソフトウェア・ツールの一部として)のに使用することができる。例えば、コンピュータのそれぞれは、回路設計の異なる部分の、異なるタイプのパターンの、又はさまざまな他の判断基準に従って、テスト・パターンとテスト応答との生成を実行することができる。図示の実施形態のネットワーク(4904)は、1つ又は複数のクライアント・コンピュータにも結合される。
図50は、図48と図49とに示されたコンピューティング環境の使用の1つの非限定的な例を示す。具体的に言うと、図50は、設計情報(例えば、ネットリスト)を含むデータベース又はデータ構造と、抽出された欠陥データ(例えば、故障/欠陥抽出構成要素(4710)から)を含むデータベース又はデータ構造とを、開示されるテクノロジの実施形態を使用して、テスト・パターンとテスト応答とを含む設計のテスト・データを生成するために、リモート・サーバ・コンピュータ(図48に示されたサーバ・コンピュータ(4800)など)又はリモート・コンピューティング環境(図49に示されたコンピューティング環境(4900)など)を使用して分析することができることを示す。(5002)で、例えば、クライアント・コンピュータが、設計データと抽出された欠陥データとをリモート・サーバ又はコンピューティング環境に送る。(5002)で、設計データと抽出された欠陥データとが、リモート・サーバによって又はコンピューティング環境の各々の構成要素によって受け取られる。(5006)で、開示された実施形態の何れかを使用して、テスト・パターンと、テスト応答と、故障辞書とを含むテスト・データが、作成される。(5008)で、リモート・サーバ又はコンピューティング環境が、テスト・データ(テスト・パターンと、テスト応答と、故障辞書とを含む)をクライアント・コンピュータに送り、このクライアント・コンピュータは、そのテスト・データを(5010)で受け取る。図50に示された例が、複数のコンピュータを使用してテスト・データを生成する唯一の形ではないことは、当業者に明白である。例えば、設計データと抽出された欠陥データとを、ネットワーク上にあるのではく、サーバ又はコンピューティング環境に別々に送られる有形のコンピュータ可読媒体(例えば、1つ若しくは複数のCD−ROM、DVD、又はポータブル・ハード・ドライブ)に保管することができる。或いは、サーバ又はリモート・コンピューティング環境が、テスト・パターン生成手順の一部だけを実行することができる。
例示的実施形態の原理を示し、説明したので、これらの実施形態を、そのような原理から逸脱せずに配置及び詳細において変更できることは、当業者に明白であろう。多数の可能な実施形態に鑑みて、示された実施形態が、例のみを含み、本発明の範囲に対する限定と解釈されてはならないことが、認められるであろう。
開示されるテクノロジによる設計製造ルールを改善する例示的な一般的方法の流れを示すブロック図である。 第1DFMルールの例、具体的には、2つの信号線がdという最小距離を必ず保たなければならないことを述べるルールを示すブロック図である。 第2DFMルールの例、具体的には、信号線が他のレイアウト特徴からのdという最小距離を必ず保たなければならないことを述べるルールを示すブロック図である。 ビアを使用する、異なる層内の2つの信号線の接続の例を示すブロック図である。 信号線の端でのビア接続の位置の例を示すブロック図である。 信号線の端でのビア接続の位置の例を示すブロック図である。 ビアを使用する異なる層内の2つの信号線の接続の例を示すブロック図である。 ビアを使用する異なる層内の2つの信号線の接続の例を示すブロック図である。 図1の構成要素13を実行できる例示的な形をより詳細に示すブロック図である。 図9の構成要素13.1を実行できる例示的な形をより詳細に示すブロック図である。 図9の構成要素13.2を実行できる例示的な形をより詳細に示すブロック図である。 図11の構成要素13.2.1を実行できる例示的な形をより詳細に示すブロック図である。 図11の構成要素13.2.2を実行できる第1の例示的な形(13.2.2.A)をより詳細に示すブロック図である。 図11の構成要素13.2.2を実行できる第2の例示的な形(13.2.2.B)をより詳細に示すブロック図である。 図11の構成要素13.2.2を実行できる第3の例示的な形(13.2.2.C)をより詳細に示すブロック図である。 図11の構成要素13.2.3を実行できる例示的な形をより詳細に示すブロック図である。 図9の構成要素13.3を実行できる例示的な形をより詳細に示すブロック図である。 図17の構成要素13.3.1を実行できる例示的な形をより詳細に示すブロック図である。 図18の構成要素13.3.1.1を実行できる第1の例示的な形をより詳細に示すブロック図である。 図18の構成要素13.3.1.1を実行できる第2の例示的な形をより詳細に示すブロック図である。 図18の構成要素13.3.1.1を実行できる第3の例示的な形をより詳細に示すブロック図である。 図18の構成要素13.3.1.2を実行できる例示的な形をより詳細に示すブロック図である。 図18の構成要素13.3.1.3を実行できる例示的な形をより詳細に示すブロック図である。 図18の構成要素13.3.1.4を実行できる例示的な形をより詳細に示すブロック図である。 図18の構成要素13.3.1.5を実行できる例示的な形をより詳細に示すブロック図である。 図17の構成要素13.3.2を実行できる例示的な形をより詳細に示すブロック図である。 図17の構成要素13.3.3を実行できる例示的な形をより詳細に示すブロック図である。 故障影響の差を示すブロック図である。 図28の故障影響差に関する可能な出力応答コンパクト化問題を示すブロック図である。 出力応答コンパクト化選択回路を示すブロック図である。 テスト結果を後処理できる例示的な形を示すブロック図である。 例示的なグラフィカル表現計算計算を示すブロック図である。 予測された障害挙動と観察された挙動とが異なる関係を有する4つの例を示すブロック図である。 2つの欠陥によって引き起こされる実際の障害発生ビットの位置決めを示すブロック図である。 2つの欠陥によって引き起こされる観察された障害発生パターンを示すブロック図である 例示的集積回路の、最小距離dに対する相対的な隣接する信号線の間の距離の分布を示すグラフである。 例示的集積回路の、予測された欠陥データと予測された歩留り感度曲線とに重ねられた、図36のグラフを示すグラフである。 例示的集積回路の、実際の欠陥データと実際の歩留り感度曲線とに重ねられた、図36のグラフを示すグラフである。 予測された結果と実際の結果との間の比較を示す、図38のグラフに重ねられた図37のグラフを示すグラフである。 潜在的に角対角ブリッジング欠陥又は側面対側面ブリッジング欠陥を有する可能性がある2つの例示的特徴を示すブロック図である。 2つの等価故障を有するバッファを示すブロック図である。 スキャン・セルの2つのグループ(これらのグループは、例えばパレート図計算手順の実施形態によって決定される)で観察できる故障を有するネットを示すブロック図である。 ウェハ上のダイが系統的機構に起因して障害を発生する可能性を示すブロック図である。 例示的なウェハ欠陥マップを示すブロック図である。 いわゆる「ホット・ネット」が識別された後の、図44の例示的ウェハ欠陥マップを示すブロック図である。 ステム信号線が突き止められる例示的なファンアウト・フリー領域を示すブロック図である。 開示されるテクノロジの1つの例示的実施形態による歩留り分析方法を概略的に示すブロック図である。 開示される方法の何れかを実行するのに使用できる例示的コンピュータ・ネットワークを示すブロック図である。 開示される方法の何れかを実行するのに使用できる例示的分散コンピューティング環境を示すブロック図である。 図48のネットワーク又は図49のコンピューティング環境を利用して、開示されるテクノロジの例示的構成要素(テスト・パターン生成)を実行するための流れ図である。

Claims (156)

  1. 少なくとも部分的に設計製造ルールのセットから設計欠陥抽出ルールのセットを導出するステップと、
    集積回路設計の物理的レイアウトの表現から潜在的欠陥を抽出するステップであって、前記抽出された潜在的欠陥は、少なくとも部分的に前記欠陥抽出ルールに基づく、ステップと、
    1つ又は複数の回路テスト中に適用される回路テスト刺激を判定するステップと、
    障害を発生する集積回路を識別し、前記適用された回路テストに関連する1つ又は複数の潜在的なタイプの欠陥の、前記障害を発生する集積回路での発生を識別するために、前記適用される回路テストから生じるテスト応答を評価するステップと、
    潜在的なタイプの欠陥の前記発生の、前記障害を発生する集積回路での反復識別に関する情報を集めるステップと、
    潜在的なタイプの欠陥が前記物理的レイアウトに従って製造される集積回路に存在する尤度を判定するために前記集められた情報を分析するステップと
    を含む方法。
  2. 請求項1に記載の方法であって、前記回路テスト刺激は、前記抽出された潜在的欠陥のうちの1つ又は複数をターゲットにすることによって生成されるテスト・パターンを含む、方法。
  3. 請求項1に記載の方法であって、前記回路テスト刺激は、前記抽出された潜在的欠陥のうちの1つ又は複数を検出すると判定される、前に生成されたテスト・パターンを含む、方法。
  4. 請求項1に記載の方法であって、潜在的欠陥を抽出する前記動作は、前記抽出された潜在的欠陥のうちの1つ又は複数にプロパティを関連付けるステップを含み、前記関連するプロパティは、次のプロパティ即ち、
    各々の潜在的欠陥を他の潜在的欠陥から区別する欠陥識別子と、
    前記各々の潜在的欠陥を抽出するのに使用された前記欠陥抽出ルールを識別する被導出ルール識別子と、
    前記各々の潜在的欠陥を抽出するのに使用された前記欠陥抽出ルールがそれから導出された前記設計製造ルールを識別する設計製造ルール識別子と、
    前記集積回路設計の前記物理的レイアウト内の前記各々の潜在的欠陥の物理的位置と、
    前記集積回路設計の前記物理的レイアウト内の前記各々の潜在的欠陥の1つ又は複数の物理的プロパティと、
    他の潜在的欠陥に対する相対的な前記各々の潜在的欠陥のランキングと
    のうちの少なくとも1つを含む、方法。
  5. 請求項4に記載の方法であって、前記抽出された潜在的欠陥の少なくとも幾つかをフィルタリングする動作を更に含み、前記フィルタリングは、前記関連付けられたプロパティのうちの1つ又は複数に少なくとも部分的に基づく、方法。
  6. 請求項1に記載の方法であって、前記抽出された潜在的欠陥の少なくとも幾つかにランキングを割り当てる動作を更に含み、前記ランキングは、潜在的欠陥が前記集積回路内で発生する尤度を示す、方法。
  7. 請求項6に記載の方法であって、前記集められた情報の前記分析に少なくとも部分的に基づいて前記ランキングを更新する動作を更に含む、方法。
  8. 請求項6に記載の方法であって、前記抽出された潜在的欠陥と前記抽出された潜在的欠陥の前記ランキングとに少なくとも部分的に基づいて前記回路テストを順序付けるステップを更に含む、方法。
  9. 請求項1に記載の方法であって、分析する前記動作は、更に、複数のテストされた集積回路の集められた情報を分析するステップと、前記集積回路の生産歩留りを推定するステップとを含む、方法。
  10. 請求項9に記載の方法であって、少なくとも複数の前記設計欠陥抽出ルールを使用して、他の集積回路設計の生産歩留りを推定するステップを更に含む、方法。
  11. 請求項1に記載の方法であって、分析する前記動作は、更に、複数のテストされた集積回路の集められた情報を分析するステップと、潜在的なタイプの欠陥又は前記集積回路設計の前記物理的レイアウトに従って製造される集積回路のエスケープ・レートを推定するステップとを含む、方法。
  12. 請求項11に記載の方法であって、少なくとも複数の前記設計欠陥抽出ルールを使用して、他の集積回路設計内の潜在的なタイプの欠陥のエスケープ・レートを推定するステップを更に含む、方法。
  13. 請求項1に記載の方法であって、分析する前記動作は、更に、複数のテストされた集積回路の集められた情報を分析するステップと、前記設計製造ルールのうちの1つ又は複数の歩留り感度曲線を推定するステップとを含む、方法。
  14. 請求項1に記載の方法であって、分析する前記動作は、更に、複数のテストされた集積回路の経時的な集められた情報を分析するステップと、潜在的欠陥が製造された集積回路に存在する尤度の変化の傾向を判定するステップとを含む、方法。
  15. 請求項14に記載の方法であって、欠陥のある集積回路を識別する尤度を改善するために、前記判定された傾向に少なくとも部分的に基づいてテスト刺激を変更し、追加し、又は削除する動作を更に含む、方法。
  16. 請求項1に記載の方法であって、分析する前記動作は、更に、複数のテストされた集積回路の集められた情報を分析するステップと、欠陥のある集積回路を識別する尤度を改善するためにテスト刺激を変更し、追加し、又は削除するステップとを含む、方法。
  17. 請求項1に記載の方法であって、情報を集める前記動作と前記集められた情報を分析する前記動作とは、実質的にリアル・タイムで、集積回路製造者の生産ラインで作られる製造される集積回路に対して実行される、方法。
  18. 請求項1に記載の方法であって、テスト応答を評価する前記動作は、テスト応答及び上記テスト応答を作った関連するテストを、前記関連するテストの失敗から前に生成され保管された期待される失敗するテスト応答の辞書と比較するステップを含み、
    情報を集める前記動作は、前記比較によって識別された前記潜在的欠陥を識別する前記比較からの情報を集めるステップを含む
    方法。
  19. 請求項18に記載の方法であって、前記辞書の生成中に前記辞書に保管される前記情報を圧縮する動作を含む、方法。
  20. 請求項19に記載の方法であって、圧縮する前記動作は、前記集積回路設計内の少なくとも1つの潜在的欠陥を検出するテスト応答を表すために1つ又は複数のビット・マスクを計算するステップを含む、方法。
  21. 請求項19に記載の方法であって、圧縮する前記動作は、前記集積回路設計内の少なくとも1つの潜在的欠陥を検出するテスト応答を表すために1つ又は複数の擬似故障を計算するステップを含む、方法。
  22. 請求項18に記載の方法であって、前記生成された辞書内で見つからない少なくとも1つの潜在的欠陥の存在を診断する動作を更に含む、方法。
  23. 請求項18に記載の方法であって、次の形即ち、(a)前記辞書内で前に見つからなかった前記潜在的欠陥に関連する少なくとも1つのテストを追加すること、又は(b)前記辞書内で前に見つからなかった前記潜在的欠陥がテストされた集積回路内に存在する場合に受け取られると期待される少なくとも1つの期待される失敗するテスト応答を追加することのうちの1つ又は複数で前記辞書を変更する動作を更に含む、方法。
  24. 請求項18に記載の方法であって、閾値回数の回路テストについて観察されなかった失敗するテスト応答に関連する、前記生成された辞書のエントリを削除するステップを更に含む、方法。
  25. 請求項1に記載の方法であって、前記集められた情報を分析する前記動作に少なくとも部分的に基づいて、設計製造ルールの前記セット内の設計製造ルールを変更する動作、追加する動作、又は削除する動作のうちの1つ又は複数を実行する動作を更に含む、方法。
  26. 請求項1に記載の方法であって、前記集められた情報を分析する前記動作に少なくとも部分的に基づいて、設計欠陥抽出ルールの前記セット内の欠陥抽出ルールを変更する動作、追加する動作、又は削除する動作のうちの1つ又は複数を実行する動作を含む、方法。
  27. コンピュータに請求項1に記載の方法を実行させるコンピュータ実行可能命令を含む1つ又は複数の有形のコンピュータ可読媒体。
  28. 請求項1に記載の方法を実行するようにプログラムされた少なくとも1つのコンピュータ。
  29. 集積回路レイアウトの表現を受け取るステップと、
    集積回路を製造するための1つ又は複数の推奨される設計パラメータを受け取るステップと、
    前記推奨される設計パラメータのうちの1つ又は複数から抽出ルールを判定するステップであって、前記抽出ルールは、前記集積回路レイアウトの前記表現から欠陥候補の複数の集合を識別するルールを含む、ステップと
    を含む、コンピュータ実施される方法。
  30. 請求項29に記載の方法であって、前記設計パラメータは、1つ又は複数の設計製造ルールを含む、方法。
  31. 請求項29に記載の方法であって、欠陥候補の前記複数の集合は、関連する推奨される設計パラメータから異なる各々の範囲の値だけ逸脱する欠陥候補を含む、方法。
  32. 請求項31に記載の方法であって、前記集積回路レイアウトに従って作られる集積回路のテストから入手されたテスト結果に少なくとも部分的に基づいて前記抽出ルールを変更するステップを更に含み、変更する前記ステップは、前記抽出ルールによって識別される欠陥候補の集合の個数を増やすステップを含む、方法。
  33. 請求項29に記載の方法であって、前記集積回路レイアウトの前記表現に前記抽出ルールを適用し、これによって前記集積回路レイアウト内の欠陥候補のリストを生成するステップを更に含む、方法。
  34. 請求項33に記載の方法であって、前記欠陥候補の少なくとも幾つかをターゲットにするテスト・パターンを生成するステップを更に含む、方法。
  35. 請求項33に記載の方法であって、前に生成されたテスト・パターンのセットから、前記欠陥候補の少なくとも幾つかを検出する1つ又は複数のテスト・パターンを識別するステップを更に含む、方法。
  36. 請求項29に記載の方法であって、前記欠陥候補のうちの1つ又は複数に関連する歩留り感度に少なくとも部分的に基づいて、欠陥候補の前記リストを順序付けるステップを更に含む、方法。
  37. 請求項29に記載の方法であって、失敗するテスト応答と前記失敗するテスト応答を潜在的に引き起こした関連する欠陥候補とを示す故障辞書を生成するステップを更に含む、方法。
  38. 請求項29に記載の方法であって、前記集積回路の前記表現は、GDSII又はOasisファイルである、方法。
  39. コンピュータに請求項29に記載の方法を実行させるコンピュータ実行可能命令を含む1つ又は複数の有形のコンピュータ可読媒体。
  40. 請求項29に記載の方法を実行するようにプログラムされた少なくとも1つのコンピュータ。
  41. 製造された集積回路内の潜在的欠陥の存在を評価する設計製造ルールの変更されたセットを判定するステップであって、設計製造ルールの変更されたセットを判定する前記動作は、少なくとも部分的に設計製造ルールの第1セットから設計製造ルールの前記変更されたセットを導出するステップを含み、設計製造ルールの前記第1セットは、欠陥タイプの少なくとも第1及び第2のクラスを含む、潜在的欠陥のタイプの複数のクラスを定義し、設計製造ルールの前記変更されたセットは、少なくとも、設計製造ルールの前記第1クラスに関連する複数の設計製造ルールの第1サブクラスの第1セットと、設計製造ルールの前記第2クラスに関連する複数の設計製造ルールの第2サブクラスの第2セットとを定義する、ステップと、
    設計製造ルールのランキングされた第1及び第2のサブクラスが前記製造された集積回路内の欠陥を識別する尤度によって、設計製造ルールの前記第1及び第2のサブクラスのうちの少なくとも複数をランキングするステップと、
    設計製造ルールの前記第1及び第2のサブクラスを満足する潜在的欠陥を前記集積回路の物理的レイアウト記述から抽出するステップと
    を含む、コンピュータ実施される方法。
  42. 請求項41に記載の方法であって、
    製造される回路に適用される回路テストを判定するステップであって、前記回路テストは、設計製造ルールの前記第1及び第2のサブクラスに含まれる前記設計製造ルールのうちの少なくとも複数に関連する抽出された潜在的欠陥を検出するように構成される、ステップと、
    前記変更された設計製造ルールのうちの1つ又は複数が、前記テストされた集積回路内に存在する可能性が高い潜在的欠陥を識別したかどうかを判定するために、前記回路テストが適用されることに応答して入手される前記製造された回路からのテスト応答を評価するステップと
    を更に含み、テスト応答を評価する前記動作は、前記適用される回路テストによって検出された欠陥の製造された回路内での発生の尤度を示す統計情報を提供するために、十分な個数の製造された集積回路からのテスト応答を評価するステップを含む
    方法。
  43. 請求項41に記載の方法であって、設計製造ルールの前記第1及び第2のサブクラスをランキングする前記動作は、前記第1サブクラス内の複数の設計製造ルールを互いに対して相対的にランキングするステップと、前記第2サブクラス内の複数の設計製造ルールを互いに対して相対的にランキングするステップとを含む、方法。
  44. 請求項41に記載の方法であって、設計製造ルールの前記変更されたセットは、それに関して欠陥を抽出してはならない、集積回路の物理的レイアウトの区域を定義する設計製造ルールを含む、方法。
  45. 請求項41に記載の方法であって、設計製造ルールの前記変更されたセットは、歩留り低下に影響する特性の経時的な変化の傾向を判定する、歩留り低下を制限する製造ルールをも含む、方法。
  46. 請求項45に記載の方法であって、前記歩留り低下を制限する製造ルールのうちの少なくとも1つは、信号線のインライン抵抗に関連する、方法。
  47. コンピュータに請求項41に記載の方法を実行させるコンピュータ実行可能命令を含む1つ又は複数の有形のコンピュータ可読媒体。
  48. 請求項41に記載の方法を実行するようにプログラムされた少なくとも1つのコンピュータ。
  49. 対応する観察点組合せから1つ又は複数の欠陥候補を識別する1つ又は複数の故障辞書を生成するステップであって、前記観察点組合せは、各々のテスト・パターンの適用の際の故障テスト値を取り込んだテスト中回路の観察点を示し、前記1つ又は複数の欠陥辞書を生成する前記動作は、
    第1欠陥候補について、前記第1欠陥候補を検出するテスト・パターンを示す1つ又は複数の第1インジケータを保管するステップと、
    第2欠陥候補について、前記第2欠陥候補を検出する前記テスト・パターンを示す少なくとも1つの第2インジケータを保管するステップであって、前記第2インジケータは、前記第1欠陥候補を検出する前記テスト・パターンのうちのどれが前記第2欠陥候補をも検出するかを示すビット・マスクを含む、ステップと
    を含む、ステップ
    を含む、コンピュータ実施される方法。
  50. 請求項49に記載の方法であって、前記1つ又は複数の第1インジケータは、前記第1欠陥候補を検出する前記テスト・パターンに関連する一意IDを含む、方法。
  51. 請求項49に記載の方法であって、前記1つ又は複数の第1インジケータは、前記第1欠陥候補を検出する前記テスト・パターンの前記観察点組合せに関連する一意IDを含む、方法。
  52. 請求項49に記載の方法であって、前記第1欠陥候補と前記第2欠陥候補とは、前記テスト中回路のファン・アウト・フリー領域内にある、方法。
  53. 請求項52に記載の方法であって、前記第1欠陥候補は、前記ファン・アウト・フリー領域のステムに置かれる、方法。
  54. 請求項49に記載の方法であって、前記生成された1つ又は複数の故障辞書を使用して前記テスト中回路の生産テスト中に1つ又は複数の欠陥候補を識別するステップを更に含む、方法。
  55. 請求項54に記載の方法であって、前記生成された1つ又は複数の故障辞書によって識別されない1つ又は複数の可能な欠陥を増分的に診断する動作又は増分的にシミュレートする動作のうちの少なくとも1つを実行するステップを更に含む、方法。
  56. 請求項55に記載の方法であって、増分的に診断する前記動作又は増分的にシミュレートする前記動作のうちの1つ又は複数の前記実行からの結果を用いて前記生成された1つ又は複数の故障辞書を更新するステップを更に含む、方法。
  57. 請求項55に記載の方法であって、増分的に診断する前記動作又は増分的にシミュレートする前記動作からの結果に少なくとも部分的に基づいて、1つ又は複数の欠陥抽出ルールを更新する動作又は設計製造ルールのうちの1つ又は複数を更新する動作のうちの少なくとも1つを更に含む、方法。
  58. 請求項49に記載の方法であって、前記テスト中回路は、電子デバイス内での機能的使用のために設計された集積回路を含む、方法。
  59. 請求項49に記載の方法であって、前記第1欠陥候補を検出するテスト・パターンの個数は、(a)所定の値又は(b)ユーザ選択の値のうちの少なくとも1つまでに制限される、方法。
  60. 請求項49に記載の方法であって、前記第1欠陥候補を検出する前記テスト・パターンは、静的故障モデルを使用するテスト・パターンと動的故障モデルを使用するテスト・パターンとを含む、方法。
  61. 請求項60に記載の方法であって、前記1つ又は複数の故障辞書は、少なくとも第1故障辞書と第2故障辞書とを含み、静的故障モデルを使用する前記テスト・パターンに関する故障辞書情報は、前記第1故障辞書に保管され、動的故障モデルを使用する前記テスト・パターンに関する故障辞書情報は、前記第2故障辞書に保管される、方法。
  62. 請求項49に記載の方法であって、前記第2欠陥候補を検出する前記テスト・パターンのうちの少なくとも幾つかは、前記第1欠陥候補を検出するのに使用されるものと異なるタイプの故障モデルを使用する、方法。
  63. 請求項49に記載の方法であって、前記観察点組合せは、前記テスト中回路内のコンパクタからのコンパクト化されたテスト応答に対応する、方法。
  64. 請求項49に記載の方法であって、少なくとも前記第1欠陥候補の次のプロパティ即ち、
    前記欠陥候補を他の潜在的欠陥から区別する欠陥識別子と、
    前記第1欠陥候補を抽出するのに使用された欠陥抽出ルールを識別する被導出ルール識別子と、
    前記第1欠陥候補を抽出するのに使用された前記欠陥抽出ルールがそれから導出された設計製造ルールを識別する設計製造ルール識別子と、
    集積回路設計の物理的レイアウト内の前記第1欠陥候補の1つ又は複数の物理的プロパティと、
    前記集積回路設計の前記物理的レイアウト内の前記第1欠陥候補の物理的位置と、
    他の潜在的欠陥に対する相対的な前記第1欠陥候補のランキングと
    のうちの1つ又は複数を保管するステップを更に含む、方法。
  65. コンピュータに請求項49に記載の方法を実行させるコンピュータ実行可能命令を含む1つ又は複数の有形のコンピュータ可読媒体。
  66. 請求項49に記載の方法を実行するようにプログラムされた少なくとも1つのコンピュータ。
  67. 少なくとも部分的に設計製造ルールのセットから導出された抽出ルールを使用することによって識別された集積回路レイアウト内の潜在的欠陥のリストを受け取るステップであって、前記設計製造ルールは、集積回路を製造するための設計パラメータを含む、ステップと、
    (a)前記識別された潜在的欠陥の少なくとも幾つかを検出する1つ若しくは複数のテスト・パターンを、以前に生成されたテスト・パターンから選択すること、(b)前記識別された潜在的欠陥の少なくとも幾つかを明示的にターゲットにする1つ若しくは複数のテスト・パターンを生成すること、又は(a)と(b)との両方によって、テスト・パターンのセットを作るステップと、
    関連するテスト・パターンに対する1つ又は複数の失敗するテスト応答と前記失敗するテスト応答にそれぞれが関連する1つ又は複数の潜在的欠陥とを示す少なくとも1つの故障辞書を生成するステップと
    を含む、コンピュータ実施される方法。
  68. 請求項67に記載の方法であって、前記少なくとも1つの故障辞書は、圧縮された故障辞書であり、前記少なくとも1つの故障辞書を生成する前記動作は、各々の潜在的欠陥に関連する前記失敗するテスト応答を表すために1つ又は複数のビット・マスクを使用するステップを含む、方法。
  69. 請求項67に記載の方法であって、前記少なくとも1つの故障辞書は、圧縮された故障辞書であり、前記少なくとも1つの故障辞書を生成する前記動作は、各々の潜在的欠陥の検出情報を表すために1つ又は複数の擬似故障の検出情報を使用するステップを含む、方法。
  70. 請求項67に記載の方法であって、
    テスト・パターンの前記セット内の前記テスト・パターンの少なくとも一部を使用する前記集積回路のテスト中に入手される失敗するテスト応答を含むテスト結果データを受け取るステップと、
    前記失敗するテスト応答のうちの1つ又は複数に関連する潜在的欠陥を診断するために前記テスト結果データに前記少なくとも1つの故障辞書を適用するステップと
    を更に含む、方法。
  71. 請求項70に記載の方法であって、前記少なくとも1つの故障辞書を使用して診断可能ではない潜在的欠陥を診断するために、増分診断手順又は増分シミュレーション手順を使用するステップを更に含む、方法。
  72. 請求項70に記載の方法であって、前記少なくとも1つの故障辞書の前記適用から入手される診断結果を使用して、潜在的欠陥が前記失敗するテスト応答を実際に引き起こしている確率を統計的に判定するステップを更に含む、方法。
  73. コンピュータに請求項67に記載の方法を実行させるコンピュータ実行可能命令を含む1つ又は複数の有形のコンピュータ可読媒体。
  74. 請求項67に記載の方法を実行するようにプログラムされた少なくとも1つのコンピュータ。
  75. 少なくとも部分的に設計製造ルールの第1セットから導出される欠陥抽出ルールのセットを判定するステップであって、前記欠陥抽出ルールは、前記設計製造ルールによって識別される潜在的欠陥の少なくとも1つのカテゴリの複数のサブカテゴリを定義する、ステップと、
    前記欠陥抽出ルールの少なくともサブセットを集積回路の物理的レイアウトの電子記述に適用することによって潜在的欠陥を抽出するステップであって、前記抽出される潜在的欠陥は、前記サブカテゴリのうちの少なくとも1つに含まれる、ステップと、
    製造された集積回路内の潜在的欠陥の存在を示す複数の回路テストを定義するステップであって、前記回路テストのそれぞれは、前記集積回路を含む製造された集積回路に適用される回路刺激のセットを含む、ステップと、
    少なくとも複数の回路テストについて、前記潜在的欠陥又は前記回路テストによって検出される欠陥と、観察された場合に前記潜在的欠陥又は前記回路テストによって検出される欠陥の存在を示すはずの失敗するテスト応答とを保管するステップと
    を含む、集積回路をテストする方法。
  76. 請求項75に記載の方法であって、前記製造された集積回路に前記回路テストを適用するステップと、テスト応答を取り込むステップと、前記適用された回路テストのうちの1つ又は複数に失敗する1つ又は複数のテスト応答を作る、障害を発生する集積回路を判定するステップとを更に含む、方法。
  77. 請求項76に記載の方法であって、前記障害を発生する集積回路のうちの1つ又は複数について、
    1つ又は複数の前記失敗するテスト応答を作った1つ又は複数の回路テストを識別するステップと、
    これによって前記失敗するテスト応答を潜在的に引き起こした1つ又は複数の欠陥を演繹することを試みるために、識別された回路テストに関連する前記失敗するテスト応答のうちの1つ又は複数を、前記識別された回路テストに関連する保管された失敗するテスト応答と比較するステップと
    を更に含む、方法。
  78. 請求項77に記載の方法であって、上記比較の結果を保管する時に保管されるデータの量は、少なくとも潜在的欠陥ごとにk個の検出する失敗するテスト応答だけを保管することによって、圧縮されるか制限され、kは、保管される前記潜在的欠陥に関する失敗するテスト応答の最大個数を指定する、方法。
  79. 請求項77に記載の方法であって、
    前記障害を発生する集積回路内の潜在的なタイプの欠陥の発生の反復識別に関する情報を集め、分析するステップと、
    あるタイプの欠陥が前記失敗するテスト応答を引き起こしている確率を表すデータを報告するステップと
    を更に含む、方法。
  80. 請求項79に記載の方法であって、報告する前記ステップは、前記データのグラフィカル表現を生成するステップを含む、方法。
  81. 請求項80に記載の方法であって、前記グラフィカル表現は、パレート図である、方法。
  82. 請求項75に記載の方法であって、保管する前記動作は、各々の潜在的欠陥に関連する次のプロパティ即ち、
    前記各々の潜在的欠陥を他の潜在的欠陥から区別する欠陥識別子と、
    前記潜在的欠陥を抽出するのに使用された前記欠陥抽出ルールを識別する被導出ルール識別子と、
    前記欠陥抽出ルールがそれに基づく前記設計製造ルールを識別する設計製造ルール識別子と、
    前記集積回路設計の前記物理的レイアウト内の前記各々の潜在的欠陥の1つ又は複数の物理的プロパティと、
    前記集積回路設計の前記物理的レイアウト内の前記各々の潜在的欠陥の物理的位置と、
    他の潜在的欠陥に対する相対的な前記各々の潜在的欠陥のランキングと
    のうちの1つ又は複数を保管するステップを含む、方法。
  83. 請求項75に記載の方法であって、欠陥抽出ルールの前記セットは、ワースト・ケース欠陥識別子によってワースト・ケース欠陥として識別される少なくとも1つの潜在的欠陥をも含む、方法。
  84. 請求項75に記載の方法であって、保管する前記動作は、故障がそれから導出された前記潜在的欠陥の識別と、潜在的故障のタイプと、前記潜在的故障を検出する前記テスト・パターンと、各検出するテスト・パターンの観察点とによって失敗するテスト応答を記述する欠陥比較辞書を生成する動作を含む、方法。
  85. 請求項84に記載の方法であって、(a)前記辞書内で前に見つからなかった潜在的欠陥の追加、(b)前記辞書内で前に見つからなかった潜在的欠陥に関連する少なくとも1つのテストの追加、(c)前記辞書内で前に見つからなかった潜在的欠陥がテストされた集積回路内に存在する場合に受け取られると期待される少なくとも1つの期待される失敗するテスト応答の追加、又は(d)所定の若しくはユーザ選択の回数の回路テストの後の、障害を発生する回路を検出しなかったテスト応答に関連する前記辞書内のエントリの削除のうちの1つ又は複数を実行することによって、前記保管された情報を変更する動作を含む、方法。
  86. 請求項84に記載の方法であって、
    潜在的欠陥の存在と上記欠陥のタイプとを判定するために、前記回路テストのうちの1つに関連する失敗するテスト応答を、前記辞書に保管された前記回路テストに関連する失敗するテスト応答と比較するステップと、
    上記比較の結果を保管するステップと
    を更に含む、方法。
  87. 請求項86に記載の方法であって、前記比較によって識別されなかった種々雑多な潜在的欠陥に起因して障害を発生する集積回路を識別し、前記種々雑多な潜在的欠陥のうちの少なくとも1つをターゲットにするために欠陥抽出ルールの変更されたセットを用いて請求項75に記載の前記動作を繰り返す動作を含む、方法。
  88. 請求項86に記載の方法であって、
    種々雑多な潜在的欠陥を識別するために、前記比較によって識別されなかった前記種々雑多な潜在的欠陥を診断するステップと、
    前記識別された種々雑多な潜在的欠陥を含めるために前記辞書を変更するステップと
    を更に含む、方法。
  89. 請求項88に記載の方法であって、前記診断は、影響−原因ベースの診断手順を使用して実行される、方法。
  90. コンピュータに請求項75に記載の方法を実行させるコンピュータ実行可能命令を含む1つ又は複数の有形のコンピュータ可読媒体。
  91. 請求項75に記載の方法を実行するようにプログラムされた少なくとも1つのコンピュータ。
  92. 複数の集積回路のテストからのテスト結果情報を受け取るステップであって、前記テスト結果情報は、前記テスト中に適用された各々のテスト・パターンに関連する失敗するテスト応答を含む、ステップと、
    前記失敗するテスト応答のうちの1つ又は複数を引き起こした可能性がある潜在的欠陥を識別するために、前記テスト結果情報の少なくとも一部を診断するのに故障辞書を使用するステップと、
    前記故障辞書を使用して診断可能ではなかったテスト結果情報を診断するために増分診断手順又は増分シミュレーション手順のうちの少なくとも1つを使用するステップと
    を含む、コンピュータ実施される方法。
  93. 請求項92に記載の方法であって、前記故障辞書を使用して作られた診断結果から、前記潜在的欠陥のうちの1つ又は複数が集積回路障害を実際に引き起こした確率を判定するステップと、前記確率を報告するステップとを更に含む、方法。
  94. 請求項93に記載の方法であって、前記報告された確率に少なくとも部分的に基づいて、(a)1つ若しくは複数の設計製造ルールの調整、(b)1つ若しくは複数の欠陥抽出ルールの調整、又は(c)前記集積回路内の1つ若しくは複数の特徴の推奨される変更の提供のうちの1つ又は複数を実行するステップを更に含む、方法。
  95. 請求項92に記載の方法であって、前記故障辞書は、1つ又は複数の失敗するテスト応答を各々の潜在的欠陥に関連付けるのに1つ又は複数のビット・マスクを使用する圧縮された故障辞書である、方法。
  96. コンピュータに請求項92に記載の方法を実行させるコンピュータ実行可能命令を含む1つ又は複数の有形のコンピュータ可読媒体。
  97. 請求項92に記載の方法を実行するようにプログラムされた少なくとも1つのコンピュータ。
  98. 電子デバイス内での機能的使用のために設計された集積回路のテスト応答を処理することからの情報を受け取るステップであって、前記情報は、前記集積回路のテスト中に観察された集積回路障害と、前記集積回路障害を引き起こす可能な歩留り制限要因とを示す、ステップと、
    前記集積回路内の前記可能な歩留り制限要因のうちの1つ又は複数が前記集積回路障害を実際に引き起こした確率を判定するステップであって、前記受け取られた情報を統計的に分析するステップを含む、ステップと、
    1つ又は複数の可能な歩留り制限要因が前記集積回路障害を実際に引き起こした前記確率を報告するステップと
    を含む、コンピュータ実施される方法。
  99. 請求項98に記載の方法であって、受け取られた前記情報は、(a)診断結果、(b)歩留り制限要因の1つ若しくは複数のリスト、又は(c)前記テスト中の前記歩留り制限要因の検出に関する情報のうちの1つ又は複数を含む、方法。
  100. 請求項98に記載の方法であって、前記判定された確率に少なくとも部分的に基づいて、前記集積回路の歩留りの推定値を判定するステップを更に含む、方法。
  101. 請求項98に記載の方法であって、前記集積回路は、集積回路の第1セットを含み、前記方法は、前記判定された確率に少なくとも部分的に基づいて、集積回路の第2セットの歩留りの推定値を判定するステップを更に含む、方法。
  102. 請求項98に記載の方法であって、前記判定された確率に少なくとも部分的に基づいて、各々の可能な歩留り制限要因又は前記集積回路のエスケープ・レートの推定値を判定するステップを更に含む、方法。
  103. 請求項98に記載の方法であって、前記集積回路は、集積回路の第1セットを含み、前記方法は、前記判定された確率に少なくとも部分的に基づいて、集積回路の第2セットの可能な歩留り制限要因又は集積回路の前記第2セットのエスケープ・レートの推定値を判定するステップを更に含む、方法。
  104. 請求項98に記載の方法であって、前記集積回路は、1つ又は複数の設計製造ルールに従って設計され、前記方法は、前記設計製造ルールのうちの少なくとも1つに関する前記判定された確率に少なくとも部分的に基づいて、歩留り感度曲線を推定するステップを更に含む、方法。
  105. 請求項98に記載の方法であって、経時的に繰り返して実行され、前記方法は、経時的に観察された前記判定された確率の変化に基づいて生産傾向を判定するステップを更に含む、方法。
  106. 請求項98に記載の方法であって、前記報告された確率に少なくとも部分的に基づいて、1つ又は複数の設計製造ルールを調整するステップを更に含む、方法。
  107. 請求項98に記載の方法であって、前記報告された確率に少なくとも部分的に基づいて、前記集積回路の設計内の潜在的欠陥を識別するのに使用される1つ又は複数の欠陥抽出ルールを調整するステップを更に含む、方法。
  108. 請求項98に記載の方法であって、前記報告された確率に少なくとも部分的に基づいて、前記集積回路内の1つ又は複数の特徴の推奨される変更を提供するステップを更に含む、方法。
  109. 請求項108に記載の方法であって、変更された1つ又は複数の特徴を有する1つ又は複数の集積回路を作るステップを更に含む、方法。
  110. 請求項98に記載の方法であって、報告する前記動作は、前記確率のグラフィカル表現を生成するステップを含む、方法。
  111. 請求項110に記載の方法であって、前記グラフィカル表現は、パレート図を含む、方法。
  112. 請求項98に記載の方法であって、前記受け取られた情報は、被疑特徴のリストを含む、方法。
  113. 請求項112に記載の方法であって、被疑特徴の前記リストは、(a)少なくとも1つの圧縮された故障辞書又は(b)増分診断のうちの1つ又は複数を使用して生成される、方法。
  114. 請求項98に記載の方法であって、確率を判定する前記動作は、
    各々の可能な歩留り制限要因が、関連する各々の集積回路障害を引き起こした確率を推定するステップと、
    前記推定された確率を、前記各々の可能な歩留り制限要因が前記関連する障害を引き起こした実際の確率に関係付ける連立方程式を反復的に解くステップと
    を含む、方法。
  115. 請求項98に記載の方法であって、前記可能な歩留り制限要因は、(a)集積回路レイアウト内のネット、(b)前記集積回路レイアウト内の特徴、又は(c)前記集積回路の製造に関連する設計製造ルールのうちの少なくとも1つを含む、方法。
  116. 請求項98に記載の方法であって、テストされた前記集積回路は、共通の設計を有し、確率を判定する前記動作は、前記集積回路の前記設計を複数の設計ブロックに区分するステップを含み、各設計ブロックは、前記可能な歩留り制限要因の部分集合を含む、方法。
  117. 請求項116に記載の方法であって、区分する前記ステップは、
    前記集積回路の前記設計内のネットに関連する故障をシミュレートするステップと、
    少なくともネットの第1セットからの誤りを取り込む観察点の第1グループとネットの第2セットからの誤りを取り込む観察点の第2グループとを識別するステップと、
    第1設計ブロックにネットの前記第1セットを、第2設計ブロックにネットの前記第2セットを含めるステップと
    を含む、方法。
  118. 請求項116に記載の方法であって、確率を判定する前記動作は、
    設計ブロック障害確率を、歩留り制限要因が各々の設計ブロック内に含まれる障害確率に関係付ける確率モデルを構築するステップと、
    前記設計ブロック障害確率を前記受け取られた情報と比較するステップと、
    回帰技法を使用して、歩留り制限要因の推定された障害確率を計算するステップと
    を更に含む、方法。
  119. 請求項98に記載の方法であって、前記確率を判定する前記動作は、他のネットより実質的に高いレートで障害を発生するネットを識別するステップを更に含む、方法。
  120. 請求項119に記載の方法であって、前記確率を判定する前記動作は、他のネットより実質的に高いレートで障害を発生する前記ネットが、前記テストされた集積回路のインスタンスをそれぞれが含むダイの複数のインスタンスを含む複数のウェハの同一のダイ位置又はその付近で繰り返して発生するかどうかを判定するステップを更に含む、方法。
  121. 請求項119に記載の方法であって、他のネットより実質的に高いレートで障害を発生する前記ネットが同一のダイ位置又はその付近で繰り返して発生するかどうかを判定する前記動作は、ウェハ欠陥マップを生成するステップを含む、方法。
  122. 請求項98に記載の方法であって、前記テストは、その中で1つ又は複数の潜在的欠陥がビット・マスクの適用によって識別される故障辞書を使用して前記集積回路内の潜在的欠陥を識別するステップを含む、方法。
  123. コンピュータに請求項98に記載の方法を実行させるコンピュータ実行可能命令を含む1つ又は複数の有形のコンピュータ可読媒体。
  124. 請求項98に記載の方法を実行するようにプログラムされた少なくとも1つのコンピュータ。
  125. 集積回路のテスト応答を処理することからの情報を受け取るステップであって、前記情報は、前記集積回路のテスト中に観察された集積回路障害と、前記集積回路障害を引き起こした可能性がある潜在的欠陥とを示す、ステップと、
    前記受け取られた情報を分析することによって、前記潜在的欠陥が前記集積回路障害を実際に引き起こしている確率を判定するステップであって、前記分析することは、推定された確率を、各々の潜在的欠陥が関連する集積回路障害を引き起こした実際の確率に関係付ける連立方程式を反復的に解くステップを含む、ステップと、
    前記判定された確率を報告するステップと
    を含む、コンピュータ実施される方法。
  126. 請求項125に記載の方法であって、受け取られた前記情報は、(a)診断結果、(b)前記潜在的欠陥の1つ若しくは複数のリスト、又は(c)前記テスト中の前記潜在的欠陥の検出に関する情報のうちの1つ又は複数を含む、方法。
  127. 請求項125に記載の方法であって、前記判定された確率に少なくとも部分的に基づいて、前記集積回路の歩留りの推定値を判定するステップを更に含む、方法。
  128. 請求項125に記載の方法であって、前記集積回路は、集積回路の第1セットを含み、前記方法は、前記判定された確率に少なくとも部分的に基づいて、集積回路の第2セットの歩留りの推定値を判定するステップを更に含む、方法。
  129. 請求項125に記載の方法であって、前記判定された確率に少なくとも部分的に基づいて、各々の潜在的欠陥又は前記集積回路のエスケープ・レートの推定値を判定するステップを更に含む、方法。
  130. 請求項125に記載の方法であって、前記集積回路は、集積回路の第1セットを含み、前記方法は、前記判定された確率に少なくとも部分的に基づいて、集積回路の第2のセットの潜在的欠陥又は集積回路の前記第2セットのエスケープ・レートの推定値を判定するステップを更に含む、方法。
  131. 請求項125に記載の方法であって、前記集積回路は、1つ又は複数の設計製造ルールに従って設計され、前記方法は、前記判定された確率に少なくとも部分的に基づいて前記設計製造ルールのうちの少なくとも1つの歩留り感度曲線を推定するステップを更に含む、方法。
  132. 請求項125に記載の方法であって、経時的に繰り返して実行され、前記方法は、経時的に観察された前記判定された確率の変化に基づいて生産傾向を判定するステップを更に含む、方法。
  133. 請求項125に記載の方法であって、前記報告された確率に少なくとも部分的に基づいて、(a)1つ若しくは複数の設計製造ルールの調整、(b)1つ若しくは複数の欠陥抽出ルールの調整、又は(c)前記集積回路内の1つ若しくは複数の特徴の推奨される変更の提供のうちの1つ又は複数を実行するステップを更に含む、方法。
  134. 請求項133に記載の方法であって、前記集積回路内の1つ又は複数の特徴は、前記報告された確率に少なくとも部分的に基づいて変更され、前記方法は、前記変更された1つ又は複数の特徴を有する1つ又は複数の集積回路を作るステップを更に含む、方法。
  135. 請求項125に記載の方法であって、報告する前記動作は、前記確率のグラフィカル表現を生成するステップを含む、方法。
  136. 請求項125に記載の方法であって、テストされた前記集積回路は、共通の設計を有し、前記確率を判定する前記動作は、前記集積回路の前記設計を複数の設計ブロックに区分するステップを含み、各設計ブロックは、前記潜在的欠陥の部分集合を含む、方法。
  137. 請求項136に記載の方法であって、区分する前記ステップは、
    前記集積回路の前記設計内のネットに関連する故障をシミュレートするステップと、
    少なくともネットの第1セットからの誤りを取り込む観察点の第1グループとネットの第2セットからの誤りを取り込む観察点の第2グループとを識別するステップと、
    第1設計ブロック内にネットの前記第1セットを、第2設計ブロック内にネットの前記第2セットを含めるステップと
    を含む、方法。
  138. 請求項136に記載の方法であって、確率を判定する前記動作は、
    設計ブロック障害確率を、各々の設計ブロックに含まれる潜在的欠陥の障害確率に関係付ける確率モデルを構築するステップと、
    前記設計ブロック障害確率を前記受け取られた情報と比較するステップと、
    回帰技法を使用して、前記潜在的欠陥の推定された障害確率を計算するステップと
    を更に含む、方法。
  139. 請求項125に記載の方法であって、診断情報は、更に、各々の潜在的欠陥に関連する次のプロパティ即ち、
    前記各々の潜在的欠陥を他の潜在的欠陥から区別する欠陥識別子と、
    前記各々の潜在的欠陥を抽出するのに使用された欠陥抽出ルールを識別する被導出ルール識別子と、
    前記欠陥抽出ルールがそれに基づいた製造ルールを識別する設計製造ルール識別子と、
    前記各々の潜在的欠陥の1つ又は複数の物理的プロパティと、
    集積回路設計の物理的レイアウト内の前記各々の潜在的欠陥の物理的位置と、
    潜在的欠陥の同一のクラス内の他の潜在的欠陥に対する相対的な前記各々の潜在的欠陥のランキングと
    のうちの1つ又は複数を更に示す、方法。
  140. コンピュータに請求項125に記載の方法を実行させるコンピュータ実行可能命令を含む1つ又は複数の有形のコンピュータ可読媒体。
  141. 請求項125に記載の方法を実行するようにプログラムされた少なくとも1つのコンピュータ。
  142. 複数の集積回路のテスト中に観察された集積回路障害と、前記集積回路障害を引き起こした可能性がある潜在的欠陥とを表す情報を受け取るステップであって、前記潜在的欠陥は、設計製造ルールから導出された抽出ルールを使用して抽出され、テストに関してターゲットにされる、ステップと、
    前記潜在的欠陥のうちの1つ又は複数に関連する1つ又は複数の障害レートを判定するために前記情報を分析するステップと、
    前記判定された障害レートを報告するステップと
    を含む、コンピュータ実施される方法。
  143. 請求項142に記載の方法であって、受け取られた前記情報は、(a)診断結果、(b)前記潜在的欠陥の1つ若しくは複数のリスト、又は(c)前記テスト中の前記潜在的欠陥の検出に関する情報のうちの1つ又は複数を含む、方法。
  144. 請求項142に記載の方法であって、前記判定された障害レートに少なくとも部分的に基づいて前記集積回路の歩留りの推定値を判定するステップを更に含む、方法。
  145. 請求項142に記載の方法であって、前記集積回路は、集積回路の第1セットを含み、前記方法は、前記判定された障害レートに少なくとも部分的に基づいて集積回路の第2セットの歩留りの推定値を判定するステップを更に含む、方法。
  146. 請求項142に記載の方法であって、前記判定された障害レートに少なくとも部分的に基づいて各々の潜在的欠陥又は前記集積回路のエスケープ・レートの推定値を判定するステップを更に含む、方法。
  147. 請求項142に記載の方法であって、前記集積回路は、集積回路の第1セットを含み、前記方法は、前記判定された障害レートに少なくとも部分的に基づいて集積回路の第2セットの潜在的欠陥又は集積回路の前記第2セットのエスケープ・レートの推定値を判定するステップを更に含む、方法。
  148. 請求項142に記載の方法であって、前記判定された障害レートに少なくとも部分的に基づいて前記設計製造ルールのうちの少なくとも1つの歩留り感度曲線を推定するステップを更に含む、方法。
  149. 請求項142に記載の方法であって、経時的に繰り返して実行され、前記方法は、経時的に観察された判定された確率の変化に基づいて生産傾向を判定するステップを更に含む、方法。
  150. 請求項142に記載の方法であって、報告される確率に少なくとも部分的に基づいて、(a)1つ若しくは複数の設計製造ルールの調整、(b)1つ若しくは複数の欠陥抽出ルールの調整、又は(c)前記集積回路内の1つ若しくは複数の特徴の推奨される変更の提供のうちの1つ又は複数を実行するステップを更に含む、方法。
  151. 請求項150に記載の方法であって、前記集積回路内の1つ又は複数の特徴は、前記報告される確率に少なくとも部分的に基づいて変更され、前記方法は、前記変更された1つ又は複数の特徴を有する1つ又は複数の集積回路を作るステップを更に含む、方法。
  152. 請求項142に記載の方法であって、診断結果は、少なくとも1つの故障辞書の適用を介して入手される、方法。
  153. 請求項152に記載の方法であって、前記少なくとも1つの故障辞書は、潜在的欠陥を識別するのに1つ又は複数のビット・マスクを使用する圧縮された故障辞書を含む、方法。
  154. 請求項142に記載の方法であって、分析する前記ステップは、
    特徴障害レートに関連する確率モデルを構築するステップと、
    前記構築された確率モデルを受け取られる診断結果に関係付けるステップと、
    回帰分析を使用して推定された特徴障害レートを計算するステップと
    を含む、方法。
  155. コンピュータに請求項142に記載の方法を実行させるコンピュータ実行可能命令を含む1つ又は複数の有形のコンピュータ可読媒体。
  156. 請求項142に記載の方法を実行するようにプログラムされた少なくとも1つのコンピュータ。
JP2007530502A 2004-09-06 2005-09-06 集積回路の歩留り及び品質の分析の方法及びシステム Active JP4955559B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US60772804P 2004-09-06 2004-09-06
US60/607,728 2004-09-06
PCT/US2005/032040 WO2006029284A2 (en) 2004-09-06 2005-09-06 Integrated circuit yield and quality analysis methods and systems

Publications (3)

Publication Number Publication Date
JP2008516305A true JP2008516305A (ja) 2008-05-15
JP2008516305A5 JP2008516305A5 (ja) 2008-10-16
JP4955559B2 JP4955559B2 (ja) 2012-06-20

Family

ID=36037004

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007530502A Active JP4955559B2 (ja) 2004-09-06 2005-09-06 集積回路の歩留り及び品質の分析の方法及びシステム

Country Status (5)

Country Link
US (4) US7987442B2 (ja)
EP (2) EP1792194A4 (ja)
JP (1) JP4955559B2 (ja)
TW (1) TW200622275A (ja)
WO (1) WO2006029284A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102042936B1 (ko) * 2018-12-07 2019-11-08 파워테크 테크놀로지 인코포레이티드 자동 테스트 장비의 비정상 테스트 신호 채널을 검출하는 방법
KR20210047286A (ko) * 2020-08-31 2021-04-29 베이징 바이두 넷컴 사이언스 앤 테크놀로지 코., 엘티디. 칩을 검증하는 방법, 장치, 전자 기기, 저장 매체 및 프로그램

Families Citing this family (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3266990A (en) * 1963-09-24 1966-08-16 Warner Lambert Pharmaceutical Derivatives of quinazoline
TW200622275A (en) 2004-09-06 2006-07-01 Mentor Graphics Corp Integrated circuit yield and quality analysis methods and systems
WO2006039625A2 (en) * 2004-10-01 2006-04-13 Mentor Graphics Corporation Feature failure correlation
US7310788B2 (en) * 2005-02-24 2007-12-18 International Business Machines Corporation Sample probability of fault function determination using critical defect size map
US7509551B2 (en) 2005-08-01 2009-03-24 Bernd Koenemann Direct logic diagnostics with signature-based fault dictionaries
US7971119B2 (en) * 2005-09-29 2011-06-28 aiwan Semiconductor Manufacturing Company, Ltd. System and method for defect-based scan analysis
GB0521625D0 (en) * 2005-10-24 2005-11-30 Ricardo Uk Ltd A method of modelling the effect of a fault on the behaviour of a system
US7428715B2 (en) * 2005-10-27 2008-09-23 International Business Machines Corporation Hole query for functional coverage analysis
EP1946132B1 (en) * 2005-11-04 2010-04-14 Nxp B.V. Integrated circuit test method and test apparatus
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7305325B2 (en) * 2006-01-12 2007-12-04 International Business Machines Corporation Method to improve requirements, design manufacturing, and transportation in mass manufacturing industries through analysis of defect data
JP4746432B2 (ja) * 2006-01-12 2011-08-10 株式会社東芝 故障リスト及びテストパターン作成装置、故障リスト及びテストパターン作成方法、故障リスト作成及び故障検出率算出装置、及び故障リスト作成及び故障検出率算出方法
US8000826B2 (en) * 2006-01-24 2011-08-16 Synopsys, Inc. Predicting IC manufacturing yield by considering both systematic and random intra-die process variations
US20070204192A1 (en) * 2006-02-27 2007-08-30 Jia-Siang Yeh Method for detecting defects of a chip
WO2007109322A2 (en) * 2006-03-20 2007-09-27 Mentor Graphics Corporation Speeding up defect diagnosis techniques
US8626460B2 (en) * 2006-03-31 2014-01-07 Teseda Corporation Secure test-for-yield chip diagnostics management system and method
US7487479B1 (en) * 2006-07-06 2009-02-03 Sun Microsystems, Inc. Systematic approach for applying recommended rules on a circuit layout
US8453026B2 (en) * 2006-10-13 2013-05-28 Advantest (Singapore) Pte Ltd Process for improving design limited yield by efficiently capturing and storing production test data for analysis using checksums, hash values, or digital fault signatures
US8615691B2 (en) * 2006-10-13 2013-12-24 Advantest (Singapore) Pte Ltd Process for improving design-limited yield by localizing potential faults from production test data
US7599898B2 (en) * 2006-10-17 2009-10-06 International Business Machines Corporation Method and apparatus for improved regression modeling
US7836366B2 (en) * 2006-11-10 2010-11-16 Mentor Graphics Corporation Defect localization based on defective cell diagnosis
JP4805792B2 (ja) * 2006-11-21 2011-11-02 株式会社東芝 遅延故障テスト品質算出装置、遅延故障テスト品質算出方法、及び遅延故障テストパターン発生装置
US20080235497A1 (en) * 2006-11-26 2008-09-25 Tomblin Jimmy J Parallel Data Output
WO2008078529A1 (ja) * 2006-12-26 2008-07-03 Advantest Corporation 試験装置および試験方法
US8194968B2 (en) 2007-01-05 2012-06-05 Kla-Tencor Corp. Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US7831863B2 (en) * 2007-01-11 2010-11-09 International Business Machines Corporation Method for enhancing the diagnostic accuracy of a VLSI chip
US7574682B2 (en) * 2007-02-28 2009-08-11 Freescale Semiconductor, Inc. Yield analysis and improvement using electrical sensitivity extraction
US8615695B2 (en) 2007-04-04 2013-12-24 Mentor Graphics Corporation Fault dictionary-based scan chain failure diagnosis
US7921381B2 (en) * 2007-04-26 2011-04-05 Verigy (Singapore) Pte. Ltd. Method and apparatus for displaying test data
US7949948B2 (en) * 2007-05-09 2011-05-24 Microsoft Corporation Constraint and rule-based page layout
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
TWI469235B (zh) * 2007-08-20 2015-01-11 Kla Tencor Corp 決定實際缺陷是潛在系統性缺陷或潛在隨機缺陷之由電腦實施之方法
US7853848B2 (en) 2007-10-22 2010-12-14 International Business Machines Corporation System and method for signature-based systematic condition detection and analysis
US20090287438A1 (en) * 2007-12-14 2009-11-19 Wu-Tung Cheng Increased Fault Diagnosis Throughput Using Dictionaries For Hyperactive Faults
US7865849B2 (en) * 2008-02-15 2011-01-04 Texas Instruments Incorporated System and method for estimating test escapes in integrated circuits
US20100023905A1 (en) * 2008-02-20 2010-01-28 Pikus Fedor G Critical Area Deterministic Sampling
US10198548B2 (en) * 2008-02-21 2019-02-05 Mentor Graphics Corporation Identifying the defective layer of a yield excursion through the statistical analysis of scan diagnosis results
DE102008011103B4 (de) * 2008-02-26 2010-04-29 Qimonda Ag Vorrichtung und Verfahren zum Testen elektronischer Bauelemente
US7971176B2 (en) * 2008-03-18 2011-06-28 International Business Machines Corporation Method for testing integrated circuits
US7793238B1 (en) * 2008-03-24 2010-09-07 Xilinx, Inc. Method and apparatus for improving a circuit layout using a hierarchical layout description
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
KR101623747B1 (ko) 2008-07-28 2016-05-26 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US8707236B2 (en) * 2008-12-31 2014-04-22 Stmicroelectronics, Inc. Semiconductor device with integrated delay chain
US20100174957A1 (en) * 2009-01-08 2010-07-08 International Business Machines Corporation Correlation and overlay of large design physical partitions and embedded macros to detect in-line defects
US8065651B2 (en) * 2009-01-29 2011-11-22 Synopsys, Inc. Implementing hierarchical design-for-test logic for modular circuit design
US8082535B1 (en) * 2009-02-03 2011-12-20 Xilinx, Inc. Method and apparatus for testing programmable integrated circuits
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8516399B2 (en) * 2009-02-18 2013-08-20 Mentor Graphics Corporation Collaborative environment for physical verification of microdevice designs
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US9253505B2 (en) * 2009-04-08 2016-02-02 Newrow, Inc. System and method for image compression
US8774534B2 (en) * 2009-04-08 2014-07-08 Watchitoo, Inc. System and method for image compression
US20110047519A1 (en) 2009-05-11 2011-02-24 Juan Andres Torres Robles Layout Content Analysis for Source Mask Optimization Acceleration
US20110145772A1 (en) * 2009-05-14 2011-06-16 Pikus Fedor G Modular Platform For Integrated Circuit Design Analysis And Verification
US8527911B1 (en) 2009-06-09 2013-09-03 Jasper Design Automation, Inc. Comprehending a circuit design
US8683456B2 (en) * 2009-07-13 2014-03-25 Apple Inc. Test partitioning for a non-volatile memory
US8660818B2 (en) * 2009-08-11 2014-02-25 Synopsys, Inc. Systemic diagnostics for increasing wafer yield
US8299446B2 (en) * 2009-08-12 2012-10-30 Ultratech, Inc. Sub-field enhanced global alignment
US8381050B2 (en) * 2009-11-25 2013-02-19 International Business Machines Corporation Method and apparatus for increased effectiveness of delay and transition fault testing
US8566059B2 (en) * 2009-12-08 2013-10-22 International Business Machines Corporation Insertion of faults in logic model used in simulation
US8141027B2 (en) * 2010-01-05 2012-03-20 International Business Machines Corporation Automated sensitivity definition and calibration for design for manufacturing tools
US8775979B2 (en) * 2010-01-30 2014-07-08 Synopsys. Inc. Failure analysis using design rules
US8645776B2 (en) * 2010-03-24 2014-02-04 Apple Inc. Run-time testing of memory locations in a non-volatile memory
US8650446B2 (en) * 2010-03-24 2014-02-11 Apple Inc. Management of a non-volatile memory based on test quality
JPWO2011148719A1 (ja) * 2010-05-28 2013-07-25 日本電気株式会社 情報処理装置、gui操作支援方法およびgui操作支援プログラム
JP5728839B2 (ja) * 2010-07-06 2015-06-03 富士通株式会社 故障診断方法、装置及びプログラム
US8751903B2 (en) 2010-07-26 2014-06-10 Apple Inc. Methods and systems for monitoring write operations of non-volatile memory
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8347260B2 (en) * 2010-09-13 2013-01-01 International Business Machines Corporation Method of designing an integrated circuit based on a combination of manufacturability, test coverage and, optionally, diagnostic coverage
US9659136B2 (en) 2010-09-27 2017-05-23 Teseda Corporation Suspect logical region synthesis from device design and test information
JP5614297B2 (ja) * 2011-01-18 2014-10-29 富士通株式会社 指標算出プログラム及び方法並びに設計支援装置
US8656323B2 (en) * 2011-02-22 2014-02-18 Kla-Tencor Corporation Based device risk assessment
US9222978B2 (en) 2011-03-09 2015-12-29 Mentor Graphics Corporation Two-dimensional scan architecture
JP2012199338A (ja) * 2011-03-18 2012-10-18 Fujitsu Ltd 故障診断支援方法、プログラム及び装置
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US8930782B2 (en) * 2011-05-16 2015-01-06 Mentor Graphics Corporation Root cause distribution determination based on layout aware scan diagnosis results
US8423923B2 (en) 2011-07-20 2013-04-16 United Microelectronics Corp. Optical proximity correction method
US8810785B2 (en) 2011-08-26 2014-08-19 United Microelectronics Corp. Mask inspecting method
US8907697B2 (en) 2011-08-31 2014-12-09 Teseda Corporation Electrical characterization for a semiconductor device pin
US9939488B2 (en) 2011-08-31 2018-04-10 Teseda Corporation Field triage of EOS failures in semiconductor devices
US8412991B2 (en) 2011-09-02 2013-04-02 Teseda Corporation Scan chain fault diagnosis
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8572527B1 (en) 2011-09-13 2013-10-29 Jasper Design Automation, Inc. Generating properties for circuit designs
US9057764B2 (en) * 2011-10-27 2015-06-16 International Business Machines Corporation Detection of unchecked signals in circuit design verification
CN103164552B (zh) * 2011-12-13 2015-08-05 中芯国际集成电路制造(上海)有限公司 芯片版图的检测方法
US8464194B1 (en) * 2011-12-16 2013-06-11 International Business Machines Corporation Machine learning approach to correct lithographic hot-spots
US8539421B2 (en) * 2011-12-16 2013-09-17 International Business Machines Corporaton Layout-specific classification and prioritization of recommended rules violations
US8486587B2 (en) 2011-12-20 2013-07-16 United Microelectronics Corp. Method for correcting layout pattern and method for manufacturing photomask
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8525546B1 (en) 2012-03-08 2013-09-03 International Business Machines Corporation Majority dominant power scheme for repeated structures and structures thereof
US8739092B1 (en) * 2012-04-25 2014-05-27 Jasper Design Automation, Inc. Functional property ranking
US9229446B2 (en) * 2012-05-08 2016-01-05 International Business Machines Corporation Production line quality processes
US8962221B2 (en) 2012-05-14 2015-02-24 United Microelectronics Corp. Mask and method of forming pattern by using the same
US8829610B2 (en) 2012-05-15 2014-09-09 United Microelectronics Corp. Method for forming semiconductor layout patterns, semiconductor layout patterns, and semiconductor structure
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US8806391B2 (en) 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
CN103049346B (zh) * 2012-12-11 2015-03-18 工业和信息化部电子第五研究所 基于失效物理的元器件故障树构建方法和系统
GB2508858A (en) * 2012-12-13 2014-06-18 Ibm Using environmental signatures for test scheduling
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US8741507B1 (en) 2013-01-16 2014-06-03 United Microelectronics Corp. Method for separating photomask pattern
US8701052B1 (en) 2013-01-23 2014-04-15 United Microelectronics Corp. Method of optical proximity correction in combination with double patterning technique
US8627242B1 (en) 2013-01-30 2014-01-07 United Microelectronics Corp. Method for making photomask layout
CN103267942B (zh) * 2013-02-01 2015-05-27 电子科技大学 一种模拟电路的故障检测方法
KR102019534B1 (ko) 2013-02-01 2019-09-09 케이엘에이 코포레이션 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출
US8661372B1 (en) 2013-02-04 2014-02-25 United Microelectronics Corp. Optical proximity correction method
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US8977988B2 (en) 2013-04-09 2015-03-10 United Microelectronics Corp. Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
US9057765B2 (en) 2013-04-12 2015-06-16 International Business Machines Corporation Scan compression ratio based on fault density
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US9009633B2 (en) 2013-05-06 2015-04-14 United Microelectronics Corp. Method of correcting assist feature
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US8745547B1 (en) * 2013-07-11 2014-06-03 United Microelectronics Corp. Method for making photomask layout
CN104424137B (zh) 2013-09-10 2017-12-29 英业达科技有限公司 服务器单元与虚拟媒体装置及其存取方法数据
TWI474190B (zh) * 2013-09-25 2015-02-21 Inventec Corp 伺服器系統之虛擬媒體裝置和其資料存取方法
US8930858B1 (en) 2013-11-27 2015-01-06 United Microelectronics Corp. Method for optical proximity correction
US10627723B2 (en) 2013-12-17 2020-04-21 Asml Netherlands B.V. Yield estimation and control
US9218447B2 (en) 2014-01-10 2015-12-22 International Business Machines Corporation Automatic test pattern generation (ATPG) considering crosstalk effects
US9811617B2 (en) 2014-01-30 2017-11-07 Mentor Graphics Corporation Regression nearest neighbor analysis for statistical functional coverage
US9824169B2 (en) * 2014-01-30 2017-11-21 Mentor Graphics Corporation Regression signature for statistical functional coverage
US20150286763A1 (en) * 2014-04-02 2015-10-08 Globalfoundries Inc. Pattern matching for predicting defect limited yield
US9401016B2 (en) * 2014-05-12 2016-07-26 Kla-Tencor Corp. Using high resolution full die image data for inspection
US9230050B1 (en) 2014-09-11 2016-01-05 The United States Of America, As Represented By The Secretary Of The Air Force System and method for identifying electrical properties of integrate circuits
US10514614B2 (en) * 2015-02-13 2019-12-24 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
US10078720B2 (en) * 2015-03-13 2018-09-18 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for circuit fault diagnosis
JP6390518B2 (ja) * 2015-05-29 2018-09-19 京セラドキュメントソリューションズ株式会社 情報処理装置
US10228678B2 (en) 2015-07-22 2019-03-12 Tokyo Electron Limited Tool failure analysis using space-distorted similarity
JP2017038200A (ja) * 2015-08-10 2017-02-16 ルネサスエレクトロニクス株式会社 半導体装置及び故障検出方法
US10338574B2 (en) * 2015-09-21 2019-07-02 Raytheon Company System and method for identifying manufactured parts
US9401222B1 (en) * 2015-11-23 2016-07-26 International Business Machines Corporation Determining categories for memory fail conditions
US9552449B1 (en) * 2016-01-13 2017-01-24 International Business Machines Corporation Dynamic fault model generation for diagnostics simulation and pattern generation
KR102424369B1 (ko) * 2016-01-20 2022-07-22 삼성전자주식회사 시뮬레이션 시간을 단축할 수 있는 반도체 집적 회로의 신뢰성 불량률 예측 방법 및 그 장치
US10180457B1 (en) * 2016-03-04 2019-01-15 Cadence Design Systems, Inc. System and method performing scan chain diagnosis of an electronic design
US10102090B2 (en) 2016-05-16 2018-10-16 International Business Machines Corporation Non-destructive analysis to determine use history of processor
US10346556B2 (en) * 2016-05-25 2019-07-09 Hexagon Technolgy Center GmbH Validation of multi-component design constraints for capital project design system
US10042973B2 (en) * 2016-09-30 2018-08-07 Globalfoundries Inc. Expansion of allowed design rule space by waiving benign geometries
US10247777B1 (en) 2016-11-10 2019-04-02 Teseda Corporation Detecting and locating shoot-through timing failures in a semiconductor integrated circuit
US10191112B2 (en) * 2016-11-18 2019-01-29 Globalfoundries Inc. Early development of a database of fail signatures for systematic defects in integrated circuit (IC) chips
US10191107B2 (en) 2017-02-23 2019-01-29 Globalfoundries Inc. Ranking defects with yield impacts
US10795751B2 (en) * 2017-03-03 2020-10-06 Mentor Graphics Corporation Cell-aware diagnostic pattern generation for logic diagnosis
US10372853B2 (en) 2017-03-20 2019-08-06 International Business Machines Corporation Implementing enhanced diagnostics with intelligent pattern combination in automatic test pattern generation (ATPG)
TWI606531B (zh) 2017-03-30 2017-11-21 義守大學 適用於三維晶片的缺陷測試方法及系統
US11003737B2 (en) * 2017-04-12 2021-05-11 Samsung Electronics Co., Ltd. Generic high-dimensional importance sampling methodology
CN107256299A (zh) * 2017-06-02 2017-10-17 上海望友信息科技有限公司 集成电路设计的可制造性评分方法、装置、介质及设备
EP3655825B1 (en) 2017-07-21 2023-11-22 Johnson Controls Tyco IP Holdings LLP Building management system with dynamic rules with sub-rule reuse and equation driven smart diagnostics
US11947489B2 (en) 2017-09-05 2024-04-02 Robin Systems, Inc. Creating snapshots of a storage volume in a distributed storage system
US10628544B2 (en) * 2017-09-25 2020-04-21 International Business Machines Corporation Optimizing integrated circuit designs based on interactions between multiple integration design rules
US11276098B2 (en) * 2017-10-25 2022-03-15 Xilinx, Inc. Database lookup using a scannable code for part selection
US10073763B1 (en) * 2017-12-27 2018-09-11 Accenture Global Solutions Limited Touchless testing platform
US11099937B2 (en) 2018-01-11 2021-08-24 Robin Systems, Inc. Implementing clone snapshots in a distributed storage system
US11392363B2 (en) 2018-01-11 2022-07-19 Robin Systems, Inc. Implementing application entrypoints with containers of a bundled application
US11748203B2 (en) 2018-01-11 2023-09-05 Robin Systems, Inc. Multi-role application orchestration in a distributed storage system
US11582168B2 (en) 2018-01-11 2023-02-14 Robin Systems, Inc. Fenced clone applications
US11368158B2 (en) * 2018-06-26 2022-06-21 Intel Corporation Methods for handling integrated circuit dies with defects
US11023328B2 (en) 2018-07-30 2021-06-01 Robin Systems, Inc. Redo log for append only storage scheme
US10976938B2 (en) 2018-07-30 2021-04-13 Robin Systems, Inc. Block map cache
US11087065B2 (en) * 2018-09-26 2021-08-10 Asml Netherlands B.V. Method of manufacturing devices
CN110968985B (zh) * 2018-09-30 2022-05-13 长鑫存储技术有限公司 集成电路修补算法确定方法及装置、存储介质、电子设备
US10754310B2 (en) * 2018-10-18 2020-08-25 International Business Machines Corporation Incorporating change diagnosis using probabilistic tensor regression model for improving processing of materials
US11036439B2 (en) * 2018-10-22 2021-06-15 Robin Systems, Inc. Automated management of bundled applications
US11538237B2 (en) * 2019-01-15 2022-12-27 Accenture Global Solutions Limited Utilizing artificial intelligence to generate and update a root cause analysis classification model
US12001973B2 (en) * 2019-03-22 2024-06-04 Siemens Industry Software Inc. Machine learning-based adjustments in volume diagnosis procedures for determination of root cause distributions
US11086725B2 (en) 2019-03-25 2021-08-10 Robin Systems, Inc. Orchestration of heterogeneous multi-role applications
US11256434B2 (en) 2019-04-17 2022-02-22 Robin Systems, Inc. Data de-duplication
US11151021B2 (en) * 2019-05-13 2021-10-19 International Business Machines Corporation Selecting test-templates using template-aware coverage data
US10852351B1 (en) 2019-05-30 2020-12-01 International Business Machines Corporation Iterative approach to determine failure threshold associated with desired circuit yield in integrated circuits
US20210042644A1 (en) * 2019-08-07 2021-02-11 Carnegie Mellon University Integrated circuit defect diagnosis using machine learning
US11226847B2 (en) 2019-08-29 2022-01-18 Robin Systems, Inc. Implementing an application manifest in a node-specific manner using an intent-based orchestrator
NL2023751B1 (en) * 2019-09-03 2021-05-12 Univ Delft Tech Device Aware Test for Memory Units
US11249851B2 (en) 2019-09-05 2022-02-15 Robin Systems, Inc. Creating snapshots of a storage volume in a distributed storage system
US11520650B2 (en) 2019-09-05 2022-12-06 Robin Systems, Inc. Performing root cause analysis in a multi-role application
US11113158B2 (en) 2019-10-04 2021-09-07 Robin Systems, Inc. Rolling back kubernetes applications
US11347684B2 (en) 2019-10-04 2022-05-31 Robin Systems, Inc. Rolling back KUBERNETES applications including custom resources
US11403188B2 (en) 2019-12-04 2022-08-02 Robin Systems, Inc. Operation-level consistency points and rollback
US11443092B2 (en) * 2020-05-11 2022-09-13 Synopsys, Inc. Defect weight formulas for analog defect simulation
US11108638B1 (en) * 2020-06-08 2021-08-31 Robin Systems, Inc. Health monitoring of automatically deployed and managed network pipelines
US11528186B2 (en) 2020-06-16 2022-12-13 Robin Systems, Inc. Automated initialization of bare metal servers
US11042679B1 (en) * 2020-08-31 2021-06-22 Siemens Industry Software Inc. Diagnosis resolution prediction
US11740980B2 (en) 2020-09-22 2023-08-29 Robin Systems, Inc. Managing snapshot metadata following backup
US11743188B2 (en) 2020-10-01 2023-08-29 Robin Systems, Inc. Check-in monitoring for workflows
US11456914B2 (en) 2020-10-07 2022-09-27 Robin Systems, Inc. Implementing affinity and anti-affinity with KUBERNETES
US11271895B1 (en) 2020-10-07 2022-03-08 Robin Systems, Inc. Implementing advanced networking capabilities using helm charts
CN112415301B (zh) * 2020-10-27 2022-07-15 成都飞机工业(集团)有限责任公司 一种电子产品测试过程结构化描述方法
US11750451B2 (en) 2020-11-04 2023-09-05 Robin Systems, Inc. Batch manager for complex workflows
CN112399466B (zh) * 2020-11-12 2024-02-09 国网江苏省电力有限公司信息通信分公司 一种基于领域规则库的通信规则缺陷的分析方法
US11556361B2 (en) 2020-12-09 2023-01-17 Robin Systems, Inc. Monitoring and managing of complex multi-role applications
CN115223878A (zh) * 2021-04-15 2022-10-21 长鑫存储技术有限公司 失效位置的修补方法和装置
US11579194B1 (en) * 2021-06-09 2023-02-14 Cadence Design Systems, Inc. Utilizing single cycle ATPG test patterns to detect multicycle cell-aware defects
CN115219884B (zh) * 2022-09-20 2022-12-13 北京象帝先计算技术有限公司 芯片分析方法、装置、电子设备及存储介质
KR102647936B1 (ko) * 2023-09-26 2024-03-15 주식회사 퓨어스마트 Ic 칩에 대한 고장 판단 방법 및 이러한 방법을 수행하는 ic 칩 인코딩 장치
CN117929418B (zh) * 2024-03-22 2024-06-07 西安源易通电子科技有限公司 一种集成电路缺陷检测方法及系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03120485A (ja) * 1989-10-03 1991-05-22 Hitachi Ltd 半導体集積回路の故障個所推定方式
JP2004031891A (ja) * 2001-12-26 2004-01-29 Toshiba Corp 半導体設計/製造システム、半導体設計/製造方法、及び半導体設計/製造プログラム

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4228537A (en) 1978-08-29 1980-10-14 Genrad, Inc. Method of and apparatus for automatic fault diagnosis of electrical circuits employing on-line simulation of faults in such circuits during diagnosis
US5475695A (en) * 1993-03-19 1995-12-12 Semiconductor Diagnosis & Test Corporation Automatic failure analysis system
US5515384A (en) 1994-03-01 1996-05-07 International Business Machines Corporation Method and system of fault diagnosis of application specific electronic circuits
DE19536203A1 (de) * 1994-09-28 1996-04-11 Nec Corp Fehlerdiagnoseverfahren für eine sequentielle Schaltung
US5991699A (en) * 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US5539752A (en) * 1995-06-30 1996-07-23 Advanced Micro Devices, Inc. Method and system for automated analysis of semiconductor defect data
US5726996A (en) * 1995-09-18 1998-03-10 Nec Usa, Inc. Process for dynamic composition and test cycles reduction
US5777901A (en) * 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5663967A (en) 1995-10-19 1997-09-02 Lsi Logic Corporation Defect isolation using scan-path testing and electron beam probing in multi-level high density asics
US5737340A (en) * 1996-07-01 1998-04-07 Mentor Graphics Corporation Multi-phase test point insertion for built-in self test of integrated circuits
US5831996A (en) * 1996-10-10 1998-11-03 Lucent Technologies Inc. Digital circuit test generator
US6202181B1 (en) 1996-11-04 2001-03-13 The Regents Of The University Of California Method for diagnosing bridging faults in integrated circuits
US5896401A (en) * 1997-04-15 1999-04-20 Lucent Technologies Inc. Fault simulator for digital circuitry
US6066179A (en) * 1997-06-13 2000-05-23 University Of Edinburgh Property estimation of an integrated circuit
US6393602B1 (en) * 1998-10-21 2002-05-21 Texas Instruments Incorporated Method of a comprehensive sequential analysis of the yield losses of semiconductor wafers
JP3813757B2 (ja) * 1999-03-23 2006-08-23 株式会社東芝 重み付き故障検出率評価装置
US6449749B1 (en) * 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
JP2001208803A (ja) * 2000-01-24 2001-08-03 Advantest Corp 半導体集積回路の故障シミュレーション方法および故障シミュレータ
US6611729B1 (en) * 2000-03-07 2003-08-26 Agere Systems Inc. System and method for introducing multiple component-type factors into an integrated circuit yield prediction
US6701477B1 (en) * 2000-06-09 2004-03-02 Hueristics Physics Laboratories Method for identifying the cause of yield loss in integrated circuit manufacture
US6536007B1 (en) * 2000-06-30 2003-03-18 Intel Corporation Models and technique for automated fault isolation of open defects in logic
US20020093356A1 (en) * 2000-11-30 2002-07-18 Williams Thomas W. Intelligent test vector formatting to reduce test vector size and allow encryption thereof for integrated circuit testing
US6557132B2 (en) 2001-02-22 2003-04-29 International Business Machines Corporation Method and system for determining common failure modes for integrated circuits
US6816997B2 (en) 2001-03-20 2004-11-09 Cheehoe Teh System and method for performing design rule check
US6721914B2 (en) * 2001-04-06 2004-04-13 International Business Machines Corporation Diagnosis of combinational logic circuit failures
US6675323B2 (en) * 2001-09-05 2004-01-06 International Business Machines Corporation Incremental fault dictionary
JP3615182B2 (ja) 2001-11-26 2005-01-26 株式会社東芝 光近接効果補正方法及び光近接効果補正システム
KR100429883B1 (ko) * 2001-12-20 2004-05-03 삼성전자주식회사 순수 결함에 의한 불량 발생 확률 측정방법, 순수 결함에서 추출한 패턴 파라미터의 분류를 이용한 결함 제한 수율 측정 방법, 순수 결함에 의한 불량 발생 확률 및 결함 제한 수율을 측정하기 위한 시스템
JP2003203993A (ja) 2002-01-10 2003-07-18 Mitsubishi Electric Corp 半導体記憶装置及びその製造方法
US7051240B2 (en) 2002-03-14 2006-05-23 Agilent Technologies, Inc. Diagnosis of data packet transfer faults using constraints
WO2003104921A2 (en) * 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US20040205436A1 (en) * 2002-09-27 2004-10-14 Sandip Kundu Generalized fault model for defects and circuit marginalities
US7036063B2 (en) 2002-09-27 2006-04-25 Intel Corporation Generalized fault model for defects and circuit marginalities
JP2006512767A (ja) * 2003-01-02 2006-04-13 ピー・デイ・エフ ソリユーシヨンズ インコーポレイテツド 歩留まり改善
US7131081B2 (en) * 2003-02-14 2006-10-31 Nec Laboratories America, Inc. Scalable scan-path test point insertion technique
US7346470B2 (en) * 2003-06-10 2008-03-18 International Business Machines Corporation System for identification of defects on circuits or other arrayed products
US7539589B2 (en) * 2003-06-24 2009-05-26 Nxp B.V. Testing radio frequency and analogue circuits
US7239978B2 (en) * 2004-03-31 2007-07-03 Wu-Tung Cheng Compactor independent fault diagnosis
US7137083B2 (en) * 2004-04-01 2006-11-14 Verigy Ipco Verification of integrated circuit tests using test simulation and integrated circuit simulation with simulated failure
EP1745373A4 (en) * 2004-05-09 2009-04-15 Mentor Graphics Corp DEFEKTORT IDENTIFICATION FOR MICROELECTION MANUFACTURING AND VERIFICATION
US20050257104A1 (en) * 2004-05-14 2005-11-17 Wood Reed Glenn Jr Method and apparatus for bit error rate test
US7281222B1 (en) * 2004-06-02 2007-10-09 Advanced Micro Devices, Inc. System and method for automatic generation of optical proximity correction (OPC) rule sets
TW200622275A (en) 2004-09-06 2006-07-01 Mentor Graphics Corp Integrated circuit yield and quality analysis methods and systems
JP2006118903A (ja) * 2004-10-20 2006-05-11 Kyushu Institute Of Technology 半導体論理回路装置の故障診断方法、装置、及び半導体論理回路装置の故障診断プログラムを記憶した記憶媒体
US7509551B2 (en) * 2005-08-01 2009-03-24 Bernd Koenemann Direct logic diagnostics with signature-based fault dictionaries
US7676775B2 (en) * 2007-05-29 2010-03-09 International Business Machines Corporation Method to determine the root causes of failure patterns by using spatial correlation of tester data

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03120485A (ja) * 1989-10-03 1991-05-22 Hitachi Ltd 半導体集積回路の故障個所推定方式
JP2004031891A (ja) * 2001-12-26 2004-01-29 Toshiba Corp 半導体設計/製造システム、半導体設計/製造方法、及び半導体設計/製造プログラム

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102042936B1 (ko) * 2018-12-07 2019-11-08 파워테크 테크놀로지 인코포레이티드 자동 테스트 장비의 비정상 테스트 신호 채널을 검출하는 방법
KR20210047286A (ko) * 2020-08-31 2021-04-29 베이징 바이두 넷컴 사이언스 앤 테크놀로지 코., 엘티디. 칩을 검증하는 방법, 장치, 전자 기기, 저장 매체 및 프로그램
KR102523518B1 (ko) 2020-08-31 2023-04-20 베이징 바이두 넷컴 사이언스 앤 테크놀로지 코., 엘티디. 칩을 검증하는 방법, 장치, 전자 기기, 저장 매체 및 프로그램

Also Published As

Publication number Publication date
WO2006029284A3 (en) 2007-05-18
US20090210183A1 (en) 2009-08-20
EP1792194A4 (en) 2010-09-15
TW200622275A (en) 2006-07-01
US20060053357A1 (en) 2006-03-09
EP1792194A2 (en) 2007-06-06
EP2372588A1 (en) 2011-10-05
US7512508B2 (en) 2009-03-31
WO2006029284A2 (en) 2006-03-16
US20060066338A1 (en) 2006-03-30
US20060066339A1 (en) 2006-03-30
JP4955559B2 (ja) 2012-06-20
US7987442B2 (en) 2011-07-26

Similar Documents

Publication Publication Date Title
JP4955559B2 (ja) 集積回路の歩留り及び品質の分析の方法及びシステム
US7725849B2 (en) Feature failure correlation
US8136082B2 (en) Method for testing integrated circuits
Tang et al. Analyzing volume diagnosis results with statistical learning for yield improvement
US7870519B2 (en) Method for determining features associated with fails of integrated circuits
JP4557337B2 (ja) Xリストに基づく多重エラー及び故障を診断する方法並びにシステム
KR20130055013A (ko) 검사 데이터와 조합하여 설계 데이터를 활용하는 방법 및 시스템
WO2008086282A2 (en) Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US7853848B2 (en) System and method for signature-based systematic condition detection and analysis
JP2004125670A (ja) テストパターン選択装置、テストパターン選択方法、及びテストパターン選択プログラム
Nelson et al. Automatic classification of bridge defects
US8930782B2 (en) Root cause distribution determination based on layout aware scan diagnosis results
Spinner et al. Automatic test pattern generation for interconnect open defects
CN111125985B (zh) 系统故障定位系统和方法
Mittal et al. Test chip design for optimal cell-aware diagnosability
Desineni et al. Identifying design systematics using learning based diagnostic analysis
Turakhia et al. Bridging DFM analysis and volume diagnostics for yield learning-A case study
Ladhar et al. Efficient and accurate method for intra-gate defect diagnoses in nanometer technology and volume data
Mhamdi et al. Cell-aware diagnosis of customer returns using Bayesian inference
Wang Data learning based diagnosis
Jahangiri et al. Value-added defect testing techniques
Pan et al. SpotMe effective co-optimization of design and defect inspection for fast yield ramp
Tian On improving estimation of root cause distribution of volume diagnosis
US20220067426A1 (en) Separation distance between feature vectors for semi-supervised hotspot detection and classification
Choi et al. Diagnosis of optical lithography faults with product test sets

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080829

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100827

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101129

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110629

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20110908

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110928

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120215

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120315

R150 Certificate of patent or registration of utility model

Ref document number: 4955559

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150323

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250