JP2001208803A - 半導体集積回路の故障シミュレーション方法および故障シミュレータ - Google Patents

半導体集積回路の故障シミュレーション方法および故障シミュレータ

Info

Publication number
JP2001208803A
JP2001208803A JP2000014962A JP2000014962A JP2001208803A JP 2001208803 A JP2001208803 A JP 2001208803A JP 2000014962 A JP2000014962 A JP 2000014962A JP 2000014962 A JP2000014962 A JP 2000014962A JP 2001208803 A JP2001208803 A JP 2001208803A
Authority
JP
Japan
Prior art keywords
fault
test pattern
test
integrated circuit
failure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000014962A
Other languages
English (en)
Inventor
Masahiro Ishida
雅裕 石田
Takahiro Yamaguchi
隆弘 山口
Yoshihiro Hashimoto
好弘 橋本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advantest Corp
Original Assignee
Advantest Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advantest Corp filed Critical Advantest Corp
Priority to JP2000014962A priority Critical patent/JP2001208803A/ja
Priority to US09/699,077 priority patent/US6975978B1/en
Priority to DE10053758A priority patent/DE10053758A1/de
Publication of JP2001208803A publication Critical patent/JP2001208803A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318342Generation of test inputs, e.g. test vectors, patterns or sequences by preliminary fault modelling, e.g. analysis, simulation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3004Current or voltage test

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

(57)【要約】 【課題】 遅延故障や断線故障(遅延故障を伴う)が起
る可能性のある論理ゲートや信号線および信号伝搬パス
のリストを生成可能とする。 【解決手段】 テストパターン系列を選択し(10
1)、その選択したテストバターン系列の各パターンを
被試験ICに入力した場合の論理シミュレーションを行
って回路内の信号線に生じる論理信号値列を計算し(1
02)、その各信号線の論理信号値列をもちいて、選択
したテストパターン系列により過渡電源電流試験で検出
可能な故障を起す可能性のある個所を故障リストに登録
する(103)。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、あるテストパタ
ーン系列で検出されうる半導体集積回路における故障の
リストを生成する故障シミュレーション方法および故障
シミュレータに関する。
【0002】
【従来の技術】従来、半導体集積回路の故障シミュレー
ションは、集積回路内部に故障を仮定し、所定のテスト
パターンに対する出力端子からの出力値を論理シミュレ
ーションをもちいて計算し、出力値が故障がない場合に
対し変化するものを求めその結果を故障辞書(fault di
ctionary)と呼ばれる仮定故障と入出力論理値の対応表
としてまとめることにより、各テストパターンで検出で
きる故障のリストを生成していた。半導体集積回路を試
験する場合は、各テストパターンを半導体集積回路に入
力し、その時の出力値と、入力とから故障辞書を参照し
て集積回路内部のどの部分に故障があるか否かを推定す
る。
【0003】また、論理不良を生じない故障、たとえば
短絡故障や電流リーク故障などに対応するために、ID
DQ(静止電源電流、quiescent power supply curren
t)試験法と論理シミュレーションを併用した故障シミ
ュレーション方法が提案されている。この方法は、論理
シミュレーションによりあるテストパターンに対する集
積回路内の信号線における論理信号値を算出し、集積回
路内部に故障を仮定してIDDQ異常発生条件を満たす
故障を列挙することにより検出可能な故障リストを生成
する。集積回路にあるテストパターンを入力したとき、
集積回路内の各信号線は通常“0”または“1”の論理
値をもつ。このため、このテストパターンにより集積回
路内部に生じた論理値“0”をもつ信号線と論理値
“1”をもつ信号線の間に短絡故障が生じたとき、集積
回路はIDDQに異常を生じる。したがって、集積回路
内のすべての論理値“0”をもつ信号線と論理値“1”
をもつ信号線の組み合わせに対し、両信号線間に生じた
短絡故障は、入力されているテストパターンによりID
DQ試験法をもちいて検出可能であり、これらの短絡故
障を列挙することによりIDDQ試験法で検出可能な故
障リストを生成することができる。
【0004】
【発明が解決しようとする課題】しかし、論理シミュレ
ーションをもちいる故障シミュレーション法は、シミュ
レーションであつかうことができる故障モデルが、1つ
の信号線がある状態(0又は1)に固定される故障、つ
まり単一の縮退故障(Stuck-At-0またはStuck-At-1)の
みである。このため、この故障シミュレーション法は、
複数の信号線が0又は1に固定される多重縮退故障や遅
延故障、信号線間の短絡故障等を感度よくシミュレーシ
ョンすることができず、これらの故障に対して検出可能
な故障リストを生成することができない。
【0005】また、IDDQ試験法と論理シミュレーシ
ョンを併用した故障シミュレーション法は、IDDQ試
験法が半導体集積回路の安定状態における電源電流を測
定する試験法であり、主に回路内の短絡故障を対象とし
ているため、半導体集積回路の過渡現象、たとえば、遅
延時間に影響をあたえる遅延故障や断線故障、局所的ま
たは大域的なプロセスパラメータ(シート抵抗、酸化膜
厚など)の異常などの故障に対し、検出可能な故障リス
トを生成することができないという問題があった。
【0006】このため、集積回路の遅延故障や断線故
障、パラメータ異常故障に対し、あるテストパターン系
列で検出可能な故障リストを生成できる故障シミュレー
ション法が必要となる。この発明の目的は、回路の過渡
現象を試験できる可観測性の高い過渡電源電流(IDD
T,transient power supply current)試験法と論理シ
ミュレーションを併用することによって、半導体集積回
路内の遅延故障や断線故障、パラメータ異常故障に対
し、あるテストパターン系列で検出可能な故障リストを
生成できる故障シミュレーション方法を提供することに
ある。
【0007】
【課題を解決するための手段】上記目的を達成するた
め、この発明によれば、入力テストパターン系列で検出
可能な故障リストを生成する方法において、被試験半導
体集積回路にあたえる2つ以上のテストパターンからな
るテストパターン系列を選択するステップと、上記選択
したテストパターン系列における各テストパターンを被
試験半導体集積回路に入力した場合の論理シミュレーシ
ョンをおこない、被試験半導体集積回路内部の信号線に
生じる論理信号値列を計算するステップと、論理シミュ
レーションにより計算された各信号線の論理信号値列を
用いて、上記テストパターン系列により過渡電源電流試
験で検出可能な故障リストを生成するステップと、を具
備することを特徴とする。
【0008】この方法によって、従来不可能であった遅
延故障あるいは遅延故障を生じる断線故障に対し、ある
テストパターンをもちいて過渡電源電流試験で検出可能
な故障リストを生成できるため、遅延故障や断線故障に
対する試験の効率を大幅に改善することができる。上記
故障リストを生成するステップにおける一形態は、論理
ゲートを単位として故障リストを生成する。
【0009】上記故障リストを生成するステップにおけ
る他の形態は、信号線を単位として故障リストを生成す
る。上記故障リストを生成するステップにおける他の形
態は、信号伝搬パスを単位として故障リストを生成す
る。また、上記目的を達成するため、この発明によれば
入力テストパターン系列で検出可能な故障リストを生成
する装置であって、被試験半導体集積回路にあたえる2
つ以上のテストパターンからなるテストパターン系列を
発生するテストパターン発生手段と、上記発生したテス
トパターン系列における各テストパターンを被試験半導
体集積回路に入力した場合の論理シミュレーションをお
こない、被試験半導体集積回路内部の信号線に生じる論
理信号値列を計算する論理シミュレータと、その論理シ
ミュレータにより計算された各信号線の論理信号値列を
もちいて上記テストパターン系列により過渡電源電流試
験で検出可能な故障リストを生成する故障リスト生成手
段と、を具備することを特徴とする。
【0010】この故障シミュレータ装置によって、従来
不可能であった遅延故障あるいは遅延故障を生じる断線
故障に対し、あるテストパターンを用いて過渡電源電流
試験で検出可能な故障リストを生成できるため、遅延故
障や断線故障に対する試験の効率を大幅に改善すること
ができる。また、上記目的を達成するため、この発明に
よれば、入力テストパターン系列で検出可能な故障リス
トを生成する方法において、仮定した故障を被試験半導
体集積回路に挿入するステップと、被試験半導体集積回
路にあたえる2つ以上のテストパターンからなるテスト
パターン系列を選択するステップと、上記故障を挿入し
た被試験半導体集積回路に上記テストパターン系列をあ
たえた場合の回路シミュレーションをおこなうことによ
り、被試験半導体集積回路の過渡電源電流を計算するス
テップと、その回路シミュレーションにより計算された
過渡電源電流を正常回路の過渡電源電流と比較し、上記
故障が上記テストパターン系列により過渡電源電流試験
で検出可能であるか否かを判定するステップと、を具備
することを特徴とする。
【0011】この方法によって、従来不可能であった遅
延故障あるいは遅延故障を生じる断線故障やパラメータ
異常故障に対し、あるテストパターンを用いて過渡電源
電流試験で検出可能な故障リストを生成できるため、遅
延故障や断線故障、パラメータ異常故障に対する試験の
効率を大幅に改善することができる。また、上記目的を
達成するため、この発明によれば入力テストパターン系
列で検出可能な故障リストを生成する装置であって、被
試験半導体集積回路にあたえる2つ以上のテストパター
ンからなるテストパターン系列を選択するテストパター
ン系列選定手段と、仮定した故障を被試験半導体集積回
路に挿入する故障挿入手段と、上記故障を挿入した被試
験半導体集積回路に上記テストパターン系列をあたえた
場合の回路シミュレーションをおこなうことにより、被
試験半導体集積回路の過渡電源電流を計算する回路シミ
ュレータと、回路シミュレータにより計算された過渡電
源電流を正常回路の過渡電源電流と比較し、上記故障が
上記テストパターン系列により過渡電源電流試験で検出
可能であるか否かを判定することにより故障リストを生
成する故障リスト生成手段と、を具備することを特徴と
する。
【0012】この故障シミュレータ装置によって、従来
不可能であった遅延故障あるいは遅延故障を生じる断線
故障やパラメータ異常故障に対し、あるテストパターン
をもちいて過渡電源電流試験で検出可能な故障リストを
生成できるため、遅延故障や断線故障、パラメータ異常
故障に対する試験の効率を大幅に改善することができ
る。
【0013】
【作用】以下では、もっとも一般的な半導体集積回路で
あるCMOS集積回路を例に説明する。CMOS集積回
路の電源電流は、CMOS集積回路に流れ込む電源電流
であり、集積回路内の各論理ゲートを流れる電流の和で
表される。過渡電源電流 図1に、CMOSインバータの過渡応答(transient re
sponse)を示す。この過渡応答は、回路シミュレータで
求めた。図1aは、過渡状態における入力電圧VINに対
する出力電圧VOUT の応答と、電源からCMOSインバ
ータに流れ込む電流IDDの応答である。この電流を過渡
電流(transient current)と呼ぶ。インバータの入力が
“1”から“0”に遷移するとき(図1b)、入力電圧
がn−MOSのしきい電圧より高くp−MOSのしきい
電圧より低いあいだ、n−MOSとp−MOSが一瞬だ
け同時にオンとなり、電源からグランドへ貫通電流(sh
ort circuit current)ISが流れる。このとき、インバ
ータの出力信号線は“0”から“1”に遷移するため、
貫通電流と同時にインバータの出力信号線に接続された
寄生容量(parasitic capacitance)Cloadへの充電(ca
pacitance charging)にともなう電流ICが電源端子T
VDから流れる。したがって、インバータの入力に立ち下
がり遷移が生じるとき(添字“f”で記述する)、イン
バータに流れ込む過渡電流IGfは、貫通電流ISfと充電
電流(capacitance charging current)IC の和であた
えられる。
【0014】 IGf=ISf+IC (1) 一方、入力が“0”から“1”に遷移するとき(出力が
“1”から“0”に遷移するとき(添字“r”で記述す
る)(図1c)、出力信号線に接続された寄生容量C
loadからの放電(capacitance discharging)がおこり、
放電電流(capacitance discharging current)ID が生
じるが、電源端子TVDからインバータへ流れ込む電流I
Grは貫通電流ISrだけである。このため、電流ピークは
わずかに小さくなる。
【0015】 IGr=ISr (2) CMOSインバータの伝達特性は、図2aに示すように
入力電圧VINの変化に対し三角パルス状の電流IS を示
す。このため、CMOSインバータの入力が立ち上がり
遷移をもつとき、CMOSインバータを流れる貫通電流
波形ISrは、入力電圧VINがランプ状に遷移すると仮定
すると、図2bにおいて“IS ”で示した三角パルスで
近似できる。また、図2bに示した入力信号の立ち上が
り遷移に対するCMOSインバータの貫通電流波形ISr
は、つぎのような近似式であたえられる。
【0016】
【数1】
【0017】ここで、ISmaxはCMOSインバータに流
れ込む過渡電流(貫通電流)の最大値、VDDは電源電
圧、VTHNはn−MOSトランジスタのしきい電圧、V
THPはp−MOSトランジスタのしきい電圧、trは入力
信号の立ち上がり遷移時間である。。ただし、VTHP
絶対値で示した。また、近似式を簡略化するため、入力
電圧VINの遷移開始時刻は0とし、遷移終了時刻tr
入力電圧はVDDになるとした。
【0018】入力信号の立ち下がり遷移に対するCMO
Sインバータの貫通電流波形ISfについても同様に、
【0019】
【数2】
【0020】と求めることができる。ここで、tf は入
力信号の立ち下がり遷移時間である。念のためにこの場
合の電源電流の立ち上がり開始時刻、最大値ISmaxの時
刻、立ち下り終了時刻を図2bに、括弧を付けて示し
た。さらに、CMOSインバータの出力信号線の寄生容
量Cloadへの充電電流ICは、出力信号線の電圧変化を
out(t)とすると次式であたえられる。
【0021】
【数3】
【0022】これらの式は、インバータ以外の論理ゲー
トに対しても同様に求めることができる。論理ゲートに
流れ込む過渡電流IGは、そのほとんどが貫通電流であ
ると仮定すると、図2bにISで示すような三角パルス
で近似できる。実際、CMOSインバータの過渡電流波
形IGは、図1aに示すような三角パルスを示す。した
がって、論理ゲートの過渡電流IGは、図2bに示すよ
うに、最大値ISmaxになるまでは単調に増加し、最大値
Smax以降は単調に減少する。また、IGが最大値I
Smaxとなるのは、入力電圧VINが論理ゲートのスイッチ
ング電圧VSPになったときである。すなわち、図2bに
示すように、IGがピークとなる時刻と論理ゲートの入
力遷移の時刻が一致する。論理ゲートは遅延時間をもつ
ため、論理ゲートの出力遷移の時刻は入力遷移の時刻よ
りわずかに遅れる。すなわち、IGがピークとなる時刻
は論理ゲートの出力遷移の時刻よりわずかに先行する。
この場合、過渡電流波形IGの立ち下がりエッジ(立ち
下り部分)が、出力遷移の時刻に一致すると考えること
ができる。さらに、論理ゲートの過渡電流波形IGのパ
ルス幅は、入力電圧の遷移時間(たとえば立ち上がり遷
移時間tr)に比例する。
【0023】これまでは、論理ゲートに流れ込む過渡電
流IGの大部分が貫通電流ISであると仮定して議論して
きた。しかし、CMOS製造プロセスの微細化によっ
て、ゲート遅延より配線遅延が支配的となる。これは、
入力電圧の遷移時間が一定であると仮定すると、CMO
S論理ゲートに流れ込む過渡電流IGにおいて、貫通電
流ISの割合より出力信号線への充電電流ICの割合が大
きくなることを意味する。このため、論理ゲートの過渡
電流波形がピークとなる時刻は、充電電流ICと貫通電
流ISの比に依存する。ICがISより小さいとき、過渡
電流波形IGのピークはISのピークと一致する。IS
ピークは入力電圧の遷移時刻と一致するため、IGのピ
ークは論理ゲートの出力の遷移時刻より先行する。逆
に、ICがISより大きいとき、過渡電流波形のピークは
Cのピークと一致する。充電電流ICは出力信号線の電
圧遷移に関係するため、IGのピークは論理ゲートの出
力時刻とほぼ一致する。
【0024】図3aに示すCMOS集積回路は、図1に
示したインバータを直列に4つ(G1,G2,G3,G
)組み合わせたものであり、各インバータG1,G
2,G3,G4をそれぞれ流れる過渡電流IG1,IG2
G3,IG4は通常一つの電源端子TVDから供給される。
このため、集積回路の過渡電源電流(transient power
supply current)応答は、図3bに示すように各論理ゲ
ートを流れる過渡電流の和として表され、次式で与えら
れる。
【0025】
【数4】
【0026】ここで、Nは入力されたテストパターン系
列によりスイッチングする論理ゲートの数であり、図3
の例ではN=4である。論理ゲートの過渡電流波形のピ
ーク(または、立ち下がりエッジ)がその論理ゲートの
出力の遷移時刻に対応することから、CMOS集積回路
の過渡電源電流波形の最終ピーク(最終の立ち下がりエ
ッジ)がCMOS集積回路において最後にスイッチング
する論理ゲートの出力遷移時刻に一致する。したがっ
て、CMOS集積回路の過渡電源電流波形の最終ピーク
(最終の立ち下がりエッジ)を検出し入力遷移の時刻と
比較することにより、回路のパス遅延時間を求めること
ができる。ここで、過渡電源電流の最終の立ち下がりエ
ッジの時刻は、たとえば、過渡電源電流がある既定の電
流値となる時刻の最大値として求めることができる。こ
の電流値は、被試験パス上の最後の論理ゲートの出力が
電源電圧の半分の値となるときの電源電流の値であり、
被試験回路に対する回路シミュレーションや実デバイス
をもちいた統計データなどからもとめることができる。
【0027】また、もとめた遅延時間を既定の時間(た
とえば、システムクロックの周期T CLK )と比較するこ
とにより、被試験パスにおける遅延故障を検出すること
ができる。遅延故障 最初に、遅延故障について定義する。CMOS論理回路
において、2つのテストパターンv1,v2をもつテスト
パターン系列T=<v1,v2>(電圧信号V1の次に電
圧信号V2が続くことを表わす)をもちいてパスP=
{g0,g1,g2,…,gm}を活性化するときを考え
る。ここで、g0はパスPの入力信号線であり、g1,g
2,…,gmはパスP上の論理ゲートG1,G2,…,Gm
の出力信号線である。同時に、g0,g1,…,gm-1
パスP上の論理ゲートG1,G2,…,Gmの入力信号線
でもある。各信号線g0,g1,…,gmの信号遷移の時
刻(電圧信号がVDD/2をよこぎる時刻)をそれぞれτ
0,τ1,…,τmとすると、パスP上の各論理ゲート
1,G2,…,Gmのゲート遅延時間tgdi,1
は、それぞれ tgdi =τi −τi-1 (7) であたえられる。したがって、パスPのパス遅延時間t
pdは、ゲート遅延時間t gdi の和として、
【0028】
【数5】
【0029】で求めることができる。しかし、実際のゲ
ート遅延時間tgdi は、故障の影響により変動する。 tgdi =tgdi,typ +δi ,1m (9) ここで、tgdi,typは論理ゲートGiのゲート遅延時間の
典型値であり、δiはゲート遅延時間の変動成分であ
る。たとえば、断線故障は、故障をもつ論理ゲートのゲ
ート遅延時間のみを増加させ、ほかの論理ゲートの遅延
時間を増加させない。また、パラメータ異常故障は、す
べての論理ゲートの遅延時間を増加させる。ゲート遅延
時間の変動にともなって、パス遅延時間tpdも同様に変
動する。
【0030】
【数6】
【0031】ここで、tpd,typはパスPのパス遅延時間
の典型値であり、Δはパス遅延時間の変動成分である。
図4に遅延故障試験方法の基本原理を模式的に示す。図
4aの被試験回路(CUT)が正常に動作するために
は、入力ラッチに生成された信号遷移がパスPをとおっ
て出力ラッチまで既定の時間内に伝搬しなければならな
い。したがって、図4bに示す入力VIN及び出力VOUT
の関係と、システムクロックCLKとの関係からパスP
のパス遅延時間tpdは以下の条件を満たさなければなら
ない。
【0032】 tpd+TSU<TCLK −TSKW (11) ここで、TSUは信号のセットアップ時間、TCLKはシス
テムクロックの周期、TS KW はシステムクロックのクロ
ックスキュー(ジッタでありエッジが±に変動する)で
ある。変形すると、 tpd<TCLK −TSKW −TSU≡T (12) となる。すなわち、パスPのパス遅延時刻tpdは、クロ
ック周期からセットアップ時間やクロックスキューなど
のマージンをひいた時間(これをT′とする)より小さ
くなければならない。もし、tpdがT′より大きけれ
ば、パスPに沿った信号伝搬がシステムクロックに間に
合わず、回路は正しい動作をしない。この状態を遅延故
障と定義する。すなわち、tpdがある既定の時間T′よ
り大きいとき、パスPは遅延故障をもつと定義する。こ
こで、T′は、許容できる遅延時間の上限値である。断線故障(遅延故障を伴う) つぎに、遅延故障を生じる断線故障について定義する。
断線故障は、故意でない電気的不連続であり、ある信号
線を二つ以上の異なる信号線に分割する。断線故障に
は、金属の欠損や酸化膜による断線コンタクト、パター
ニング不良やエッチング不良などによる金属配線断線、
マスク不良などによる拡散層あるいはポリシリコンにお
ける断線などが含まれる。また、断線故障は、図5aに
示すように“論理故障”を生じる断線故障と図5bに示
すように“遅延故障”を生じる断線故障の二つのタイプ
に分類できる。論理故障を生じる断線故障は、断線の規
模が大きく故障の両端の信号線に電圧をあたえても電流
が流れないため、信号遷移に伴う寄生容量の充放電がお
こなわれず論理がある一定の値に固定される論理故障を
生じる。これに対し、遅延故障を生じる断線故障では、
故障の両端の信号線に電圧をあたえると微小な電流が流
れるが、その電流量は正常時より小さいため、信号遷移
に伴う寄生容量の充放電が遅くなり、回路の遅延時間が
増加する。遅延故障を生じる断線故障には、コンタクト
などの不良により信号線間の抵抗値が正常値より大きく
なってしまったり、信号線に生じた不良などにより信号
線の抵抗値が正常値より大きくなってしまう抵抗性断線
故障と、トンネル効果により断線故障を通してごく微量
なリーク電流が流れる非常に小さな(<100nm)微
小断線故障がある。微小断線故障を流れるトンネル電流
については、たとえば、C.L.Henderson,J.M.Soden,and
C.F.Hawkins,“The Behavior and Testing Implication
s of CMOS IC Logic Gate Open Circuits,”Proceeding
s of IEEE International Test Conference,pp.302-31
0,1991.に記載されている。この明細書では、遅延故障
を生じる断線故障を対象とし、これを単に断線故障と呼
ぶ。遅延故障検出方法(過渡電源電流パルス幅利用) つぎに、上で述べた過渡電源電流のパルス幅を利用して
遅延故障を検出する方法について述べる。上記方法は、
被試験回路の電源電流波形のパルス幅を測定し、既定の
時間と比較する方法である。上記方法の基本原理を図6
に示す。
【0033】CMOS論理回路において、2つのテスト
パターンv1,v2を持つテストパターン系列T=<
1,V2>により複数のパスP1,P2,…,Pnが活性
化されるとする。パスPi上の入力から数えてj番目の
論理ゲートがスイッチングする時刻をτijとすると、各
パスP1,…,Pnにより論理ゲートの数は異なり、パス
1 ,P2,…,Pnにおいてもっとも遅くスイッチング
する論理ゲートGfinalの出力遷移の時刻τmax は、
【0034】
【数7】
【0035】であたえられる。したがって、パスP1
2,…,Pnにおけるパス遅延時間の最大値t
pd,maxは、τmaxと入力遷移の時刻τ0のあいだの時間間
隔としてつぎのようにもとめることができる。 tpd,max=τmax −τ0 (14) 一方、CMOS論理回路の過渡電源電流波形のパルス幅
PWを、回路入力の信号遷移の時刻τ0と過渡電源電流
波形の最終ピーク(立ち下がりエッジ)の時刻τIDD
あいだの時間間隔と定義する。
【0036】 tPW≡τIDD −τ0 (15) 前に述べたように、過渡電源電流波形の最終ピークの時
刻τIDDは、最後にスイッチングする論理ゲートGfinal
の出力遷移時刻τmaxより先行し、過渡電源電流波形の
立ち下がりエッジは最後にスイッチングする論理ゲート
finalの出力遷移時刻τmaxと一致する。従って過渡電
源電流波形のパルス幅tPWは、テストパターンTにより
活性化されるパスPの遅延時間tpd,maxに対応する。
【0037】 tPW=τIDD −τ0 τmax −τ0 =tpd,max (16) もし、tPWが許容できる遅延時間の上限値T′より大き
いならば、 T′<tPW pd,max (17) となる。もっとも大きな遅延時間tpd,maxをもつパスに
おいて、信号の伝搬がシステムロックに間に合わない。
すなわち、回路には遅延故障が存在する。したがって、
T′より大きいtPWは活性化されたパスのいずれかに遅
延故障があることを示し、T′より小さいtPWは活性化
されたパスのいずれにも遅延故障が存在しないことを示
す。
【0038】 遅延故障なし,tPW T′ 遅延故障あり,tPW>T′ (18) 以上のように、過渡電源電流波形のパルス幅tPWを既定
の時間T′と比較することにより、回路の遅延故障をテ
ストすることができる。また、図1に示すように、論理
ゲートの過渡電源電流はピーク値以降単調に減少するこ
とから、図3に示すCMOS集積回路の電源電流は、同
集積回路において最後にスイッチングする論理ゲートの
出力遷移時刻以降単調に減少する。つまり、故障のない
CMOS集積回路において、最後にスイッチングする論
理ゲートの出力遷移時刻をτmaxとし、時刻τmaxにおけ
る過渡電源電流の瞬時値をI′とすると、τmax以後C
MOS集積回路の過渡電源電流がI′より大きくなるこ
とはない。
【0039】この原理をもちい、ある既定時刻における
CMOS集積回路の過渡電源電流の瞬時値を測定するこ
とにより、被試験回路における遅延故障を検出すること
ができる。ここで、故障検出の判断基準となる電流値
I′は、被試験パス上の最後の論理ゲートの出力が電源
電圧の半分の値となるときの電源電流の値であり、被試
験回路に対する回路シミュレーションや実デバイスをも
ちいた統計データなどからもとめることができる。遅延
故障検出方法(過渡電源電流瞬時値利用) つぎに、上で述べた過渡電源電流の瞬時値を利用して遅
延故障を検出する方法について述べる。上記方法は、規
定の時刻における被試験回路の過渡電源電流の瞬時値を
測定し、遅延故障のないゴールデン回路の過渡電源電流
値と比較する方法である。上記方法の基本原理を図7に
示す。
【0040】CMOS論理回路において、テストパター
ン系列T=<V1,V2>により複数のパスP1,P2
…,Pnが活性化されるとする。パスPi上の入力から数
えてj番目の論理ゲートがスイッチングする時刻をτij
とすると、パスP1,P2,…,Pnにおいてもっとも遅
くスイッチングする論理ゲートGfinalの出力遷移の時
刻τmax は、
【0041】
【数8】
【0042】であたえられる。したがって、パスP1
2,…,Pnにおけるパス遅延時間の最大値t
pd,maxは、τmaxと入力遷移の時刻τ0の間の時間間隔と
してつぎのように求めることができる。 tpd,max=τmax −τ0 (20) 前にも述べたように、論理ゲートの出力遷移の時刻が論
理ゲートの過渡電源電流のピークまたは立ち下がりの時
刻に一致するので、τmaxは回路の過渡電源電流波形I
DDTの最終ピークまたは立ち下がりエッジの時刻τIDD
対応する。論理ゲートの電源電流IGは三角波で近似で
き、Gfinalは最後にスイッチングするゲートであるか
ら、τmax以降に電源電流のピークをもつ論理回路は存
在しない。したがって、tτmaxなる時刻tにおいて
電源電流波形関数iDDT(t)は、単調減少関数である。す
なわち、電源電流波形の時間関数をiDDT(t)とし、時刻
τma x における電源電流の瞬時値を I′≡iDDTmax) (21) とすると、tτmax なるtにおいて、 iDDT(t)DDT(τmax)=I′,tτmax (22) が成立する。回路が正常に動作するためには、tpd,max
が遅延時間の上限値T′(=TCLK −TSKEW−TSU)よ
り小さくなければならない。
【0043】 tpd,max=τmax−τ0<T′ (23) したがって、回路に故障がないとき、t=T′+τ0
τmaxなる時刻tにおいて、式(22)より iDDT(T′+τ0I′ (24) が成立する。もし、T′+τ0におけるIDDTの瞬時値が
I′より大きいならば、つまり、 iDDT(T′+τ0)>I′=iDDT(τmax) (25) ならば、式(22)よりT′+τ0 がτmax より大きい
ことはありえないので、 τmax>T′+τ0 (26) ∴tpd,max=τmax−τ0>T′ (27) となる。もっとも大きな遅延時間tpd,maxをもつパスに
おいて、信号の伝搬がシステムクロックに間に合わな
い。すなわち、回路には遅延故障が存在する。したがっ
て、時刻T′+τ0における電源電流値iDDT(T′+τ
0)がI′より大きいということは、活性化されたパス
のいずれかに遅延故障があることを示す。逆に、iDDT
(T′+τ0)がI′より小さいということは、活性化
されたパスのいずれにも遅延故障が存在しないことを示
す。
【0044】 遅延故障なし,iDDT(T′+τ0 I′ 遅延故障あり,iDDT(T′+τ0 )>I′ (28) 以上のように、ある既定の時刻におけるIDDT の瞬時値
を故障のない回路のI DDT レベルと比較することによ
り、回路の遅延故障を検出することができる。過渡電源電流積分値 さらに、式(3)および式(4)より、貫通電流ISr
よびISfの時間積分値QSrおよびQSfは、それぞれ、
【0045】
【数9】
【0046】であたえられる。したがって、スイッチン
グのとき論理ゲートを流れる貫通電流の積分値QS は、
【0047】
【数10】
【0048】であたえられる。ここで、tT は入力信号
の遷移時間である。すなわち、論理ゲートに流れ込む貫
通電流IS(ISrまたはISf)の積分値QSは、論理ゲー
トの入力遷移時間tTに比例する。また、QSは、入力信
号の遷移方向が立ち上がり遷移であるか、立ち下がり遷
移であるかに関係ないことがわかる。CMOSインバー
タの出力負荷容量Cloadへの充電電流ICの積分値QC
式(5)より
【0049】
【数11】
【0050】であたえられ、CMOSインバータの入力
遷移時間tT には依存しない。したがって、論理ゲート
を流れる過渡電流IGfおよびIGrの積分値QGfおよびQ
Grは、式(1),(2),(31),(32)より、
【0051】
【数12】
【0052】ともとめられる。すなわち、論理ゲートの
過渡電流の積分値は論理ゲートの入力遷移時間に比例す
る。図8に、インバータの入力遷移時間を変化させたと
きのインバータの過渡電流の積分値の変化に関する回路
シミュレーションの結果を示している。これらからも、
式(33),(34)の考察が正しいことがわかる。図
3aに示すCMOS集積回路は、図1に示したインバー
タを直列に4つ(G 1,G2,G3,G4)組み合わせたも
のであり、各インバータを流れる電流(IG1,IG2,I
G3,IG4)は通常一つの電源から供給される。このた
め、集積回路の過渡電源電流応答IDDTは、図3bのよ
うに各論理ゲートを流れる電流の和として表される(式
(6))。したがって、過渡電源電流IDDTの積分値Q
DDTも、各論理ゲートを流れる電流の積分値QGn(1
N)の和として表される。Nは入力されたテストパ
ターン系列によりスイッチングする論理ゲートの数であ
り、図3aの場合はN=4である。
【0053】
【数13】
【0054】図3の例では、過渡電源電流IDDTの積分
値QDDTは、各インバータを流れる電流の積分値
(QG1,QG2,QG3,QG4)の和として表される。各論
理ゲートを流れる電流の積分値QGn(1N)は、
式(33)または式(34)に示すように、各論理ゲー
トの入力遷移時間tTn(1N)にそれぞれ比例す
ることから、QDDTはtTn(1N)の線形多項式
であたえられる。たとえば、図3の例では、QDDTは各
インバータの入力遷移時間(tT1,tT2,tT3,tT4
の線形多項式であたえられる。
【0055】
【数14】
【0056】式(36)において、anは論理ゲートGn
の貫通電流の積分値QSnと論理ゲートGnの入力遷移時
間tTnの間の比例係数であり、bは各論理ゲートに流れ
込む充電電流QCnの和で表される定数項である。断線故障 この原理をもちいることにより、被試験パスにおける断
線故障と断線故障による遅延故障を検出することができ
る。
【0057】断線故障は、故障をとおして小さな電流が
流れるため、大きな抵抗Ropenでモデル化できる。図9
aに、入力に断線故障をもつCMOSインバータの例を
示す。入力信号線Aに図9bに示す信号遷移が生じると
き、断線故障により、断線個所に後続する信号線A′の
信号遷移が図9cに示すように遅くなる。このとき、信
号線A′の信号遷移時間tT は、断線故障の抵抗をR
open、インバータの入力における寄生容量をCinとする
と、
【0058】
【数15】
【0059】であたえられる。ここで、tT,typ は故障
がない場合の入力信号の遷移時間の典型値であり、遷移
時間tT は電圧値が0.1VDDから0.9VDDまで立ち
上がる(または、電圧値が0.9VDDから0.1VDD
で立ち下がる)のに要する時間としてもとめた。2.2
openinはCinが0.1VDDから0.9VDDになるま
での時であり loge(0.9VDD/0.1VDD)×R
openinにより求めた値である。すなわち、インバータ
の入力信号の遷移時間の増分は、断線故障の抵抗値R
openに比例する。したがって、被試験パス上のk番目の
インバータの入力に断線故障があるとき、CMOS集積
回路の電源電流の積分値QDDT は、式(36),(3
7)より、式(38)が求まり、断線故障の抵抗値R
openに応じて線形に変化し、その増分は断線故障の抵抗
値Ropenに比例する。
【0060】
【数16】
【0061】ここで、QDDT,typ は故障がない場合の電
源電流の積分値の典型値とする。式(38)の右辺第2
項の2.2akinopenはk番目のインバータの入力断
線故障にもとづく加算量である。この式(38)は、図
10に示すRopenに対するQDD T の変化のシミュレーシ
ョン結果とも一致する。図10は、図3に示した回路に
おいて、インバータIN2の入力信号線に断線故障があ
るときの、断線故障の抵抗値Ropenに対するQDDTの変
化をプロットしたものである。
【0062】したがって、過渡電源電流の積分値QDDT
を測定し、故障のない回路の過渡電源電流の積分値Q
DDT,typと比較することにより、被試験パス上の論理ゲ
ートの入力段に存在する断線故障を検出することができ
る。実際のCMOS製造プロセスでは、プロセスパラメ
ータのばらつきによって、過渡電源電流の積分値は図1
1に示すようにQDDT,typ±ΔQの範囲で変動する。ここ
で、ΔQは過渡電源電流の積分値の変動分である。この
ため、QDDTが故障のない回路において生じうる過渡電
源電流の積分値の上限値QDDT,typ+ΔQより大きいと
き、被試験パス上に断線故障があると判断することがで
きる。すなわち、QDDT,typ+ΔQより小さいQDDTはC
MOS集積回路に断線故障がないことを示し、Q
DDT,typ+ΔQより大きいQDDT はCMOS集積回路に断
線故障が存在することを示す。
【0063】 断線故障なし,QDDT DDT,typ +ΔQ 断線故障あり,QDDT >QDDT,typ +ΔQ (39) ここで、QDDT,typおよびΔQはプロセス変動に関するシ
ミュレーションなどにより導出できる。入力段故障による遅延故障検出方法(過渡電源電流積分
値利用) つぎに、上で述べた過渡電源電流の時間積分値を利用し
て遅延故障を検出する方法について述べる。上記方法
は、被試験回路の過渡電源電流の積分値を測定し、所定
の値と比較することによって遅延故障を評価する方法で
ある。
【0064】論理ゲートのゲート遅延時間tgdは、入力
信号の遷移時間tTに比例する。(Neil H.E.Weele著者
“Principles of CMOS VLSI Design-A Systems Perspec
tive“Second Edition.Addison-Weely Publishing Comp
any.1999年発行の216〜217頁の式4.52,式
4.53による)
【0065】
【数17】
【0066】ここで、tgd,step は遷移時間0のステッ
プ入力に対する故障のないインバータの遅延時間であ
る。また、VTHはp−MOSまたはn−MOSのしきい
値電圧であり、入力の立ち上がり遷移に対してはVTH
THN 、入力の立ち下がり遷移に対してはVTH=VTHP
であたえられる。したがって、入力信号線上に抵抗R
openでモデル化できる断線故障をもつ論理ゲートのゲー
ト遅延時間tgdは、論理ゲートの入力遷移時間が式(3
7)であたえられることから、式(40)に式(37)
を代入して、
【0067】
【数18】
【0068】ともとめることができる。ここで、t
gd,typは故障のない論理ゲートのゲート遅延時間の典型
値である。すなわち、断線故障をもつ論理ゲートのゲー
ト遅延時間tgdは故障の抵抗値Ropenによって変化し、
ゲート遅延時間の増分δは故障の抵抗値Ropenに比例す
る。ゆえに、被試験パス上のいずれかの論理ゲートの入
力に断線故障があるとき、被試験パスのパス遅延時間t
pdもRopenに比例する。Mは論理ゲートの数である。こ
のことを式で示すと、式(10)に式(41)を代入し
て式(42)が得られることから理解される。
【0069】
【数19】
【0070】これは、図12に示すRopenに対するtpd
の変化のシミュレーション結果とも一致する。図12
は、図3に示した回路において、インバータIN2の入
力信号線に断線故障があるときの、断線故障の抵抗値R
openに対するtpdの変化をプロットしたものである。パ
スP上のある論理ゲートGkの入力に断線故障が存在す
るとき、Gkの貫通電流の積分値QSkは、式(31)お
よび式(37)より、
【0071】
【数20】
【0072】ともとめられる。したがって、集積回路の
過渡電源電流の積分値QDDT は、式(36)より、
【0073】
【数21】
【0074】となり、回路の過渡電源電流の積分値Q
DDT も、断線故障の抵抗値Ropenに比例する。したがっ
て、式(42)および式(43)より、断線故障をもつ
パスPの遅延時間tpdは、CMOS集積回路の過渡電源
電流の積分値QDDTに対して線形に変化する。これは、
図13に示すQDDTに対するtpdの変化のシミュレーシ
ョン結果とも一致する。図13は、図3に示した回路に
おいて、インバータIN2の入力信号線に断線故障があ
るときの、過渡電源電流の積分値QDDTに対するtpd
変化をプロットしたものである。
【0075】式(43)より求めたRopenを式(42)
に代入すると式(44)が得られる。
【0076】
【数22】
【0077】パス遅延時間tpdが許容できる遅延時間の
上限値T′となるときの過渡電源電流の積分値をQmax
とすると、式(44)においてtpd=T′,QDDT=Q
maxとおいてQmax を求めると式(45)となる。
【0078】
【数23】
【0079】このQmaxは、遅延故障のないCMOS集
積回路の過渡電源電流の積分値QDDTの上限値である。
すなわち、QDDTがQmaxより小さいときCMOS集積回
路には遅延故障が存在せず、QDDTがQmaxより大きいと
きCMOS集積回路に断線故障による遅延故障が存在す
ると判断することができる。 遅延故障なし,QDDT max 遅延故障あり,QDDT >Qmax (46) 以上のように、過渡電源電流の積分値QDDTを既定値Q
maxと比較することにより、回路の遅延故障をテストす
ることができる。ここで、既定値Qmaxは、回路シミュ
レーションや統計データから式(45)をもちいてもと
めることができる。
【0080】過渡電源電流は、集積回路の電源ピンを流
れる過渡電流であり、電圧信号より高い可観測性が保証
されている。このため、過渡電源電流をもちいた遅延故
障試験方法は、電圧信号をもちいた遅延故障試験方法よ
り、高い遅延故障の可観測性を保証することでできる。
たとえば、電圧信号をもちいた遅延故障試験方法は、電
圧信号が集積回路の出力信号線まで伝搬しなければ遅延
故障を検出できないのに対し、過渡電源電流信号をもち
いた遅延故障試験方法は、たとえ電圧信号が集積回路の
出力信号線まで伝搬しなくても、電圧信号が伝搬したパ
スの遅延時間に対応するパルス幅をもつ過渡電源電流信
号が観測可能であるので、遅延故障を検出することがで
きる。また、これに伴って、過渡電源電流信号をもちい
た遅延故障試験方法は、電圧信号を集積回路の出力信号
線まで伝搬させる必要がないので、電圧信号を集積回路
の出力信号線まで伝搬させる必要がある電圧信号をもち
いた従来の遅延故障試験方法に比べ、テストパターン生
成の制約が少ない。このため、テストパターン生成を容
易にすることができる。極端な例では、たとえテストパ
ターン系列をランダムに選択した場合でも、過渡電源電
流信号をもちいた遅延故障試験方法は、選択されたテス
トパターン系列により活性化されるパスの遅延故障を検
出することができる。故障リスト生成方法 つぎに、この発明の故障リスト生成方法について説明す
る。図14に被試験CMOS集積回路の一例を示す。こ
の被試験集積回路は、3つの入力端子I1,I2,I
3,2つの出力端子O1,O2,3つの内部信号ノード
N1,N2,N3 ,5つの論理ゲートG1,G2,G
3,G4,G5をもち、入力端子I1はインバータ論理
ゲートG1の入力側に接続され、その出力側はノードN
1を通じてNAND論理ゲートG3の一方の入力側に接
続され、入力端子I2,I3はNAND論理ゲートG2
の入力側に接続され、その出力側はノードN2を通じて
論理ゲートG3の他方の入力側に接続され、その出力側
はノードN3を通じてインバータ論理ゲートG4の入力
側とNOR論理ゲートG5の一方の入力側に接続され、
論理ゲートG5の他方の入力側に入力端子I3が接続さ
れ、論理ゲートG4,G5の各出力側に出力端子O2,
O3が接続されている。また、図に示していないが論理
ゲートG1,G2,G3,G4,G5は共通の電源端子
に接続されている。
【0081】上記被試験CMOS集積回路に対しておこ
なった故障シミュレーション結果の一例を図15に示
す。図15において、第1列はテストパターン系列の識
別子を示す。図15の第2列は被試験CMOS集積回路
の入力端子I1,I2,I3にあたえる入力信号(テス
トパターン系列)を示し、第3列は各テストパターン系
列をあたえたとき、故障がない被試験CMOS集積回路
の内部信号ノードN1 ,N2,N3に生じる信号を示
し、第4列は各テストパターン系列をあたえたとき、故
障がない被試験CMOS集積回路の出力端子O1,O2
に生じる信号を示す。ここで、図15の第2、第3、第
4列における信号“0”,“1”,“R”,“F”は、
それぞれ、常時ローレベルの信号<“0”,“0”>
(<>内の第1要素は初期信号値を示し、第2要素は最
終信号値を示す)、常時ハイレベルの信号(<“1”,
“1”>)、ローレベルからハイレベルへの立ち上がり
信号(<“0”,“1”>)、ハイレベルからローレベ
ルへの立ち下がり信号(<“1”,“0”>)を示す。
このため、各テストパターン系列は2つのテストパター
ンからなり、たとえば、テストパターン系列T1=“0
0R”はI1,I2,I3=<“000”,“001”
>を意味する。つまり“000”及び“001”はそれ
ぞれテストパターンであり、“000”,“001”の
列はテストパターン系列である。図15の第5列は、各
テストパターン系列をあたえたとき過渡電源電流をもち
いた試験で検出可能な故障論理ゲートの集合(故障個所
リスト)を示す。
【0082】論理ゲートが遅延故障や断線故障をもつと
き、論理ゲートのスイッチング動作が遅くなり、これに
伴って論理ゲートの過渡電源電流波形が変化するため、
被試験集積回路の過渡電源電流は異常を示す。このた
め、あるテストパターン系列をあたえ過渡電源電流に異
常が生じるか否かを観測することによって、上記入力テ
ストパターン系列によりスイッチング動作を生じる論理
ゲートに対し、これらの論理ゲートが故障しているか否
かを判別することができる。たとえば、図14に示す被
試験CMOS集積回路にテストパターン系列T2 をあた
えると、図中に信号状態を示すように被試験CMOS集
積回路内の論理ゲートG2,G3,G4,G5にスイッ
チング動作を生じ、内部信号ノード(信号線)N2,N
および出力端子O1,O2に遷移信号が生じる。し
たがって、論理ゲートG2,G3,G4,G5のいずれ
かに故障が存在するとき、テストパターン系列T2をも
ちいた過渡電源電流試験において過渡電源電流に異常が
観測される。すなわち、テストパターン系列T2をもち
いた過渡電源電流試験により、論理ゲートG2,G3
G4,G5の故障を検出できる。したがって、テストパ
ターン系列T2に対する故障個所リストは、以上の故障
シミュレーションによりGT2={G2,G3 ,G
4,G5}ともとめることができる。
【0083】以上により、あるテストパターン系列で検
出可能な論理ゲート単位の故障リストを生成することが
できる。さらに、この発明の故障リスト生成方法は、論
理ゲート単位での故障リスト生成に限定されるものでは
なく、集積回路内部の信号線に故障を仮定することによ
り信号線を単位として故障リストを生成することもでき
る。図16に被試験CMOS集積回路を示す。被試験集
積回路は、3つの入力端子I1,I2,I3、2つの出
力端子O1,O2、5つの論理ゲートG1,G2,G
3,G4,G5をもち、12の信号線L1,L2,…,
L12をもつ。ここで、信号線には入出力信号線も含む
ものとし、分岐した信号線はそれぞれ別々の信号線とし
た。また、出力信号線L11,L12は出力バッファG
6,G7に接続されているものとする。つまり入力端子
I1は信号線L1を通じてインバータ論理ゲートG1の
入力側に接続され、入力端子I2,I3はそれぞれ信号
線L2,L3,L4を通じてNAND論理ゲートG2の
入力側に接続され、論理ゲートG1,G2の各出力側は
信号線L6,L7を通じてNAND論理ゲートG3の入
力側に接続され、論理ゲートG3の出力側は信号線L
8,L9を通じてインバータ論理ゲートG4の入力側
と、信号線L8,L10を通じてNOR論理ゲートG5
の一方の入力側とに接続され、論理ゲートG5の他方の
入力側に入力端子I3 が信号線L3,L5を通じて入力
側に接続され、論理ゲートG4の出力側は信号線L1
1、バッファG6を通じて出力端子O1に接続され、論
理ゲートG5の出力側は信号線L12、バッファG7を
通じて出力端子O2に接続される。図に示していないが
論理ゲートG1 〜G5および出力バッファG6,G7
の各電源端子は共通の電源に接続されている。
【0084】上記被試験CMOS集積回路に対しておこ
なった故障シミュレーション結果の一例を図17に示
す。図17において、第1列はテストパターン系列の識
別子を示す。図17の第2列は被試験CMOS集積回路
の入力端子I1,I2,I3にあたえる入力信号を示
し、第3列は各テストパターン系列をあたえたとき被試
験CMOS集積回路の信号線L1,L2,…,L12に
生じる信号を示し、第4列は各テストパターン系列をあ
たえたとき被試験CMOS集積回路の出力端子O1,O
2に生じる信号を示す。ここで、図17の第2、第3、
第4列における信号“0”,“1”,“R”,“F”
は、それぞれ、常時ローレベルの信号<“0”,“0”
>(<>内の第1要素は初期信号値を示し、第2要素は
最終信号値を示す)、常時ハイレベルの信号(<
“1”,“1”>)、ローレベルからハイレベルへの立
ち上がり信号(<“0”,“1”>)、ハイレベルから
ローレベルへの立ち下がり信号(<“1”,“0”>)
を示す。このため、各テストパターン系列は2つのテス
トパターンからなり、たとえば、テストパターン系列T
1=“00R”はI1I2I3 =<“000”,“00
1”>を意味する。図17の第5列は、各テストパター
ン系列をあたえたとき過渡電源電流をもちいた試験で検
出可能な故障信号線の集合、すなわち、故障個所リスト
を示す。集積回路内部の信号線が断線故障をもつとき、
その故障信号線を入力とする論理ゲートのスイッチング
動作が遅くなり、これに伴って論理回路の過渡電源電流
波形が変化するため、被試験集積回路の過渡電源電流は
異常を示す。このため、あるテストパターン系列をあた
え過渡電源電流に異常が生じるか否かを観測することに
よって、上記入力テストパターン系列によりスイッチン
グ動作を生じる信号線に対し、これらの信号線を入力と
する論理ゲートがスイッチング動作を生じるとき、これ
らの論理回路が故障しているか否かを判別することがで
きる。
【0085】たとえば、図16に示した被試験CMOS
集積回路にテストパターン系列T6 をあたえると図中に
各部における信号の0,1を表示しているように、被試
験CMOS集積回路内の信号線L2,L7,L8,L
9,L10,L11にスイッチングが生じ、さらに被試
験CMOS集積回路内の論理ゲートG2,G3,G4
よび出力バッファG6にスイッチングが生じる。信号線
L10はスイッチングが生じるが信号線L10を入力と
する論理ゲートG5はスイッチングが生じない。したが
って、信号線L2,L7,L8,L9,L11のいずれ
かに故障が存在するとき、テストパターン系列T6をも
ちいた過渡電源電流試験において過渡電源電流に異常が
観測される。すなわち、テストパターン系列T6をもち
いた過渡電源電流試験により、信号線L2,L7,L
8,L9,L11の故障を検出できる。したがって、テ
ストパターン系列T6に対する故障個所リストは、以上
の故障シミュレーションによりLT6={L2,L7,
L8,L9,L11}ともとめることができる。
【0086】以上により、あるテストパターン系列で検
出可能な信号線単位の故障リストを生成することができ
る。さらに、この発明の故障リスト生成方法は、論理ゲ
ートを接続する信号線単位での故障個所推定に限定され
るものではなく、論理ゲート内部の信号線に故障を仮定
することにより論理ゲート内部の信号線も対象故障とす
ることも可能である。さらに、この発明の故障解析方法
はCMOS集積回路に限定されるものではなく、他のタ
イプの半導体集積回路にも適用することができる。
【0087】
【発明の実施の形態】以下、この発明の実施例について
説明する。図18はこの発明の実施例で使用される故障
シミュレータの構成の一例を示している。この故障シミ
ュレータ100は、被試験半導体集積回路にあたえるテ
ストパターンを次々と発生するテストパターン発生手段
101と、上記テストパターン発生手段101により選
定された各テストパターンを、被試験半導体集積回路に
入力した場合の論理シミュレーションを順次おこない、
回路内部の信号線に生じる論理信号値列を計算する論理
シミュレータ102と、上記論理シミュレータ102に
より計算された各信号線の論理信号値列をもちいて所定
のテストパターン系列により過渡電源電流試験で検出可
能な故障リストを生成する故障リスト生成手段103
と、によって構成されている。テストパターン発生手段
101、論理シミュレータ102、および、故障リスト
生成手段103は、ハードウェアで構成してもよいし、
ソフトウェアで構成することもできる。つまりテストパ
ターン発生手段101は、例えば入力端子が3つの被試
験半導体集積回路の場合、3つの疑似ランダムパターン
系列発生器を設け、その初期値を互いに異ならせて同一
のクロックで同期して、ランダムパターン系列を発生さ
せ、各クロックごとに3つの疑似ランダムパターン系列
発生器の各出力をテストパターンとして取出せばよい。
あるいは3ビットカウンタにクロックを計数させ、その
各3ビットで表わされた計数値をテストパターンとして
取出してもよい。これらの手法はソフトウェアで構成す
ることも容易に理解されよう。また所要のテストパター
ンをメモリに記憶しておき、これを順次取出してもよ
い。何れにも、被試験半導体集積回路について、何れの
論理ゲートでの遅延故障、何れの信号線での断線故障で
も検出可能な個所として検出できるのに必要な十分なデ
ータが得られるだけの各テストパターンを発生可能に、
例えば疑似ランダム発生器のシフト段数を選定してお
く。
【0088】論理シミュレータ102はソフトウェアで
構成する場合は、テストパターンが被試験半導体集積回
路に入力された場合、そのテストパターンについて、被
試験半導体集積回路の各論理ゲートにおける演算を順次
ソフトウェアにより演算し、その各演算結果をその結果
(論理信号値)が現われる信号線について記憶してゆく
ことを各テストパターンについて行うことにより各信号
線に生じる論理信号値列を容易に得ることができる。論
理シミュレータ102をハードウェアで構成する場合
は、例えばフィールドプログラマブルゲートアレー(F
PGA)に被半導体集積回路の回路情報を入力して、被
半導体集積回路を構成し、この回路にテストパターンを
入力した時の各信号線の信号論理値を検出し、これを対
応する信号線ごとに記憶してゆくことを各テストパター
ンごとに順次行わせる構成とすればよい。この論理シミ
ュレータ102は、汎用の論理シミュレータ、たとえ
ば、シノプシス社製のVHDL System Simulator (V
SS)をもちいることができる。故障リスト生成手段1
03については後で述べる。
【0089】つぎに、この発明の故障シミュレータ10
0を使用して半導体集積回路の故障シミュレーションを
おこなう場合の動作を説明する。図19はこの発明の故
障シミュレーション方法の処理手順を示している。はじ
めに、テストパターン発生手段101が、ステップ20
1において、故障リストを生成したいテストパターンの
系列のテストパターンの初期値を設定する。つぎに、ス
テップ202において、論理シミュレータ102が、ス
テップ201において設定されたテストパターンを取得
する。つぎに、論理シミュレータ102は、ステップ2
03において取得されたテストパターンを用いて被試験
半導体集積回路の動作の論理シミュレーションをおこな
い、回路内部の信号線に生じる論理信号値を計算し、そ
の論理信号値を各信号線ごとに記憶装置に記憶する。つ
ぎに、故障シミュレータ100は、ステップ204にお
いて、上記テストパターンの系列中で処理されていない
テストパターンが存在するか否かを確認し、処理されて
いないテストパターンが存在するならば、ステップ20
5で次に選択するテストパターンを設定し(例えば疑似
ランダムパターン発生器の動作を1クロック進め)、ス
テップ202およびステップ203を繰り返し、ステッ
プ204で処理されていないテストパターンが存在しな
いならば(例えば疑似ランダムパターン発生器がそのラ
ンダムパターンの1周期の発生が終了すると)、ステッ
プ206に移行する。最後に、ステップ206におい
て、故障リスト生成手段103が、上記記憶装置に記憶
されている。上記ステップから得られた回路内部の信号
線に生じる論理信号値列をもとに上記テストパターン系
列で検出されうる故障のリストを生成し、処理を終了す
る。つまり前述した例の場合のようにテストパターン系
列が各2つのテストパターンよりなる場合は、テストパ
ターン発生手段101から発生された任意の2つのテス
トパターンを取り出してテストパターン系列識別番号を
与え、このテストパターン系列識別番号について検出で
きる故障のリストを作る。上記ステップ202,20
3,204において、各テストパターンに対して論理シ
ミュレータ102によって計算された論理信号値の時間
系列は、テストパターン系列におけるテストパターンの
時間系列とは対応したものとなる。
【0090】図20は図19中のステップ206におけ
る故障リスト生成方法の処理手順の例を示している。は
じめに、ステップ301において、被試験半導体集積回
路内で故障となり得る個所の1つを初期設定する。つぎ
に、ステップ302において、上記設定個所が故障した
場合に過渡電源電流試験による故障検出条件を論理シミ
ュレータ102により計算された論理信号値系列が満た
すか否かを確認し(この具体的手法は後で述べる)、過
渡電源電流試験による故障検出条件を満たすならば、ス
テップ303において上記設定個所を故障リストに登録
し、ステップ302で過渡電源電流試験による故障検出
条件を満たさないならば、ステップ304に移行する。
ステップ304において、他に処理されていない故障と
なり得る個所が存在するか否かを確認し、処理されてい
ない故障となり得る個所が存在するならば、ステップ3
05で次の故障となり得る個所を設定してステップ30
2に移る。このようにして被試験半導体集積回路内で故
障となりうるすべての個所が処理されるまでステップ3
02,303,304,305を繰り返し、処理されて
いない個所が存在しないならば、処理を終了する。
【0091】図21は論理ゲート単位で故障リストを生
成する場合、図19中のステップ206における故障リ
スト生成方法の処理手順の例を示している。はじめに、
ステップ401において、被試験半導体集積回路内の故
障が生じうる論理ゲートの1つを初期設定する。つぎ
に、ステップ402においてその設定論理ゲートの出力
信号線の、論理シミュレータ102により計算された論
理信号値系列がスイッチング動作を生じているか否かを
図19中のステップ203での処理結果が、記憶装置に
記憶された各テストパターン系列についての各論理ゲー
トの出力信号線の記憶状態から確認し、上記故障論理ゲ
ートの出力信号線の論理信号値系列がスイッチング動作
を生じているならば、ステップ403において上記設定
論理ゲートとそのテストパターン系列を故障リストに登
録する。例えば図14に示した回路において論理ゲート
G1が設定されると、その出力信号線(ノード)N1の
論理信号値系列、つまり図15中の第3列欄中の第1列
目の1,1,0,0,…の系列からR又はFとなるテス
トパターン系列T9,T10,T11,T12を探し、
故障リスト中のG1に対しT9,T10,T11,T1
2を登録し、又はテストパターン系列T9,T10,T
11,T12のそれぞれにG1を登録する。ここで、上
記故障論理ゲートの出力信号線が信号値R(立ち上がり
遷移)をもつときに検出可能な故障と出力信号線が信号
値F(立ち下がり遷移)をもつときに検出可能な故障が
別の故障であるとして、それらを別々の故障として登録
することもできる。たとえば、論理ゲートG1が設定さ
れたとき、G1の出力信号線がRをもつときに検出可能
な故障をG1R,G1の出力信号線がFをもつときに検
出可能な故障をG1Fとして故障リストに登録する。上
の例では、論理ゲートG1の出力信号線N1はテストパ
ターン系列T9,T10,T11,T12にたいしてい
ずれも信号値Fをもつため、故障リスト中のG1Fにた
いしT9,T10,T11,T12を登録し、またはテ
ストパターン系列T9,T10,T11,T12のそれ
ぞれにG1Fを登録する。ステップ402で上記設定論
理ゲートの出力信号線の論理信号値系列がスイッチング
動作を生じていないならば、ステップ404に移行す
る。ステップ404において、他に処理されていない論
理ゲートが存在するか否かを確認し、処理されていない
論理ゲートが存在するならば、ステップ409で次の故
障が起り得る論理ゲートを設定してステップ402に移
る。このようにして被試験半導体集積回路内で故障が起
こりうるすべての論理ゲートが処理されるまでステップ
402,403,404,405を繰り返し、処理され
ていない論理ゲートが存在しないならば、処理を終了す
る。
【0092】設定した論理ゲートの出力信号線の論理信
号値系列がスイッチング動作を生じていれば、そのスイ
ッチング動作をするテストパターン系列を入力した時
に、その時の半導体集積回路の過渡電源電流を測定し、
先に述べた過渡電源電流の所定時刻後の瞬時値、又は過
渡電源電流のパルス幅、或は過渡電源電流の積分値か
ら、その設定論理ゲートが遅延故障を生じているか否か
推定することができる。つまり論理ゲート単位での故障
リストの生成は設定論理ゲートの出力信号線の論理信号
値系列にスイッチング動作が生じているか否かを確認す
ることは故障した場合の過渡電源電流試験による故障検
出条件を満たすか否かを確認することになる。
【0093】図22は信号線単位で故障リストを生成す
る場合の図19中のステップ206における故障リスト
生成方法の処理手順の例を示している。はじめに、ステ
ップ501において、被試験半導体集積回路内で故障が
生じうる信号線の1つを初期設定する。つぎに、ステッ
プ502において、論理シミュレータ102により計算
された結果中の上記設定信号線の論理信号値系列がスイ
ッチング動作を生じているか否かを図19中のステップ
203での論理シミュレーションの結果、記憶装置に記
憶されている各テストパターン系列ごとの設定信号線の
論理信号値系列から確認し、上記設定信号線の論理信号
値系列がスイッチング動作を生じているならば、ステッ
プ503に移行し、例えば図16に示した回路において
信号線L2が設定された場合、その論理信号値系列は図
17の第3列欄の第2列の0,1,0,1,…,とな
り、この系列中にR又はFがあるかを確認し、この場合
テストパターン系列T5,T6,T7,T8でRとなっ
ており、つまりスイッチング動作が生じているからステ
ップ503に移行し、上記設定信号線の論理信号値系列
がスイッチング動作を生じていないならば、ステップ5
05に移行する。つぎに、ステップ503において、論
理シミュレータ102により計算された結果中の、上記
設定信号線を入力とする論理ゲートの出力信号線の論理
信号値系列が、ステップ502でスイッチング動作を生
じているテストパターン系列でスイッチング動作を生じ
ているか否かを確認し、上記設定信号線を入力とする論
理ゲートの出力信号線の論理信号値系列が上記スイッチ
ング動作するテストパターン系列でスイッチング動作を
生じているならば、ステップ504において上記設定信
号線を故障リストに登録し、つまり前記例では設定信号
線L2を入力とする論理ゲートG2の出力信号線L7の
論理信号系列中の、設定入力信号でスイッチング動作す
るテストパターン系列T5,T6,T7,T8中でT6
とT8はFとなっておりスイッチング動作をしているか
ら、設定信号線L2に対しテストパターン系列T6,T
8を登録する。又は故障リストのT6,T8にL2を登
録する。ステップ503で上記設定信号線を入力とする
論理ゲートの出力信号線の論理信号値系列が上記スイッ
チング動作するテストパターン系列でスイッチング動作
を生じていないならば、ステップ505に移行する。ス
テップ505において、他に処理されていない故障を起
し得る信号線が存在するか否かを確認し、処理されてい
ない信号線が存在するならばステップ506で次の故障
が起り得る信号線を設定してステップ502に移る。こ
のようにして被試験半導体集積回路内で故障が起こりう
るすべての信号線が処理されるまでステップ502,5
03,504,505,506を繰り返し、処理されて
いない故障が起り得る信号線が存在しないならば、処理
を終了する。
【0094】設定した信号線に断線があり、その設定信
号線を入力線とする論理ゲートに断線遅延故障が生じ、
これを過渡電源電流試験により検出できるためには、設
定信号線の論理信号値系列でスイッチング動作があり、
かつそのスイッチング動作で設定信号線を入力線とする
論理ゲートの出力信号線がスイッチング動作しなければ
ならない。よって、設定信号線単位での故障リストの生
成で上述したように、設定信号線の論理信号値系列にス
イッチング動作があるかを確認し、ある場合はそのスイ
ッチング動作で、その設定信号線を入力線とする論理ゲ
ートの出力信号線がスイッチング動作するかをその出力
信号線の論理信号値系列により確認することは、故障し
た場合に過渡電源電流試験による故障検出条件を満すか
否かを確認したことになる。
【0095】次に信号伝搬パスを単位として故障リスト
を生成する場合の処理手順の例を図23を参照して説明
する。ステップ801で故障が起こり得る信号伝搬パス
の1つを初期設定する。ステップ802で、図19中の
ステップ203による論理シミュレーションの結果が記
憶されている記憶装置の記憶内容から、各テストパター
ン系列ごとに、その設定信号伝搬パスの各部がすべてス
イッチングしているか否かを調べ、すべてスイッチング
していれば、ステップ803でそのテストパターン系列
と、設定した信号伝搬パスとを故障リストに登録する。
つまり例えば論理ゲート単位の論理シミュレーションで
は、図14に示した半導体集積回路の場合には、各テス
トパターン系列に対し、図15に示したように各入力端
子、各内部ノード、各出力端子が変化する。よって例え
ば設定された故障が起こり得る信号伝搬パスが<I1,
N1,N3,O1>の場合、論理シミュレーションの結
果の記憶装置から、テストパターン系列T9に対し、I
1がR、N1がF、N3がR、O1がFであり、このパ
ス上のすべての各部がスイッチングする。またテストパ
ターン系列T10,T11に対してもI1がR、N1が
F、N3がR、O1がFであり、同様にこのパス上の全
ての各部がスイッチングする。よって故障リストに信号
伝搬パス<I1,N1,N3,O1>に対し、テストパ
ターン系列T9,T10,T11を登録し、又はテスト
パターン系列T9,T10,T11のそれぞれに対し、
パス<I1,N1,N3,O1>を登録する。同様にし
て例えば内部信号線単位の論理シミュレーションでは、
図16に示した半導体集積回路の場合には、各テストパ
ターン系列に対し、図17に示したように、各入力端
子、各内部信号線、各出力端子が変化する。よって例え
ば設定された故障が起こり得る信号伝搬パスが<I3,
L3,L5,L12,O2>の場合、論理シミュレーシ
ョンの結果の記憶装置から、テストパターン系列T1に
対し、I3がR、L3がR、L5がR、L12がF、O
2がFであり、このパス上の全ての各部がスイッチング
する。またテストパターン系列T2に対してもI3が
R、L3がR、L5がR、L12がF、O2がFであ
り、同様にこのパス上の全ての各部がスイッチングす
る。よって故障リストに信号伝搬パス<I3,L3,L
5,L12,O2>に対し、テストパターン系列T1,
T2を登録し、又はテストパターン系列T1,T2に対
してパス<I3,L3,L5,L12,O2>をそれぞ
れ登録する。ここで、故障リストに登録される信号伝搬
パスは、被試験回路の入力端子から出力端子まで到達す
るパスに限定されるものではなく、たとえば図16に示
した半導体集積回路の<I1,N1>、または図16に
示した半導体集積回路の<I1,L1,L6>のように
出力端子まで到達しない信号伝搬パスを対象とすること
もできる。
【0096】このようにステップ803で故障リストに
登録後、又はステップ802でそのパスの全ての各部で
スイッチングを行うテストパターン系列がない場合はス
テップ804で処理していない信号伝搬パスが残ってい
ないかを調べ、残っていればステップ805で次の故障
が起こり得る信号伝搬パスを設定してステップ803に
移る。このようにして処理していない故障が起こり得る
信号伝搬パスが残っている限り、ステップ802,80
3,804,805を繰り返し、故障が起こり得る信号
伝搬パスの全てについて処理を済ますと終了となり、故
障リストが完成される。図14に示した半導体集積回路
について論理ゲートがスイッチングするかの論理シミュ
レーションを行った場合の故障リストは図24に示すよ
うになり、図16に示した半導体集積回路について内部
信号線がスイッチングするかの論理シミュレーションを
行った場合の故障リストは図25に示すようになる。
【0097】上述では全てのテストパターンについて論
理シミュレーションを行った後、故障リストを作成した
が、1つのテストパターン系列ごとに論理シミュレーシ
ョンの結果について故障リストへの登録の必要があれば
登録し、全てのテストパターン系列について論理シミュ
レーションを終了すると故障リストの生成が終了するよ
うにすることもできる。その処理手順の例を図26に示
す。例えば入力端子が3つの被試験半導体集積回路の場
合、3つの疑似ランダムパターン系列発生器を設け、そ
の初期値を互いに異ならせて同一のクロックで同期し
て、ランダムパターン系列を発生させ、かつ各出力を1
クロック遅延レジスタに入力する。テストパターン系列
は、各クロックごとに3つの遅延レジスタの各出力のテ
ストパターンを取り出し、その後、3つの疑似ランダム
パターン系列発生器の各出力をテストパターンとして取
り出すことにより生成できる。ステップ901におい
て、故障リストを生成したいテストパターン系列の1つ
を選択し、例えば前述したように遅延レジスタの出力と
疑似ランダムパターン発生器の出力よりなるテストパタ
ーン系列を選択し、次にステップ902で選択したテス
トパターン系列中のテストパターンの初期値、例えば各
遅延レジスタの出力を設定する。ステップ903におい
て、設定されたテストパターンを取得し、ステップ90
4において取得されたテストパターンを用いて被試験半
導体集積回路の動作の論理シミュレーションをおこな
い、回路内部の信号線に生じる論理信号値を計算し、そ
の論理信号値を各信号線ごとに記憶装置に記憶する。ス
テップ905において、上記選択したテストパターン系
列中に処理されていないテストパターンが存在するか否
かを確認し、処理されていないテストパターンが存在す
るならば、ステップ906で次に選択するテストパター
ン、例えば疑似ランダムパターン発生器の各出力を設定
し、ステップ903及びステップ904を繰り返し、ス
テップ905で処理されていないテストパターンが存在
しないならばステップ907でそのテストパターン系列
についての論理シミュレーション結果に基づいて故障リ
ストへの登録のための処理を行う。この処理については
後で述べる。次にステップ908に移り選択していない
テストパターン系列が残っているか否かを判断し、残っ
ていればステップ901に移りテストパターン系列を選
択する。例えば疑似ランダムパターン発生器の動作を1
クロック進める。再びその新たに選択したテストパター
ン系列についてステップ902〜907の処理がおこな
われる。ステップ908で選択していないテストパター
ン系列が残っていなければ、例えば疑似ランダムパター
ン発生器がそのランダムパターンの1周期の発生が終了
すると、処理は終了する。
【0098】図26中のステップ907における故障リ
ストへの登録処理手順の例を図21を参照して説明す
る。ステップ401において、被試験半導体集積回路内
の故障が生じうる論理ゲートの1つを初期設定する。次
に、ステップ402において、論理シミュレータ102
により、その時、図26中のステップ901で選択され
たテストパターン系列に対し、計算された結果中の上記
設定論理ゲートの出力信号線の論理信号値系列がスイッ
チング動作を生じているか否かを確認し、上記設定論理
ゲートの出力信号線の論理信号値系列がスイッチング動
作を生じているならば、ステップ403において上記設
定論理ゲートとそのテストパターン系列を故障リストに
登録する。例えば図14に示した回路において論理ゲー
トG1が設定されると、その出力信号線(ノード)N1
の論理信号値系列、図15中の第3列欄中の第1列目を
見ればテストパターン系列T9が選択されていれば故障
リストのT9にG1を登録し、又はG1にT9を登録す
る。ここで、上記故障論理ゲートの出力信号線が信号値
R(立ち上がり遷移)をもつときに検出可能な故障と出
力信号線が信号値F(立ち下がり遷移)をもつときに検
出可能な故障が別の故障であるとして、それらを別々の
故障として登録することもできる。たとえば、論理ゲー
トG1が設定されたとき、G1の出力信号線がRをもつ
ときに検出可能な故障をG1R,G1の出力信号線がF
をもつときに検出可能な故障をG1Fとして故障リスト
に登録する。上の例では、論理ゲートG1の出力信号線
N1はテストパターン系列T9にたいして信号値Fをも
つため、故障リスト中のG1FにたいしT9を登録し、
またはテストパターン系列T9にG1Fを登録する。上
記設定論理ゲートの出力信号線の論理信号値系列がスイ
ッチング動作を生じていないならば、ステップ404に
移行する。ステップ404において他に処理されていな
い論理ゲートが存在するか否かを確認し、処理されてい
ない論理ゲートが存在するならば、ステップ405で次
の故障が起こり得る論理ゲートを設定してステップ40
2に移る。このように被試験半導体集積回路内で故障が
起こり得るすべての論理ゲートが処理されるまでステッ
プ402,403,404,405を繰り返し、処理さ
れていない論理ゲートが存在しないならば、処理を終了
する。このようにして各テストパターン系列を選択する
ごとに、全ての故障となり得る論理ゲートについて、そ
のテストパターン系列と設定論理ゲートを故障リストに
登録するか否かの処理をする。
【0099】信号線単位で故障リストを生成する場合の
図26中のステップ907における故障リスト生成方法
の処理手順の例を図22を参照して説明する。ステップ
501において、被試験半導体集積回路内で故障が生じ
うる信号線の1つを初期設定する。つぎに、ステップ5
02において、論理シミュレータ102により図26中
のステップ901で選択されたテストパターン系列に対
し、計算された結果中の上記設定信号線の論理信号値系
列がスイッチング動作を生じているか否かを確認し、上
記設定信号線の論理信号値系列がスイッチング動作を生
じているならば、ステップ503に移行し、上記設定信
号線の論理信号値系列がスイッチング動作を生じていな
いならば、ステップ505に移行する。つぎにステップ
503において、論理シミュレータ102により図26
中のステップ901で選択されたテストパターン系列に
対し計算された結果中の、上記設定信号線を入力とする
論理ゲートの出力信号線の論理信号値系列がスイッチン
グ動作を生じているか否かを確認し、上記設定信号線を
入力とする論理ゲートの出力信号線の論理信号値系列が
上記テストパターン系列でスイッチング動作を生じてい
るならばステップ504において上記設定信号線を故障
リストに登録する。つまり例えば図16に示した回路で
図26中のステップ901でテストパターン系列T1が
選択され、図22のステップ501において信号線L3
が設定されたとき、L3及びL3を入力とする論理ゲー
トG5の出力信号線L12にスイッチング動作が生じて
いるため、故障リスト中の設定信号線L3にテストパタ
ーン系列T1を登録する、又はT1にL3を登録する。
上記設定信号線を入力とする論理ゲートの出力信号線の
論理信号値系列がその時選択したテストパターン系列で
スイッチング動作を生じていないならば、ステップ50
5に移行する。ステップ505において、他に処理され
ていない故障を起こし得る信号線が存在するか否かを確
認し、処理されていない信号線が存在するならばステッ
プ506でつぎの故障が起こり得る信号線を設定してス
テップ502に移る。このようにして被試験半導体集積
回路内で故障が起こり得るすべての信号線が処理される
までステップ502,503,504,505,506
を繰り返し、処理されていない故障が起こり得る信号線
が存在しないならば、処理を終了する。このような処理
をステップ901でテストパターンが選択されるごと
に、行う。
【0100】信号伝搬パス単位で故障リストを生成する
場合の図26中のステップ907における故障リスト生
成方法の処理手順の例を図23を参照して説明する。ス
テップ801において、被試験半導体集積回路内で故障
が生じうる信号伝搬パスの1つを初期設定する。つぎ
に、ステップ802において、論理シミュレータ102
により図26中のステップ901で選択されたテストパ
ターン系列に対し、計算された結果中の上記設定信号伝
搬パスの各部が全てスイッチングしているかを調べ、全
てスイッチングしているならば、ステップ803に移行
し、上記設定信号伝搬パスを故障リストに登録する。つ
まり例えば図14に示した回路で図26中のステップ9
01でテストパターン系列T9が選択され、図23のス
テップ801において信号伝搬パス<I1,N1,N
3,O1>が設定されたとき、そのパス上の各部が全て
スイッチングするため、故障リスト中の設定信号伝搬パ
ス<I1,N1,N3,O1>にテストパターン系列T
9を登録する、又はT9に<I1,N1,N3,O1>
を登録する。ここで、故障リストに登録される信号伝搬
パスは、被試験回路の入力端子から出力端子まで到達す
るパスに限定されるものではなく、たとえば図16に示
した半導体集積回路の<I1,N1>、または図16に
示した半導体集積回路の<I1,L1,L6>のように
出力端子まで到達しない信号伝搬パスを対象とすること
もできる。上記設定信号伝搬パスの各部のいずれかでス
イッチングが生じていないならば、ステップ804に移
行する。ステップ804において、他に処理されていな
い故障を起こし得る信号伝搬パスが存在するか否かを確
認し、処理されていない信号伝搬パスが存在するならば
ステップ805でつぎの故障が起こり得る信号伝搬パス
を設定してステップ802に移る。このようにして被試
験半導体集積回路内で故障が起こり得るすべての信号伝
搬パスが処理されるまでステップ802,803,80
4,805を繰り返し、処理されていない故障が起こり
得る信号伝搬パスが存在しないならば、処理を終了す
る。このような処理をステップ901でテストパターン
が選択されるごとに、行う。
【0101】図25に示した信号伝搬パス単位での故障
リスト生成も同様に行うことができる。図27はこの発
明による故障シミュレータの他の実施例を示している。
この故障シミュレータ600は、被試験半導体集積回路
にあたえる2つ以上のテストパターンからなるテストパ
ターン系列を選択するテストパターン系列選定手段60
1と、仮定した故障を被試験半導体集積回路に挿入する
故障挿入手段602と、上記故障挿入手段602により
故障を挿入した回路に上記テストパターン系列選定手段
601により選定されたテストパターン系列をあたえ回
路ミシュレーションをおこなうことにより、上記被試験
半導体集積回路の過渡電源電流を計算する回路シミュレ
ータ603と、上記回路シミュレータ603により計算
された過渡電源電流を正常回路の過渡電源電流と比較
し、上記故障が上記テストパターン系列により過渡電源
電流試験で検出可能であるか否かを判定することにより
故障リストを生成する故障リスト生成手段604と、に
よって構成されている。テストパターン系列選定手段6
01、故障挿入手段602、回路シミュレータ603、
および、故障リスト生成手段604は、ハードウェアで
構成してもよいし、ソフトウェアで構成することもでき
る。回路シミュレータ603はソフトウエアで構成する
場合はトランジスタ単位で被試験半導体集積回路の接続
情報、例えば図3aに示す各トランジスタがどのように
接続されているかを示す接続情報を入力することによ
り、被試験半導体集積回路をソフトウエア上で構成し、
入力パターンに対する過渡電源電流を、各トランジスタ
の特性のモデルファイルをその設定条件に応じて読み出
してシミュレーションにより演算するものがあり、断線
故障の挿入は断線を想定する個所に高抵抗素子を直列に
挿入すればよく、遅延故障はそれを想定する個所に遅延
素子を挿入すればよい。この回路シミュレータ603は
汎用の回路シミュレータ、たとえば、アバンティ社製の
Star-HSPICE を用いることができる。
【0102】つぎに、この発明の故障シミュレータ60
0を使用して半導体集積回路の故障シミュレーションを
おこなう場合の動作を説明する。図28はこの発明の故
障シミュレーション方法の他の実施例の処理手順を示し
ている。はじめに、テストパターン系列選定手段601
が、ステップ701において、故障リストを生成したい
テストパターン系列を選択する。つぎに、ステップ70
2において、故障挿入手段602が、被試験半導体集積
回路内に起こりうる故障を1つ仮定し、上記被試験半導
体集積回路内に上記故障を挿入する。つぎに、ステップ
703において、回路シミュレータ603が、ステップ
701において選択されたテストパターン系列を、ステ
ップ702において故障が挿入された故障回路と故障が
挿入されていない正常回路に入力した場合の回路シミュ
レーションをおこない、それぞれの回路の過渡電源電流
を計算する。つぎに、ステップ704において、故障リ
スト生成手段604は、回路シミュレータ603から得
られた故障回路に対する過渡電源電流と正常回路の過渡
電源電流を比較することによって故障回路の過渡電源電
流に異常が生じているか否かを確認し、故障回路の過渡
電源電流に異常が生じているならば、ステップ705に
おいて上記故障とそのテストパターン系列を故障リスト
に登録し、故障回路の過渡電源電流に異常が生じていな
いならば、ステップ706に移行する。最後に、ステッ
プ706において、他に処理されていない起こり得る故
障が存在するか否かを確認し、処理されていない起こり
得る故障が存在するならば、被試験半導体集積回路内に
起こり得るすべての故障が処理されるまでステップ70
2,703,704,705を繰り返し、処理されてい
ない故障が存在しないならば、ステップ707で他に選
択されていないテストパターン系列が残っているか否か
を確認し、選択していないテストパターン系列が残って
いるならばステップ701に戻って同様のことを行い、
選択していないテストパターン系列が残っていないなら
ば処理を終了する。ステップ704で過渡電源電流を正
常回路の過渡電源電流との比較は前述した所定時刻後の
過渡電源電流瞬時値、過渡電源電流パルス幅、過渡電源
電流の積分値の何れかの比較のみならず、過渡電源電流
の波形比較でもよい。
【0103】この発明の故障シミュレーション方法およ
び故障シミュレータは、遅延故障や断線故障に限定され
るものではなく、過渡電源電流の故障検出条件や故障モ
デルを適宜変更することにより、論理故障(縮退故障)
や短絡故障、および、MOSトランジスタのパラメータ
不良などの故障個所も検出対象とすることができる。ま
たMOSトランジスタの半導体集積回路に限らず全ての
種類の半導体集積回路についての、故障により過渡電源
電流が正常な場合と比較して変化する場合に適用するこ
とができる。上述ではテストパターン系列を2個のパタ
ーンで構成したが、3個以上でもよい。
【0104】
【発明の効果】この発明の故障シミュレーション方法に
よれば、可観測性が高く論理ゲートのスイッチング情報
をもつ過渡電源電流試験法を用いることにより、従来不
可能であった遅延故障あるいは遅延故障を生じる断線故
障に対し、あるテストパターンをもちいて過渡電源電流
試験で検出可能な故障リストを生成できるため、遅延故
障や断線故障に対する試験の効率を大幅に改善すること
ができる。
【図面の簡単な説明】
【図1】aはCMOSインバターの入力電圧VIN、出力
電圧VOUT の経時変化とその電源電流IDDの過渡応答の
一例を示す図、bはそのCMOSインバータ回路と出力
の立ち上がり遷移時に流れる電源電流を示す図、cはそ
のCMOSインバータ回路と出力立ち下り遷移時に流れ
る電源電流を示す図である。
【図2】CMOS論理ゲートの過渡応答の典型例を示
し、aは入力電圧VIN、出力電圧VOUT、電源電流IS
伝達特性図、bは過渡電流の近似波形を示す図である。
【図3】aはCMOS集積回路の例を示す回路図、bは
その集積回路に対する入力電圧、出力電圧の変化と判定
する過渡電源電流応答IDDT の様子を示す図である。
【図4】aは入、出力ラッチを備えた半導体集積回路に
対する遅延故障試験方法の基本原理を模式的に示す図、
bはその入力電圧VINに対する出力電圧VOUT の遅延と
動作クロックとの関係を示す図である。
【図5】aは論理故障を生じる信号線の断線状態と、入
力電圧及び出力電圧を示す図、bは遅延故障を生じる信
号線の断線状態と入力電圧及び出力電圧を示す図であ
る。
【図6】aは遅延故障がない場合とある場合の入力電圧
と出力電圧の時間経過を示す図、bはこれと過渡電源電
流試験方法の原理図を示すための図で対応する過渡電源
電流を示す図である。
【図7】別の過渡電源電流試験方法の原理図を示すため
の図で、aは遅延故障がない場合とある場合の入力電圧
と出力電圧の時間経過を示す図、bはこれと対応する過
渡電源電流と測定時点を示す図である。
【図8】CMOSインバータの入力遷移時間に対する過
渡電源電流の積分値の変化を示す図。
【図9】aはCMOSインバータの入力信号線に存在す
る微小オープン欠陥のモデルを示す図、bは微小オープ
ン欠陥がない場合の信号遷移時間を模式的に示す図、c
は微小オープン欠陥がある後の信号遷移時間を模式的に
示す図である。
【図10】CMOS集積回路内に存在する微小オープン
欠陥の抵抗値Ropenに対するCMOS集積回路の過渡電
源電流の積分値QDDT の変化を示す図。
【図11】CMOS製造プロセスのばらつきに対するC
MOS集積回路の過渡電源電流の積分値の分布を示すヒ
ストグラム図。
【図12】CMOS集積回路の被試験パス上に存在する
微小オープン欠陥の抵抗値Ropenに対する被試験パスの
パス遅延時間tpdの変化を示す図。
【図13】CMOS集積回路の被試験パス上に微小オー
プン欠陥が存在すると仮定したときの、CMOS集積回
路の過渡電源電流の積分値QDDT と被試験パスのパス遅
延時間tpdの間の線形性を示す図。
【図14】この被試験CMOS集積回路の一例を示す回
路図。
【図15】図14に示した被試験CMOS集積回路に対
する故障シミュレーション結果の一例を示す図。
【図16】被試験CMOS集積回路の別の一例を示す回
路図。
【図17】図16に示した被試験CMOS集積回路に対
する別の故障シミュレーション結果の一例を示す図。
【図18】この発明の故障シミュレータの実施例の構成
を示す図。
【図19】この発明の故障シミュレーション方法の処理
手順を示すフローチャート。
【図20】図19中の故障リスト生成方法の処理手順を
示すフローチャート。
【図21】論理ゲート単位で故障リストを生成するとき
の故障リスト生成方法の処理手順を示すフローチャー
ト。
【図22】信号線単位で故障リストを生成するときの故
障リスト生成方法の処理手順を示すフローチャート。
【図23】信号伝搬パス単位で故障リストを生成すると
きの故障リスト生成方法の処理手順を示すフローチャー
ト。
【図24】図14に示した回路に対する信号伝搬パス単
位の故障リストの例を示す図。
【図25】図16に示した回路に対する信号伝搬パス単
位の故障リストの例を示す図。
【図26】この発明の故障シミュレーション方法の他の
処理手順を示すフローチャート。
【図27】この発明の故障シミュレータの他の実施例の
構成を示す図。
【図28】この発明の故障シミュレーション方法の他の
実施例の処理手順を示すフローチャート。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 橋本 好弘 東京都練馬区旭町1丁目32番1号 株式会 社アドバンテスト内 Fターム(参考) 2G032 AA01 AB20 AC08 AD01 AD06 AD08 AE08 AE10 AG01 AG05 AG07 AH00 AL00 5B046 AA08 BA09 JA04 5B048 CC02 DD14 EE01 FF02

Claims (7)

    【特許請求の範囲】
  1. 【請求項1】 入力テストパターン系列で検出可能な故
    障リストを生成する方法において、 被試験半導体集積回路にあたえる2つ以上のテストパタ
    ーンからなるテストパターン系列を選択するステップ
    と、 上記選択されたテストパターン系列における各テストパ
    ターンを被試験半導体集積回路に入力した場合の論理シ
    ミュレーションをおこない、その被試験半導体集積回路
    内部の信号線に生じる論理信号値列を計算するステップ
    と、 上記論理シミュレーションにより計算された各信号線の
    論理信号値列をもちいて上記テストパターン系列により
    過渡電源電流試験で検出可能な故障リストを生成するス
    テップと、 を具備することを特徴とする半導体集積回路の故障シミ
    ュレーション方法。
  2. 【請求項2】 請求項1記載の故障シミュレーション方
    法において、 上記故障リストを生成するステップは、論理ゲートを単
    位として故障リストを生成することを特徴とする半導体
    集積回路の故障シミュレーション方法。
  3. 【請求項3】 請求項1記載の故障シミュレーション方
    法において、 上記故障リストを生成するステップは、信号線を単位と
    して故障リストを生成することを特徴とする半導体集積
    回路の故障シミュレーション方法。
  4. 【請求項4】 請求項1記載の故障シミュレーション方
    法において、 上記故障リストを生成するステップは、信号伝搬パスを
    単位として故障リストを生成することを特徴とする半導
    体集積回路の故障シミュレーション方法。
  5. 【請求項5】 入力テストパターン系列で検出可能な故
    障リストを生成する装置であって、 被試験半導体集積回路にあたえる2つ以上のテストパタ
    ーンからなるテストパターン系列を発生するテストパタ
    ーン発生手段と、 上記発生されたテストパターン系列における各テストパ
    ターンを上記被試験半導体集積回路に入力した場合の論
    理シミュレーションをおこない、その被試験半導体集積
    回路内部の信号線に生じる論理信号値列を計算する論理
    シミュレータと、 上記論理シミュレータにより計算された各信号線の論理
    信号値列をもちいて上記テストパターン系列により過渡
    電源電流試験で検出可能な故障リストを生成する故障リ
    スト生成手段と、 を具備することを特徴とする半導体集積回路の故障シミ
    ュレータ。
  6. 【請求項6】 入力テストパターン系列で検出可能な故
    障リストを生成する方法において、 仮定した故障を被試験半導体集積回路に挿入するステッ
    プと、 上記被試験半導体集積回路にあたえる2つ以上のテスト
    パターンからなるテストパターン系列を選択するステッ
    プと、 上記故障を挿入した被試験半導体集積回路に上記選択し
    たテストパターン系列をあたえて回路シミュレーション
    をおこなうことにより、 上記被試験半導体集積回路の過渡電源電流を計算するス
    テップと、 上記回路シミュレーションにより計算された過渡電源電
    流を正常回路の過渡電源電流と比較し、上記故障が上記
    テストパターン系列により過渡電源電流試験で検出可能
    であるか否かを判定するステップと、 を具備することを特徴とする半導体集積回路の故障シミ
    ュレーション方法。
  7. 【請求項7】 入力テストパターン系列で検出可能な故
    障リストを生成する装置であって、 被試験半導体集積回路にあたえる2つ以上のテストパタ
    ーンからなるテストパターン系列を選択するテストパタ
    ーン系列選定手段と、 仮定した故障を上記被試験半導体集積回路に挿入する故
    障挿入手段と、 上記故障を挿入した被試験半導体集積回路に上記選択し
    たテストパターン系列をあたえて回路シミュレーション
    をおこなうことにより、上記被試験半導体集積回路の過
    渡電源電流を計算する回路シミュレータと、 上記回路シミュレータにより計算された過渡電源電流を
    正常回路の過渡電源電流と比較し、上記故障が上記テス
    トパターン系列により過渡電源電流試験で検出可能であ
    るか否かを判定することにより故障リストを生成する故
    障リスト生成手段と、を具備することを特徴とする半導
    体集積回路の故障シミュレータ。
JP2000014962A 2000-01-24 2000-01-24 半導体集積回路の故障シミュレーション方法および故障シミュレータ Pending JP2001208803A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2000014962A JP2001208803A (ja) 2000-01-24 2000-01-24 半導体集積回路の故障シミュレーション方法および故障シミュレータ
US09/699,077 US6975978B1 (en) 2000-01-24 2000-10-27 Method and apparatus for fault simulation of semiconductor integrated circuit
DE10053758A DE10053758A1 (de) 2000-01-24 2000-10-30 Verfahren und Vorrichtung zur Fehlersimulation bei einer integrierten Halbleiterschaltung

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000014962A JP2001208803A (ja) 2000-01-24 2000-01-24 半導体集積回路の故障シミュレーション方法および故障シミュレータ

Publications (1)

Publication Number Publication Date
JP2001208803A true JP2001208803A (ja) 2001-08-03

Family

ID=18542334

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000014962A Pending JP2001208803A (ja) 2000-01-24 2000-01-24 半導体集積回路の故障シミュレーション方法および故障シミュレータ

Country Status (3)

Country Link
US (1) US6975978B1 (ja)
JP (1) JP2001208803A (ja)
DE (1) DE10053758A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106646174A (zh) * 2016-09-30 2017-05-10 浙江大学 一种应用于晶体管的高速三维电学特性测试系统
CN110597694A (zh) * 2019-08-09 2019-12-20 深圳木成林科技有限公司 一种监控前端页面的方法及终端
CN116594830A (zh) * 2023-03-17 2023-08-15 芯华章科技(北京)有限公司 硬件仿真工具、调试方法和存储介质

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4488595B2 (ja) 2000-06-08 2010-06-23 株式会社アドバンテスト テストパターン生成方法
US20040078183A1 (en) * 2002-10-21 2004-04-22 Victor Drabkin System and method of system response testing and modeling
US7191113B2 (en) * 2002-12-17 2007-03-13 International Business Machines Corporation Method and system for short-circuit current modeling in CMOS integrated circuits
US7739638B2 (en) * 2003-03-06 2010-06-15 Fujitsu Limited Circuit analyzing device, circuit analyzing method, program, and computer readable information recording medium considering influence of signal input to peripheral circuit which does not have logical influence
US7400167B2 (en) * 2005-08-16 2008-07-15 Altera Corporation Apparatus and methods for optimizing the performance of programmable logic devices
TW200622275A (en) * 2004-09-06 2006-07-01 Mentor Graphics Corp Integrated circuit yield and quality analysis methods and systems
GB0502829D0 (en) * 2005-02-11 2005-03-16 Ibm Connection error avoidance in apparatus connected to a power supply
US7266797B2 (en) * 2005-05-19 2007-09-04 International Business Machines Corporation Automated and electrically robust method for placing power gating switches in voltage islands
US7350170B2 (en) * 2005-06-01 2008-03-25 International Business Machines Corporation System and method for memory element characterization
US7362106B2 (en) * 2005-06-29 2008-04-22 Agilent Technologies, Inc. Methods and apparatus for non-contact testing and diagnosing of open connections on non-probed nodes
US7327148B2 (en) * 2005-06-29 2008-02-05 Agilent Technologies, Inc. Method for using internal semiconductor junctions to aid in non-contact testing
JP2007107905A (ja) * 2005-10-11 2007-04-26 Mitsubishi Electric Corp 基板給電評価用論理回路および基板給電評価方法
US7352170B2 (en) * 2006-06-13 2008-04-01 International Business Machines Corporation Exhaustive diagnosis of bridging defects in an integrated circuit including multiple nodes using test vectors and IDDQ measurements
US7295031B1 (en) * 2006-07-12 2007-11-13 Agilent Technologies, Inc. Method for non-contact testing of marginal integrated circuit connections
US20080126293A1 (en) * 2006-09-21 2008-05-29 International Business Machines Corporation Method and apparatus for dynamically creating scenario based test designs from hierarchical use cases
US20080263400A1 (en) * 2007-04-23 2008-10-23 Microsoft Corporation Fault insertion system
JP5141988B2 (ja) * 2007-04-23 2013-02-13 株式会社Lptex 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法
US8706467B2 (en) * 2008-04-02 2014-04-22 Synopsys, Inc. Compact circuit-simulation output
US7984353B2 (en) * 2008-08-29 2011-07-19 Advantest Corporation Test apparatus, test vector generate unit, test method, program, and recording medium
JP5161035B2 (ja) * 2008-10-29 2013-03-13 ルネサスエレクトロニクス株式会社 半導体集積回路の設計装置、設計方法およびプログラム
US8185336B2 (en) * 2008-10-30 2012-05-22 Advantest Corporation Test apparatus, test method, program, and recording medium reducing the influence of variations
US8526252B2 (en) * 2009-03-17 2013-09-03 Seagate Technology Llc Quiescent testing of non-volatile memory array
US9057764B2 (en) * 2011-10-27 2015-06-16 International Business Machines Corporation Detection of unchecked signals in circuit design verification
US8776006B1 (en) * 2013-02-27 2014-07-08 International Business Machines Corporation Delay defect testing of power drop effects in integrated circuits
JP6365467B2 (ja) * 2015-08-28 2018-08-01 株式会社デンソー 断線検出装置
CN105606300B (zh) * 2015-12-21 2018-01-26 合肥工业大学 一种电池供电式应变多维力传感器故障诊断系统
KR102592921B1 (ko) * 2015-12-31 2023-10-23 삼성전자주식회사 패턴 결함 검사 방법
CN106596035B (zh) * 2016-12-07 2018-10-09 中国航天空气动力技术研究院 一种用于风洞应变式天平健康状态监控的装置
US10969429B1 (en) * 2019-08-13 2021-04-06 Cadence Design Systems, Inc. System and method for debugging in concurrent fault simulation
US11042679B1 (en) * 2020-08-31 2021-06-22 Siemens Industry Software Inc. Diagnosis resolution prediction

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992001943A1 (en) * 1990-07-23 1992-02-06 Seiko Epson Corporation Method of inspecting semiconductor device, apparatus for inspecting the same, and method of manufacturing the same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5483170A (en) * 1993-08-24 1996-01-09 New Mexico State University Technology Transfer Corp. Integrated circuit fault testing implementing voltage supply rail pulsing and corresponding instantaneous current response analysis
JPH07159496A (ja) * 1993-10-12 1995-06-23 At & T Global Inf Solutions Internatl Inc 集積回路の検査のための装置及びその方法
US5552744A (en) * 1994-08-11 1996-09-03 Ltx Corporation High speed IDDQ monitor circuit
JP2715956B2 (ja) * 1995-01-20 1998-02-18 日本電気株式会社 Iddqを用いたCMOS論理回路の故障箇所の絞り込み方法
US5953519A (en) * 1995-06-12 1999-09-14 Fura; David A. Method and system for generating electronic hardware simulation models
JP2783243B2 (ja) * 1996-02-06 1998-08-06 日本電気株式会社 Cmos集積回路の故障検出方法及び装置
US6175244B1 (en) * 1997-04-25 2001-01-16 Carnegie Mellon University Current signatures for IDDQ testing
JP2982741B2 (ja) 1997-05-13 1999-11-29 日本電気株式会社 集積回路の故障診断装置及びその記録媒体
US6182258B1 (en) * 1997-06-03 2001-01-30 Verisity Ltd. Method and apparatus for test generation during circuit design
US6031386A (en) * 1997-10-31 2000-02-29 Sandia Corporation Apparatus and method for defect testing of integrated circuits
US6140832A (en) * 1998-06-05 2000-10-31 Raytheon Company Method of utilizing IDDQ tests to screen out defective parts
US6163763A (en) * 1998-10-06 2000-12-19 Cadence Design Systems, Inc. Method and apparatus for recording and viewing error data generated from a computer simulation of an integrated circuit
JP2001091568A (ja) * 1999-09-17 2001-04-06 Advantest Corp 半導体集積回路の試験装置及び試験方法
US6513137B1 (en) * 1999-10-18 2003-01-28 Cardiac Pacemakers, Inc. Test method and apparatus using energy consumption ratio
JP4174167B2 (ja) * 2000-04-04 2008-10-29 株式会社アドバンテスト 半導体集積回路の故障解析方法および故障解析装置
JP4336029B2 (ja) * 2000-06-19 2009-09-30 株式会社アドバンテスト 半導体集積回路の故障シミュレーション方法および故障シミュレータ

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992001943A1 (en) * 1990-07-23 1992-02-06 Seiko Epson Corporation Method of inspecting semiconductor device, apparatus for inspecting the same, and method of manufacturing the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106646174A (zh) * 2016-09-30 2017-05-10 浙江大学 一种应用于晶体管的高速三维电学特性测试系统
CN110597694A (zh) * 2019-08-09 2019-12-20 深圳木成林科技有限公司 一种监控前端页面的方法及终端
CN116594830A (zh) * 2023-03-17 2023-08-15 芯华章科技(北京)有限公司 硬件仿真工具、调试方法和存储介质
CN116594830B (zh) * 2023-03-17 2024-03-01 芯华章科技(北京)有限公司 硬件仿真工具、调试方法和存储介质

Also Published As

Publication number Publication date
US6975978B1 (en) 2005-12-13
DE10053758A1 (de) 2001-08-09

Similar Documents

Publication Publication Date Title
JP2001208803A (ja) 半導体集積回路の故障シミュレーション方法および故障シミュレータ
JP4174167B2 (ja) 半導体集積回路の故障解析方法および故障解析装置
KR100400502B1 (ko) 반도체 집적회로의 고장 시뮬레이션방법 및 고장 시뮬레이터
JP4488595B2 (ja) テストパターン生成方法
McCluskey et al. Stuck-fault tests vs. actual defects
US6100716A (en) Voltage excursion detection apparatus
KR101759782B1 (ko) 회로 검사를 위한 방법, 디바이스 및 비일시적 컴퓨터 판독 가능한 매체
JP2001091568A (ja) 半導体集積回路の試験装置及び試験方法
US7332938B2 (en) Domino logic testing systems and methods
Favalli et al. Sensing circuit for on-line detection of delay faults
Huang et al. Intermittent scan chain fault diagnosis based on signal probability analysis
Min et al. IDDT testing versus IDDQ testing
JP2006337376A (ja) 故障解析方法、及び故障解析装置
US20050024074A1 (en) Method and apparatus for characterizing an electronic circuit
Kim et al. An analysis of the delay defect detection capability of the ECR test method
Hashizume et al. High speed IDDQ test and its testability for process variation
Natarajan et al. Switch-level delay test
Ghosh-Dastidar et al. Diagnosing resistive bridges using adaptive techniques
Biswas et al. On-line testing of digital circuits for n-detect and bridging fault models
JP2006337377A (ja) 故障解析方法、及び故障解析装置
Lanzoni et al. An experimental study of testing techniques for bridging faults in CMOS ICs
Samala Test Pattern Generation for Double Transition Faults
Chu et al. Online Testing of Clock Delay Faults in a Clock Network
US20080092006A1 (en) Optimizing a Set of LBIST Patterns to Enhance Delay Fault Coverage
Ryan Bridging fault simulation using Iddq, logic, and delay testing

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060124

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061121

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090818

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091013

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100119

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100601