JP5141988B2 - 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法 - Google Patents

半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法 Download PDF

Info

Publication number
JP5141988B2
JP5141988B2 JP2009511784A JP2009511784A JP5141988B2 JP 5141988 B2 JP5141988 B2 JP 5141988B2 JP 2009511784 A JP2009511784 A JP 2009511784A JP 2009511784 A JP2009511784 A JP 2009511784A JP 5141988 B2 JP5141988 B2 JP 5141988B2
Authority
JP
Japan
Prior art keywords
test
gate
pattern
critical
value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009511784A
Other languages
English (en)
Other versions
JPWO2008133052A1 (ja
Inventor
暁青 温
紘平 宮瀬
誠司 梶原
Original Assignee
株式会社Lptex
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社Lptex filed Critical 株式会社Lptex
Priority to JP2009511784A priority Critical patent/JP5141988B2/ja
Publication of JPWO2008133052A1 publication Critical patent/JPWO2008133052A1/ja
Application granted granted Critical
Publication of JP5141988B2 publication Critical patent/JP5141988B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318307Generation of test inputs, e.g. test vectors, patterns or sequences computer-aided, e.g. automatic test program generator [ATPG], program translations, test program debugging
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/31813Test pattern generators
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318371Methodologies therefor, e.g. algorithms, procedures

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

本発明は、半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法に関する。
半導体回路の超大規模化、超微細化につれ、半導体回路内のゲート(論理素子)と、フリップフロップ(状態記憶素子)の数が急増している。その結果、半導体回路が不良品であるか否かを調べるために半導体回路に入力するテスト入力とテスト時の消費電力が膨大になるだけではなく、テスト品質も低下してきている。
特に、半導体回路の低電圧化によってテスト時のIRドロップ(テスト入力によって半導体回路内のゲートやフリップフロップの出力論理値が変化するときに半導体回路に過大な電流が流れ、これにより電源電圧の一時的な降下が生じる現象)に対する耐性が低下してきている。すなわち、IRドロップに伴いテスト応答のパス遅延が増加して本来得られるべき時刻にテスト応答が得られず、タイミング違反によって誤ったテスト応答が発生するという誤テストの問題が深刻化してきている。その結果、半導体回路の良品率、すなわち歩留りが低下するという問題が生じる。そして、誤テストは、タイミング要求が厳しい2パターンテストにおいて特に顕著となっている。ここで、2パターンテストとは、遅延欠陥を検出するために、例えば、図7で示すv、vのような2つのパターンを半導体集積回路に印加するテストのことである。
従って、誤テストを防止するには、テスト時のIRドロップを削減する必要がある。そのため、出力論理値変化を起こすゲートの数が少なくなるようなテスト入力を採用する必要がある。このような観点からテスト入力を決定するものとして、X埋め込み技術がある。X埋め込み技術は、所定の半導体回路の故障検出能力を低下させないテスト入力の一部のビットを未定値(Xビットという)とし、Xビットに目的に応じた論理値0又は1を割り当てる技術である。そして、例えば、図7で示すv、vのような2つのパターンにおいては、vとvによる半導体回路内の各ゲートの出力論理値の相違が小さくなるようにテスト入力内のXビットに最適な論理値を割り当てれば、半導体回路におけるIRドロップを削減することができ、結果として誤テストを削減することになる。
X埋め込み技術として、非特許文献1には、テスト入力毎に未定値を特定するため、各ビットに対して順番に未定値になれるか否かの判定を行なう技術が記載されている。なお、この技術では、未定値への論理値を割り当てる場合、疑似外部入力(テスト入力)にある未定値のみに注目し、疑似外部出力(テスト応答)にある未定値を無視している。また、非特許文献2には、全テスト入力において、任意のビットを未定値として特定する技術が記載されている。なお、この技術において、未定値への論理値の割り当てでは、毎回1つの疑似外部入力と疑似外部出力のビット対のみを考慮し、ビット対間の相関関係は無視している。
アール サンカラリンガム(R.Sankaralingam)、アール オルガンチ(R.Oruganti)、エヌ トウバ(N.Touba)、「スキャンチェーンディスエイブルを用いたテスト時の消費電力削減(Reducing Power Dissipation during Test Using Scan Chain Disable)」、プロシーディング ブイエルエスアイ テスト シンポジウム(Proc.VLSI Test Symp.)p.319−324、2001年 エックス ウェン(X.Wen)、ワイ ヤマシタ(Y.Yamashita)、エスカジイハラ(S.Kajiihara)、エル ティ ワング(L.T.Wang)、ケイ ケイ サルジャ(K.K.Saluja)、ケイ キノシタ(K.Kinoshita)、「スキャンテストにおける低キャプチャー時消費電力テスト生成(On Low−Capture−Power Test Generation for Scan Testing)」、プロシーディング ブイエルエスアイ テスト シンポジウム(Proc.VLSI Test Symp.)p.265−270、2005年5月
ここで、非特許文献1では、テスト入力間の相関関係を完全に無視しているので、誤テストを回避するのに有効な未定値が得られない場合がある。また、未定値へ論理値を割り当てる場合、疑似外部出力にある未定値を無視しているので、誤テストの回避に有効な未定値が得られても、その未定値で最適な誤テスト回避効果が得られないという問題がある。
一方、非特許文献2では、本来未定値にしない方が有利なビットまで未定値にしてしまうという問題が生じる。また、未定値への論理値の割り当てでは、ビット対間の相関関係は無視しているので、最適な誤テスト回避効果が得られないという問題がある。
本発明はかかる事情に鑑みてなされたもので、テストの際に出力論理値が変化するゲート数を削減して低消費電力化が可能な半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法を提供することを目的とする。
前記目的に沿う第1の発明に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法は、複数のゲートを備えた組合せ回路部が設けられたロジック部を有する半導体集積回路に、0及び1の論理値の組合せからなるテスト入力を印加し、実際に使用する動作速度下での応答を計測し該テスト入力に対する応答の期待値と比較して前記組合せ回路部の故障有無を判定する半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法であって、
前記テスト入力として、前記組合せ回路部で生じる故障有無を判定する論理値が確定され残りの論理値が未定値となって、前記ゲートの状態を初期化する初期化パターンと故障を検出するランチパターンを備えたテストパターンを決定する第1工程と、
予め判明している前記組合せ回路部の回路情報に基づいて、前記テストパターンが印加された(すなわち、初期化パターンとランチパターンが順次与えられた)際に該組合せ回路部に形成される信号のパス(経路)を求め、該パスを該パスに含まれる前記ゲート数が大きい順に並べ、大きい方から予め設定した範囲内に存在する複数の該パスをクリティカルパスとして選定する第2工程と、
前記各クリティカルパスを構成するゲートを含み、該各クリティカルパスから所定のゲート距離の範囲内に存在するクリティカルゲートを特定する第3工程と、
前記クリティカルゲートの中で前記テストパターンの入力によりゲート状態が変化する該クリティカルゲートの個数を示す状態変化数を求め、該状態変化数が減少するように該テストパターンに含まれる前記未定値に0及び1のいずれか一方を前記論理値として当てはめる第4工程とを有し、
前記状態変化数を減少することで、前記各クリティカルパスに電流が流れた際に生じる電源電圧の電圧降下を抑え、該各クリティカルパスからの出力遅延を防止して正常な前記半導体集積回路を不良品として誤って判定する誤テストを回避する。
ここで、初期化とは、内部の各ゲートの入力線を予め決められた論理値(0又は1)にすることを指し、初期化パターンとは、初期化を行うテスト入力のパターンをいう。また、ランチパターンとは、初期化パターンに対して論理値の遷移を起こさせる特定のテスト入力のパターンをいう。
前記目的に沿う第2の発明に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法は、複数のゲートを備えた組合せ回路部が設けられたロジック部を有する半導体集積回路に、0及び1の論理値の組合せからなるテスト入力を印加し、実際に使用する動作速度下での応答を計測し該テスト入力に対する応答の期待値と比較して前記組合せ回路部の故障有無を判定する半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法であって、
前記テスト入力として、前記組合せ回路部で生じる故障有無を判定する論理値が確定され残りの論理値が未定値となって、前記ゲートの状態を初期化する初期化パターンと該初期化パターンに対して論理値の遷移を起こさせるランチパターンを備えたテストパターンを決定する第1工程と、
予め判明している前記組合せ回路部の回路情報に基づいて、前記テストパターンが印加された(すなわち、初期化パターンとランチパターンが順次与えた)際に該組合せ回路部に形成される信号のパス(経路)を求め、該パスをパス長(例えば、信号の伝達時間)の大きい順に並べ、大きい方から予め設定した範囲内に存在する複数の該パスをクリティカルパスとして選定する第2工程と、
前記各クリティカルパスを構成するゲートを含み、該各クリティカルパスから所定のゲート距離の範囲内に存在するクリティカルゲートを特定する第3工程と、
前記クリティカルゲートの中で前記テストパターンの入力によりゲート状態が変化する該クリティカルゲートの個数を示す状態変化数を求め、該状態変化数が減少するように該テストパターンに含まれる前記未定値に0及び1のいずれか一方を前記論理値として当てはめる第4工程とを有し、
前記状態変化数を減少することで、前記各クリティカルゲートにおける電源電圧の電圧降下を抑え、該各クリティカルパスの遅延の増加を削減して正常な前記半導体集積回路を不良品として誤って判定する誤テストを回避する。
第1、第2の発明に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法において、前記クリティカルゲート毎に、前記ゲート距離と前記テストパターンの入力により前記各クリティカルパスが形成される確率を用いてクリティカルゲート重みを算出し、該クリティカルゲートの前記各クリティカルパスに対する分布状態を定量的に評価することが好ましい。
第1、第2の発明に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法において、前記状態変化数は、前記クリティカルゲートの前記クリティカルゲート重み、前記テストパターンの入力に伴ってゲート状態が変化する変化確率、及び前記組合せ回路部の回路情報から求まる信号出力線数に基づいて算出した該各クリティカルゲートのゲート状態変化数の総和とすることができる。
第1、第2の発明に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法において、前記ランチパターンが前記組合せ回路部に入力される前後で、同一の前記クリティカルゲートにおいて前記未定値が出現する出現パターンを予め求め、該出現パターン毎に該クリティカルゲートに及ぼす影響度を数値化した影響因子を決定し、前記未定値が影響する前記各クリティカルゲートに対して前記クリティカルゲート重みと前記影響因子に基づいて有効クリティカルゲート重みを求め、該有効クリティカルゲート重みの総和からなる未定値指標が大きい順に該未定値に前記論理値を当てはめることが好ましい。
第1、第2の発明に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法において、前記未定値に前記論理値を当てはめる(割り当てる)場合、該論理値を用いて前記状態変化数をそれぞれ算出し、該状態変化数が減少する該論理値を該未定値とすることが好ましい。
ここで、初期化パターンとランチパターンを基に確率的に導出される(例えば、確率伝搬手法により得られる)クリティカルパスの形成を活性化確率という。
クリティカルゲート重みは、クリティカルゲートの各クリティカルパスに対する影響度(分布状態)を定量的に評価したもので、ゲート距離と活性化確率の関数となる。
ゲート状態変化数は、クリティカルゲート重み、クリティカルゲート状態遷移確率、及びクリティカルゲート信号出力線数に基づいて算出したゲート状態が変化するクリティカルゲートの数をいう。
状態変化数は、ゲート状態変化数の全クリティカルゲートにわたる総和であり、未定値ビットに対する論理値の決定(選択)の基準となる。
影響因子は、ランチパターンが入力される前後で、同一のクリティカルゲートにおいて未定値が影響する領域が異なるので、クリティカルゲートの出現パターンを予め求め、出現パターン毎にクリティカルゲートに及ぼす影響度を数値化したものである。
有効クリティカルゲート重みは、クリティカルゲート重みと影響因子の積で求まる。
未定値指標は、各クリティカルゲートに対する有効クリティカルゲート重みの総和として求まり、未定値指標が大きいほど未定値が影響するクリティカルゲートの数が大きいことになる。
第1、第2の発明に係る導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法においては、半導体集積回路の誤テストを回避することができるので、半導体集積回路の高品質なテストを行なうことが可能になる。その結果、高度な半導体集積回路テスト設計の自動化システムの構築を図ることができる。
特に、第1、第2の発明に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法において、前記クリティカルゲート毎に、前記ゲート距離と前記テストパターンの入力により前記各クリティカルパスが形成される確率を用いてクリティカルゲート重みを算出し、該クリティカルゲートの前記各クリティカルパスに対する分布状態を定量的に評価した場合には、クリティカルゲート重みを用いることで、クリティカルゲートとクリティカルパスの関係を定量的に評価することができる。
第1、第2の発明に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法において、前記状態変化数を、前記クリティカルゲートの前記クリティカルゲート重み、前記テストパターンの入力に伴ってゲート状態が変化する変化確率、及び前記組合せ回路部の回路情報から求まる信号出力線数に基づいて算出した該各クリティカルゲートのゲート状態変化数の総和とした場合には、状態変化数を用いることで、クリティカルパスの活性化に影響するクリティカルゲート数を定量的に評価することができる。
第1、第2の発明に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法において、前記ランチパターンが前記組合せ回路部に入力される前後で、同一の前記クリティカルゲートにおいて前記未定値が出現する出現パターンを予め求め、該出現パターン毎に該クリティカルゲートに及ぼす影響度を数値化した影響因子を決定し、前記未定値が影響する前記各クリティカルゲートに対して前記クリティカルゲート重みと前記影響因子に基づいて有効クリティカルゲート重みを求め、該有効クリティカルゲート重みの総和からなる未定値指標が大きい順に該未定値に前記論理値を当てはめた場合には、クリティカルゲートの状態変化に及ぼす影響が大きな未定値から順に論理値を当てはめるので、状態変化数を効果的に削減することができる。
そして、第1、第2の発明に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法において、前記未定値に前記論理値を当てはめる場合、該論理値を用いて前記状態変化数をそれぞれ算出し、該状態変化数が減少する該論理値を該未定値とすることもできる。これによって、各未定値に状態変化数が減少する論理値を当てはめるので、テストパターンの入力による状態変化数を減少することができる。
本発明の第1の実施例に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法が適用される半導体集積回路の構成を示す説明図である。 同半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法の工程流れ図である。 同半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法においてテストパターンの印加により組合せ回路部に形成される信号のパスとクリティカルパスの模式図である。 同半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法におけるクリティカルパスとクリティカルゲートの関係を示す説明図である。 同半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法においてテストパターンの印加によって活性化したパスの状態を示す説明図である。 同半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法において、テストパターンが入力される前にテストパターン内の一つの未定値により影響を受けるクリティカルゲートの組合せ回路部内での分布状態と、フリップフロップを経由した未定値により影響を受けるクリティカルゲートの組合せ回路部内での分布状態を示す模式図である。 2パターンテストの説明図である。
符号の説明
10:半導体集積回路、11:メモリ部、12:アナログデジタル混載部、13:内部クロック部、14:高速データ入出力部、15:データ伝送部、16:ロジック部、17:組合せ回路部、19、19a、19b:クリティカルパス、20〜27:ゲート、20a、20b、21a、21b:ゲート、28:ゲート信号出力線、29:枠領域、30、31:クリティカルパス、32:パス、33:ゲート
続いて、添付した図面を参照しつつ、本発明を具体化した実施例につき説明し、本発明の理解に供する。
図1に示すように、本発明の第1の実施例に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法が適用される半導体集積回路10は、ROM(固定メモリ)、DRAM(Dynamic Random Access Memory)、及びSRAM(Static Random Access Memory)を備えたメモリ部11と、デジタル−アナログ変換器(DAC)及びアナログ−デジタル変換器(ADC)を備えたアナログデジタル混載部12と、動作タイミング用の信号を出力する内部クロック部13と、高速データ入出力部14と、データ伝送部15と、論理処理を行なうLogic領域、信号処理を行なうDSP、及び各種処理動作をコントロールするCPUを備えたロジック部16とを有している。そして、ロジック部16は、複数のゲートを備えた組合せ回路部を備え、半導体集積回路の2パターンテストはこの組合せ回路部を対象とするものである。
次に、本発明の第1の実施例に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法について説明する。
本発明の第1の実施例に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法(以下、単にテスト入力生成方法という)は、複数のゲートを備えた組合せ回路部が設けられたロジック部16を有する半導体集積回路10に、0及び1の論理値の組合せからなるテスト入力を印加し、実際に使用する動作速度下での応答を計測しテスト入力に対する応答の期待値と比較して組合せ回路部の故障有無を判定するものである。
図2に示すように、テスト入力生成方法は、テスト入力として、組合せ回路部で生じる故障有無を判定する論理値が確定され残りの論理値が未定値Xとなって、ゲートの状態を初期化する初期化パターンと故障を検出するランチパターンを備えたテストパターンを決定する第1工程と、予め判明している組合せ回路部の回路情報に基づいて、テストパターンが印加された際に組合せ回路部に形成される信号のパスを求め、パスをパスに含まれるゲート数が大きい順に並べ、大きい方から予め設定した範囲内に存在する複数のパスをクリティカルパスとして選定する第2工程と、各クリティカルパスを構成するゲートを含み、各クリティカルパスから所定のゲート距離の範囲内に存在するクリティカルゲートを特定する第3工程と、クリティカルゲートの中でテストパターンの入力によりゲート状態が変化するクリティカルゲートの個数を示す状態変化数を求め、状態変化数が減少するようにテストパターンに含まれる未定値に0及び1のいずれか一方を論理値として当てはめる第4工程とを有している。以下、詳細に説明する。
遅延故障を検出するテストパターンでは、故障検出対象となっているゲートの状態を変化させることができるように、テストパターンを構成する最小単位(以下ビットという)の中で特定のビットに論理値を当てはめてテストパターンの一部のみを確定すれば、残りのビットに対しては任意に論理値を決めることができる。従って、任意に論理値を決めることができるビットに対しては、具体的な論理値を当てはめずに未定値Xとして、テストパターンを決定する(以上、第1工程)。
決定したテストパターンを半導体集積回路10に入力した場合、ロジック部16の組合せ回路部17に入力した場合、組合せ回路部17内で生じるゲート状態変化の伝播状況は、組合せ回路部17の回路情報に基づいて判るので、図3に示すように、テストパターンが印加された際に組合せ回路部17に形成される信号のパスを求めることができる。そして、信号のパスが求まると、信号の遅延が最も大きいのは、組合せ回路部17に形成されるパスの中で一番多くのゲートで構成されるパス、すなわち、ゲート20、21、22で構成されるパスを通過する信号となる。また、次に多くのゲートで構成されるパス、すなわち、ゲート20a、21aで構成されるパス及びゲート20b、21bで構成されるパスも、これらのパスの周囲に存在するゲートからの信号入力で信号の遅延が大きくなる可能性がある。このため、組合せ回路部17に形成されるパスをパスに含まれるゲート数が大きい順に並べ、大きい方から予め設定した範囲内に存在する複数のパスをクリティカルパス19、19a、19bとして選定する(以上、第2工程)。ここで、予め設定した範囲内とは、例えば、最大個数のゲートを有するパスを含んで上位60%以内、好ましくは50%以内、より好ましくは30%以内を指す。
そして、クリティカルパス19、19a、19bが選定されると、各クリティカルパス19、19a、19bから所定のゲート距離の範囲内に存在するクリティカルゲートをそれぞれ特定する。図4に示すように、例えば、クリティカルパス19に対しては、クリティカルパス19を構成するゲート20、21、22を含み、クリティカルパス19より所定のゲート距離rの範囲内に存在して、クリティカルパス19を構成するゲート20、21、22に接続するゲートをクリティカルゲートとして特定する。このとき、ゲート距離rは、クリティカルパス19を構成するゲート20、21、22のゲート距離をr=1とし、クリティカルゲートがクリティカルパス19を構成するゲート20、21、22に接続する際の最短パスを構成するゲート信号出力線28の本数をdとした場合、r=d+1で表す。なお、ゲート20、21、22に接続するゲートを、ゲート20、21、22を基準として段数で数えた場合、dは段数に対応する。
従って、図4に示すように、クリティカルパス19の周囲にゲート23、24、25、26、27が存在する場合、ゲート23、24、27はそれぞれゲート20、22、21に1本のゲート信号出力線28を介して接続しているので(ゲート23、24、27は1段目のゲートなので)r=1+1、すなわち2となり、ゲート26はゲート21に2本のゲート信号出力線28を介して接続しているので(ゲート26は2段目のゲートなので)r=3、ゲート25はゲート21に3本のゲート信号出力線28を介して接続しているので(ゲート25は3段目のゲートなので)r=4となる。各ゲート20〜27にそれぞれ付記した番号はゲート距離rを示す。そして、ゲート距離の範囲を3に設定した場合、クリティカルパス19に対しては、図4に示すように、枠領域29内に存在するゲート20、21、22、23、24、26、27がクリティカルゲートとなる。
なお、クリティカルパス19a、19bに対しても、それぞれ周囲に存在するゲートのゲート距離rを求め、クリティカルパス19と同様にゲート距離3以内のゲートをクリティカルゲートとして特定する。
そして、クリティカルパス19、19a、19bのクリティカルゲート毎に、ゲート距離rとテストパターンの入力によりクリティカルパス19、19a、19bが形成される確率(活性化確率ともいう)APを用いてクリティカルゲート重みCWを算出し、各クリティカルパス19、19a、19b毎に、クリティカルゲートのクリティカルパス19、19a、19bに対する影響度(即ち、分布状態)を定量的に評価する。例えば、各クリティカルパス19の場合、クリティカルゲート20、21、22、23、24、26、27毎に、ゲート距離rとテストパターンの入力によるクリティカルパス19の活性化確率APとを用いてクリティカルゲート重みCWを算出する。
ここで、図5に示すように、組合せ回路部17内に2つのクリティカルパス30、31と1つのパス32が形成され、1つのゲート33が各クリティカルパス30、31に対してクリティカルゲートである場合(ゲート33が2つのクリティカルパス30、31に共有される場合)は、ゲート33のクリティカルゲート重みCWは、ゲート33の各クリティカルパス30、31に対するゲート距離r、rと、テストパターンvの入力による各クリティカルパス30、31の活性化確率AP(1,v)、AP(2,v)を用いて算出する。
クリティカルゲート重みCWを算出する場合、各クリティカルパス30、31に対するゲート33の影響の度合いは、一般に、ゲート距離r、rが小さい程強く、各クリティカルパス29、30が形成される活性化確率AP(1,v)、AP(2,v)が高い程強くなると考えられるので、例えば、ゲート33のクリティカルゲート重みCWは、ゲート距離に反比例し、クリティカルパス29、30の活性化確率に比例すると設定でき、CW=AP(1,v)/r+AP(2,v)/rと求まる(以上、第3工程)。
次いで、クリティカルパスの形成に伴って構成されるクリティカルゲートG(i=1〜m)の中で、テストパターンvの入力によりゲート状態が変化するクリティカルゲートGiの個数を示す状態変化数CCT(v)を求める。ここで、状態変化数CCT(v)は、クリティカルゲートGのクリティカルゲート重みCW(G,v)、テストパターンvの入力に伴ってクリティカルゲートGのゲート状態が変化する変化確率p、及び組合せ回路部の回路情報から求まる信号出力線数fに基づいて算出されるクリティカルゲート毎のゲート状態変化数cct(G,v)の総和となる。
そして、ゲート状態変化数cct(G,v)は、クリティカルパスの形成に伴って構成されるクリティカルゲートGのクリティカルゲート重みCW(G,v)が大きい程、クリティカルゲートGの変化確率pが高い程大きくなると考えられる。また、クリティカルゲートGから出る信号出力線数fが多いとゲート状態の変化の影響を周囲のクリティカルゲートに伝播させることができ、結果的に状態変化数CCT(v)に及ぼす効果が大きくなると考えられるので、信号出力線数fが多い程ゲート状態変化数cct(G,v)も大きくなると考えられる。このため、ゲート状態変化数cct(G,v)が、クリティカルゲート重みCW(G,v)、クリティカルゲートGの変化確率p、及びクリティカルゲートGから出る信号出力線数fに比例するとすると、状態変化数CCT(v)は下式で算出できる。
CCT(v)=cct(G,v)+cct(G,v)+・・・+cct(G,v)
=CW(G,v)p+CW(G,v)p+・・・
+CW(G,v)p
一般に、テストパターンに未定値Xが存在すると、テストパターンによるテスト応答にも未定値Xが現われるので、クリティカルゲートGの個数に対する未定値Xの影響は以下のように考えることができる。
例えば、ブロードサイド方式による2パターンテストを行なう場合、図6に示すように、テストパターンの初期化パターンvが組合せ回路部17に入力されると、初期化パターンvの各ビットに対応して組合せ回路部17内にはゲート状態の変化が伝播していく。図6には、初期化パターンvの上から2番目のビットである未定値X2が伝播するクリティカルゲートGの組合せ回路部17内での存在領域をBL Coneとして示している。
一方、図6に示す初期化パターンvが組合せ回路部17に入力されフリップフロップFFに出力応答が取り込まれた後、組合せ回路部17にはフリップフロップFFに取り込まれた論理値によるランチパターンが入力されるので、各ビットに対応して組合せ回路部17内に生じるゲート状態変化の伝播パターンも変わる。図6には、例えば、フリップフロップFF、FFの影響を受けたときの未定値Xが伝播するクリティカルゲートGの組合せ回路部17内での存在領域をAL Coneとして示している。
このように、ランチパターンが入力される前後で、同一のクリティカルゲートGにおいて未定値Xが影響する領域が異なるので、表1に示すように、クリティカルゲートGの出現パターンを予め求め、出現パターン毎にクリティカルゲートGに及ぼす影響度を数値化した影響因子IF(G,X,v)を決定する。
表1では、BL Cone、AL ConeにおいてクリティカルゲートGiが存在する場合をYes、存在しない場合をNoと表し、BL Cone、AL Coneの一方にクリティカルゲートGが現われたときにYes or Noと表記している。そして、A、B、Cの場合のように未定値Xが決定し易いパターンには影響因子IF(G,X,v)の値を大きく設定し、例えば、Aには1.00、Bには0.80、Cには0.60を設定している。一方、D、EのパターンのようにBL Cone又はAL Coneの一方にしかクリティカルゲートGiが存在しない場合には影響因子IF(G,X,v)の値を小さく設定し、例えば、Dには0.40、Eには0.20を設定している。また、Fの場合のようにBL ConeとAL ConeのいずれにもクリティカルゲートGiが存在しない場合は、影響因子IF(G,X,v)の値を0としている。
Figure 0005141988
そして、未定値X2が影響する各クリティカルゲートGiに対してクリティカルゲート重みCW(G,v)と影響因子IF(G,X,v)に基づいて、例えば、クリティカルゲート重みCW(G,v)と影響因子IF(G,X,v)の積で求まる有効クリティカルゲート重みECW(G,v)を算出し、各クリティカルゲートGに対する有効クリティカルゲート重みECW(G,v)の総和として未定値指標XP(X,v)を求める。
未定値指標XP(X,v)=CW(G,v)IF(G,X,v)+・・・
+CW(G,v)IF(G,X,v)
そして、未定値指標XP(X,v)が大きい程、未定値Xが影響するクリティカルゲートGの数が大きいことになる。
従って、テストパターン内の他の未定値X(k=1〜m)に関しても未定値指標XP(X,v)をそれぞれ求め、未定値指標XP(X,v)が一番大きくなる未定値Xに対して具体的な論理値を当てはめてクリティカルゲートGのゲート状態変化が生じないようにすると、未定値Xにより状態変化を起こすクリティカルゲートGの数を少なくすることができ、状態変化数CCT(v)を小さくできる。ここで、未定値Xに具体的な論理値を当てはめるときに、CCT(0)、CCT(1)を実際に求めて、CCT(0)<CCT(1)であれば未定値Xとして0を、CCT(1)<CCT(0)であれば未定値Xとして1を採用するようにすると、未定値Xにより状態変化を起こすクリティカルゲートGの状態変化数CCT(v)を減少できる(以上、第4工程)。
そして、未定値指標XP(X,v)が一番大きくなる未定値Xに論理値を割り当て、残りの未定値Xに対しても同様の処理を繰り返すことで、テストパターンに存在する全ての未定値Xに論理値が割り当てられ、テストパターンが半導体集積回路10に印加されてクリティカルパス19、19a、19bが形成されたときに生じるクリティカルゲートGiの状態変化数を減少することができ、クリティカルパス19に電流が流れた際に生じる電源電圧の電圧降下を抑え、クリティカルパス19からの出力遅延を防止して正常な半導体回路を不良品として誤って判定する誤テストを回避することが可能になる。
以上、本発明を、実施例を参照して説明してきたが、本発明は何ら上記した実施例に記載した構成に限定されるものではなく、特許請求の範囲に記載されている事項の範囲内で考えられるその他の実施例や変形例も含むものである。
例えば、クリティカルゲート重みCWは、ゲート距離に反比例し、クリティカルパスの活性化確率に比例するとしたが、一般にゲート距離rの巾乗に反比例し、活性化確率APの巾乗に比例するとしても、ゲート距離rと活性化確率APを変数とする多項式で表すこともできる。
また、クリティカルゲートのゲート状態変化数cctを、クリティカルゲート重みCWの巾乗、変化確率pの巾乗、及び信号出力線数fの巾乗の積として表すことも、クリティカルゲート重みCWと変化確率pと信号出力線数fを変数とする多項式で表すこともできる。
更に、影響因子IFを、出現パターン間で一定割合となるように変化させたが、出現パターン間で変化割合を変えることもできる。
そして、テスト方式においても、ブロードサイド方式に限定されず、2パターンテストであれば別のテスト方式に対しても適用できる。
また、本発明の第2の実施例に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法は、第1の実施例と比較して、第1工程で、ゲートの状態を初期化する初期化パターンと初期化パターンに対して論理値の遷移を起こさせるランチパターンを備えたテストパターンを決定し、第2工程で、テストパターンが印加された際に組合せ回路部に形成される信号のパスをパス長の大きい順に並べ、大きい方から予め設定した範囲内に存在する複数のパスをクリティカルパスとして選定し、各クリティカルパスのクリティカルゲートの状態変化数を減少することで各クリティカルゲートにおける電源電圧の電圧降下を抑え、各クリティカルパスの遅延の増加を削減して正常な半導体集積回路を不良品として誤って判定する誤テストを回避することを特徴としている。そして、第2の実施例は、第1の実施例が適用される半導体集積回路に適用することができ、第2の実施例でクリティカルパスを選定しクリティカルゲートの状態変化数を減少する方法は、第1の実施例でクリティカルパスを選定しクリティカルゲートの状態変化数を減少する方法を適用することができる。このため、第2の実施例に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法についての説明は省略する。
本発明に係る半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法においては、半導体集積回路の誤テストを回避することができるので、半導体集積回路の高品質なテストを行なうことが可能になる。その結果、高度な半導体集積回路テスト設計の自動化システムの構築を図ることが容易となる。

Claims (3)

  1. 複数のゲートを備えた組合せ回路部が設けられたロジック部を有する半導体集積回路に、0及び1の論理値の組合せからなるテスト入力を印加し、実際に使用する動作速度下での応答を計測し該テスト入力に対する応答の期待値と比較して前記組合せ回路部の故障有無を判定する半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法であって、
    前記組合せ回路部で生じる故障有無を判定する論理値が確定され残りの論理値が未定値となって、前記ゲートの状態を初期化する初期化パターンと該初期化パターンに対して論理値の遷移を起こさせるランチパターンを備えたテストパターンを生成する第1工程と、
    予め判明している前記組合せ回路部の回路情報に基づいて、前記テストパターンが印加された際に該組合せ回路部に形成される信号のパスを求め、該パスをパス長の大きい順に並べ、予め設定したパス長範囲内に存在する複数の該パスをクリティカルパスとして選定する第2工程と、
    前記各クリティカルパスを構成するゲートを含み、該各クリティカルパスから所定のゲート距離の範囲内に存在するクリティカルゲートを特定する第3工程と、
    前記クリティカルゲートの中で前記テストパターンの入力によりゲート状態が変化する該クリティカルゲートの状態変化数を求め、該状態変化数が減少するように該テストパターンに含まれる前記未定値に0及び1のいずれか一方を前記論理値として当てはめる第4工程とを有することを特徴とする半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法。
  2. 請求項1記載の誤テスト回避型テスト入力生成方法において、前記状態変化数は、前記ランチパターンの入力によりゲート状態が変化する前記クリティカルゲートの個数を示すことを特徴とする半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法。
  3. 請求項記載の誤テスト回避型テスト入力生成方法において、論理値“1”を未定値に当てはめた場合の状態変化数と比較し、未定値に対応する状態変化数が小さい場合は該未定値に論理値“0”を当てはめ、小さくない場合は該未定値に論理値“1”を当てはめることを特徴とする半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法。
JP2009511784A 2007-04-23 2008-04-11 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法 Expired - Fee Related JP5141988B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009511784A JP5141988B2 (ja) 2007-04-23 2008-04-11 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2007113238 2007-04-23
JP2007113238 2007-04-23
PCT/JP2008/057191 WO2008133052A1 (ja) 2007-04-23 2008-04-11 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法
JP2009511784A JP5141988B2 (ja) 2007-04-23 2008-04-11 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法

Publications (2)

Publication Number Publication Date
JPWO2008133052A1 JPWO2008133052A1 (ja) 2010-07-22
JP5141988B2 true JP5141988B2 (ja) 2013-02-13

Family

ID=39925511

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009511784A Expired - Fee Related JP5141988B2 (ja) 2007-04-23 2008-04-11 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法

Country Status (4)

Country Link
US (1) US8001437B2 (ja)
JP (1) JP5141988B2 (ja)
TW (1) TW200907386A (ja)
WO (1) WO2008133052A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104898042A (zh) * 2014-03-04 2015-09-09 联发科技股份有限公司 产生特征化扫描样本的方法与装置

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011086884A1 (ja) * 2010-01-15 2011-07-21 国立大学法人 奈良先端科学技術大学院大学 半導体集積回路のテストパターン生成方法、プログラム、およびコンピュータ読み取り可能な記録媒体
US8413102B2 (en) * 2011-08-03 2013-04-02 Apple Inc. Vectorless IVD analysis prior to tapeout to prevent scan test failure due to voltage drop
US8776006B1 (en) 2013-02-27 2014-07-08 International Business Machines Corporation Delay defect testing of power drop effects in integrated circuits
US9122891B2 (en) * 2013-08-12 2015-09-01 Microsoft Technology Licensing, Llc Functional timing sensors
US10102329B2 (en) 2013-12-13 2018-10-16 Nxp Usa, Inc. Method and apparatus for validating a test pattern
US10018675B1 (en) * 2014-03-14 2018-07-10 Altera Corporation Testing an integrated circuit in user mode using partial reconfiguration
US10331826B2 (en) 2017-04-20 2019-06-25 Texas Instruments Incorporated False path timing exception handler circuit

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2641954B2 (ja) * 1990-02-07 1997-08-20 富士通株式会社 テストパターンの発生装置
JPH10134093A (ja) * 1996-10-28 1998-05-22 Matsushita Electric Ind Co Ltd 集積回路の性能推定装置及びその性能推定方法
JP2002279012A (ja) * 2000-11-22 2002-09-27 Matsushita Electric Ind Co Ltd 遅延分布計算方法、回路評価方法およびフォールスパス抽出方法
JP2005308471A (ja) * 2004-04-20 2005-11-04 Matsushita Electric Ind Co Ltd パスディレイテスト方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6148425A (en) * 1998-02-12 2000-11-14 Lucent Technologies Inc. Bist architecture for detecting path-delay faults in a sequential circuit
JP2001208803A (ja) * 2000-01-24 2001-08-03 Advantest Corp 半導体集積回路の故障シミュレーション方法および故障シミュレータ
JP2005285144A (ja) 2000-11-22 2005-10-13 Matsushita Electric Ind Co Ltd フォールスパス抽出方法
US6684375B2 (en) * 2000-11-22 2004-01-27 Matsushita Electric Industrial Co., Ltd. Delay distribution calculation method, circuit evaluation method and false path extraction method
JP2006155644A (ja) 2000-11-22 2006-06-15 Matsushita Electric Ind Co Ltd 遅延分布計算方法
JP2005327308A (ja) 2000-11-22 2005-11-24 Matsushita Electric Ind Co Ltd 回路性能評価方法
US7131081B2 (en) * 2003-02-14 2006-10-31 Nec Laboratories America, Inc. Scalable scan-path test point insertion technique
US7313743B2 (en) * 2003-09-04 2007-12-25 Nec Laboratories America, Inc Hybrid scan-based delay testing technique for compact and high fault coverage test set

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2641954B2 (ja) * 1990-02-07 1997-08-20 富士通株式会社 テストパターンの発生装置
JPH10134093A (ja) * 1996-10-28 1998-05-22 Matsushita Electric Ind Co Ltd 集積回路の性能推定装置及びその性能推定方法
JP2002279012A (ja) * 2000-11-22 2002-09-27 Matsushita Electric Ind Co Ltd 遅延分布計算方法、回路評価方法およびフォールスパス抽出方法
JP2005308471A (ja) * 2004-04-20 2005-11-04 Matsushita Electric Ind Co Ltd パスディレイテスト方法

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
JPN6008034360; Xiaoqing Wen, Miyase, K., Suzuki, T., Kajihara, S., Ohsumi, Y., Saluja, K.K.: 'Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing' Design Automation Conference, 2007. DAC '07. 44th ACM/IEEE , 20070604, pp. 527-532, IEEE *
JPN6008034362; Sato, Y., Hamada, S., Maeda, T., Takatori, A., Nozuyama, Y., Kajihara, S.: 'Invisible delay quality - SDQM model lights up what could not be seen' Test Conference, 2005. Proceedings. ITC 2005. IEEE International , 20051108, p.47.1 1-9, IEEE *
JPN6008034363; Xiaoqing Wen, Yamashita, Y., Kajihara, S., Laung-Terng Wang, Saluja, K.K., Kinoshita, K.: 'On low-capture-power test generation for scan testing' VLSI Test Symposium, 2005. Proceedings. 23rd IEEE , 20050501, pp. 265-270, IEEE *
JPN6008034364; Sankaralingam, R., Pouya, B., Touba, N.A.: 'Reducing power dissipation during test using scan chain disable' VLSI Test Symposium, 19th IEEE Proceedings on. VTS 2001 , 20010429, pp. 319-324, IEEE *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104898042A (zh) * 2014-03-04 2015-09-09 联发科技股份有限公司 产生特征化扫描样本的方法与装置
CN104898042B (zh) * 2014-03-04 2017-10-03 联发科技股份有限公司 产生特征化扫描样本的方法与装置
US10067186B2 (en) 2014-03-04 2018-09-04 Mediatek Inc. Method and apparatus for generating featured test pattern

Also Published As

Publication number Publication date
TW200907386A (en) 2009-02-16
US8001437B2 (en) 2011-08-16
TWI368043B (ja) 2012-07-11
JPWO2008133052A1 (ja) 2010-07-22
US20100095179A1 (en) 2010-04-15
WO2008133052A1 (ja) 2008-11-06

Similar Documents

Publication Publication Date Title
JP5141988B2 (ja) 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法
JP4752030B2 (ja) 半導体論理回路装置のテストベクトル生成方法及びテストベクトル生成プログラム
JP5017603B2 (ja) 変換装置、変換方法、変換方法をコンピュータに実行させることが可能なプログラム、及び、このプログラムを記録した記録媒体
US8645778B2 (en) Scan test circuitry with delay defect bypass functionality
JP2007501408A (ja) 自動試験パターン生成法
JP2004125670A (ja) テストパターン選択装置、テストパターン選択方法、及びテストパターン選択プログラム
JP2008082867A (ja) 生成装置、生成方法、この方法をコンピュータに実行させることが可能なプログラム、及び、このプログラムを記録した記録媒体
Enokimoto et al. CAT: A critical-area-targeted test set modification scheme for reducing launch switching activity in at-speed scan testing
Srivastava et al. Exploiting path delay test generation to develop better TDF tests for small delay defects
Dworak et al. Balanced excitation and its effect on the fortuitous detection of dynamic defects
Kim et al. Test-Friendly data-selectable self-gating (DSSG)
Yamato et al. A GA-based method for high-quality X-filling to reduce launch switching activity in at-speed scan testing
Srivastava et al. A methodology for identifying high timing variability paths in complex designs
Yoshimura et al. A Don't Care Filling Method for Low Capture Power based on Correlation of FF Transitions Using SAT
JP6223967B2 (ja) 故障検出システム、生成回路及びプログラム
JP5221554B2 (ja) ドントケアビット抽出方法及びドントケアビット抽出プログラム
Biswas et al. On-line testing of digital circuits for n-detect and bridging fault models
US8099705B2 (en) Technique for determining circuit interdependencies
Yilmaz et al. Seed selection in LFSR-reseeding-based test compression for the detection of small-delay defects
JP5141989B2 (ja) 論理値決定方法及び論理値決定プログラム
Pomeranz Low-power skewed-load tests based on functional broadside tests
JP4599064B2 (ja) 遅延故障検査系列の品質評価方法、遅延故障検査系列生成方法および遅延故障シミュレーション方法
JP2004185550A (ja) 半導体集積回路のテスト容易化設計方法および装置
US7159143B2 (en) Method for evaluating delay test quality
Krstić et al. Delay fault models

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110407

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20110909

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121023

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121107

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151130

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees