JP2005308471A - パスディレイテスト方法 - Google Patents

パスディレイテスト方法 Download PDF

Info

Publication number
JP2005308471A
JP2005308471A JP2004123809A JP2004123809A JP2005308471A JP 2005308471 A JP2005308471 A JP 2005308471A JP 2004123809 A JP2004123809 A JP 2004123809A JP 2004123809 A JP2004123809 A JP 2004123809A JP 2005308471 A JP2005308471 A JP 2005308471A
Authority
JP
Japan
Prior art keywords
path
critical path
test method
critical
delay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2004123809A
Other languages
English (en)
Inventor
Yasushi Ohara
康 大原
Akimitsu Shimamura
秋光 島村
Tetsuya Abe
哲也 阿部
Hideo Imai
秀夫 今井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2004123809A priority Critical patent/JP2005308471A/ja
Priority to TW094112538A priority patent/TW200538903A/zh
Priority to US11/109,702 priority patent/US20050235177A1/en
Publication of JP2005308471A publication Critical patent/JP2005308471A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318342Generation of test inputs, e.g. test vectors, patterns or sequences by preliminary fault modelling, e.g. analysis, simulation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3016Delay or race condition test, e.g. race hazard test

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

【課題】半導体集積回路の高速化やプロセスの微細化により従来のテスト方法では検出が困難になっている遅延故障を検出することを可能にするテストパターン生成を実現し、開発工数の増大を招かずに高品質な製品出荷を可能にする。
【解決手段】半導体集積回路のネットリストにより生成されたレイアウト情報から保証動作周波数に対するクリティカルパスと配線混雑やヴィア密度等の物理情報を抽出し、これら記物理情報に基づき故障し易い箇所を特定し、クリティカルパスから遅延故障が想定されるクリティカルパスを選別し、選別されたクリティカルパスに対してのみテストパターンを生成する。その際にテストパターン自動生成ソフトウエアを用い、物理情報に基づくクリティカルパス選別情報から得られる重み付け検証モデルを用いてクリティカルパスの重み付け要因を与える。
【選択図】 図1

Description

本発明は半導体製品のテスト方法に係り、特に半導体集積回路の高速化やプロセスの微細化に起因する遅延故障の検出能力を向上させるテストパターン生成技術に関する。
半導体製品の出荷テストにおいては高品質を保証するために種々のテストが行われ、故障が検出された製品が不良品として排除される。テストで判定される故障の種類として、縮退故障や遅延故障などがある。
縮退故障とは半導体製造時に信号配線が電源にショートして“L”レベルや“H”レベルに固定されてしまうといった故障のことを指す。このような縮退故障を検出するために半導体集積回路ではテストモードを内蔵し、テストモード時には半導体テスタなどを用いて外部端子から自在に半導体集積回路の内部信号を制御および観測できるように設計する。
縮退故障を検出するために、テストモード時に半導体集積回路に内蔵されるフリップフロップなどの記憶素子をシリアル接続してスキャンチェーンを構成し、半導体集積回路内部の組合せ回路にデータを供給してテストを行うスキャンテストが一般に採用されている。
すなわち、半導体テスタにより入力端子から半導体集積回路中の記憶素子にテストパターンを入力して保持させ、この保持されたテストパターンを半導体集積回路内部の組合せ回路に供給し、組合せ回路を通過したデータを再び記憶素子に取り込み、取り込んだデータをスキャンチェーンにより半導体集積回路の出力端子まで運び、論理期待値と出力端子に出力されたデータを半導体テスタで比較して故障が発生しているかどうかを判定することで故障を検出する。
図15はスキャンテストにおけるスキャンテストパターン発生方法を示すフロー図である。まず、HDL(Hardware Description Language)101をステップ102で論理合成してゲートレベルのネットリスト103を作成する。生成したネットリストとスキャンパステスト時のクロック、リセット、モード設定等を記載したスキャンパステスト制約1501とをステップ112のATPG(Automatic Test Pattern Generator)で処理することにより、半導体の縮退故障をテストする縮退故障用テストパターン113を発生させる。
しかし、縮退故障検査では電源にショートして信号配線が“L”レベルや“H”レベルに固定されてしまうといった故障を検出することはできるが、半導体製品が保証する動作周波数に関連する製品仕様を保証することができない。製品保証した動作周波数を満足できない故障として遅延故障がある。遅延故障とは半導体回路構造や半導体製造工程の何らかの要因により設計仕様に対して回路遅延が大幅に増大してしまうものである。
従来、遅延故障は半導体製品の実使用条件に即したシステム検証パターンを用いて検出している。しかしシステム検証パターンによるテストは、その開発日程に時間がかかるデメリットと、システマチックな動作を行うためにテストパターンが膨大な大きさとなりテストコストが増大するというデメリットがあり、全ての実動作機能に対して実施することが難しい。
昨今では半導体集積回路の大規模化により、開発日程やコストの増大を考慮すると、回路全体に対して遅延故障テストを実施することは次第に実現が難しくなっている。一方、プロセスの微細化により遅延故障に分類される故障が多くなったため、高品質を保証するためには遅延故障のテストが不可欠となっている。その対策として従来、半導体装置内のクリティカルパスと同等の遅延時間を有するテスト用の遅延回路を設けて最大動作周波数を測定する技術などが開発されている(例えば、特許文献1参照)。
図16は上記従来の遅延故障テスト方法におけるテスト回路構成を示すブロック図である。半導体集積回路1601は逐次論理回路1602やクリティカルパスを含む組合せ回路1603で構成されているが、組合せ回路1603のクリティカルパスはタイミングが厳しいため安易にテスト確認用回路を挿入することができない。
これを容易にテストするために、設計時に組合せ回路1603のクリティカルパス情報を分析し、それと同等の遅延特性を有する回路構成のセルを、外部入出力端子より容易にモニタできるテスト用クリティカルパス回路1604として半導体集積回路1601の内部に入れ込む。これにより、クリティカルパスの擬似テストを実施することができる。
特開2001−274334号公報
昨今の半導体集積回路は、多機能、低価格化要望に対応するために、既に設計している資産をIP(Intellectual Property)コアとして流用し、さまざまなIPコアを集積して多機能を実現するSoC(System on Chip)開発や、半導体集積回路の高速化と大規模集積によるチップサイズ増大を吸収するための超微細プロセスの適用などを実施している。
以前は動作周波数の高い半導体集積回路は数多く存在せず、高い縮退故障検出率のテストパターンと少数の実動作テストパターンで不良を検出することで、多くの半導体集積回路では市場不良率を低く抑えることが可能であった。
しかしながら、昨今の動作周波数の高速化やプロセス微細化により、高い縮退故障検出率のテストパターンと少数の実動作テストパターンで不良品選別した製品においても市場不良率が高くなってしまう問題があった。実際、学術論文でも微細プロセスでは配線ソフトオープン不良やヴィア接続不良が発生するため遅延特性が大きくばらつくことが言及されている。
上述した半導体装置内のクリティカルパスと同等の遅延時間を有するテスト用の遅延回路を設けて最大動作周波数を測定する従来技術は設計工数が削減される利点がある一方、回路規模増大によるコストアップと、実際に使用する回路を検査していないという欠点を持っている。
本発明は、半導体集積回路の高速化やプロセスの微細化に起因する従来のテスト方法では検出が困難な遅延故障を検出することが可能なテストパターン生成を実現し、開発工数の増大を招かずに高品質な製品出荷を可能にするパスディレイテスト方法を提供することを目的とする。
本発明のパスディレイテスト方法は、半導体集積回路のネットリストにより生成されたレイアウト情報から保証動作周波数に対するクリティカルパスと回路の物理情報を抽出し、この物理情報に基づき前記クリティカルパスから遅延故障が想定されるクリティカルパスを選別し、この選別されたクリティカルパスに対してのみテストパターンを生成するものである。
上記パスディレイテスト方法によれば、物理合成後のレイアウト情報を用いて抽出したクリティカルパスに対してパスを削減したり重み付けしたりすることでテストパターンを削減する。このようにして限定されたクリティカルパスに対してのみテストパターンを発生し、出荷テストを実施することにより、製品の品質を維持しながら設計工数やテストコストを削減することができる。
本発明のパスディレイテスト方法において、テストパターンの生成をテストパターン自動生成ソフトウエアを用いて行うものである。本発明のパスディレイテスト方法におけるテストパターンの生成の際に、物理情報に基づくクリティカルパス選別情報から得られる重み付け検証モデルを用いて、テストパターン自動生成ソフトウエアに対してクリティカルパスの重み付け要因を与えるものである。
上記パスディレイテスト方法によれば、レイアウト情報から抽出した物理情報に基づくパスの重み付け要因を与えることにより、限定パスの絞込み自由度が増し、ツール適用にも柔軟に対応できるようになる。
本発明のパスディレイテスト方法におけるクリティカルパスの選別で、クリティカルパスの上位であるかを判定条件とするものである。本発明のパスディレイテスト方法におけるクリティカルパスの選別で、クリティカルパス中に電流駆動能力の高いセルが存在するかを判定条件とするものである。電流能力の高いセルはトランジスタのオン抵抗が低く、ヴィア抵抗や配線抵抗にプロセスバラツキが発生した場合にその影響を大きく受けるが、上記パスディレイテスト方法によれば、これに対策することができる。
本発明のパスディレイテスト方法におけるクリティカルパスの選別で、クリティカルパス中にシングルヴィアで接続された箇所が存在するかを判定条件とするものである。シングルヴィアで接続された箇所はヴィア接続不良が発生した場合に明確に抵抗値が増大するため、遅延故障になる可能性が高いが、上記パスディレイテスト方法によれば、その対策をすることができる。
本発明のパスディレイテスト方法におけるクリティカルパスの選別で、クリティカルパスがヴィア密度の高い領域に存在するかを判定条件とするものである。ヴィア密度が高い箇所ではヴィアの接続不良確率が高いが、上記パスディレイテスト方法によれば、その対策をすることができる。
本発明のパスディレイテスト方法におけるクリティカルパスの選別で、クリティカルパスがゲート密度の高い領域に存在するかを判定条件とするものである。ゲート密度が高い箇所ではヴィアの接続不良確率や配線ソフトショートの確率が高いが、上記パスディレイテスト方法によれば、これに対策することができる。
本発明のパスディレイテスト方法におけるクリティカルパスの選別で、クリティカルパスが配線密度の高い領域に存在するかを判定条件とするものである。配線密度が高い箇所では配線ソフトショート確率が高いが、上記パスディレイテスト方法によれば、これに対策することができる。
本発明のパスディレイテスト方法は、半導体集積回路の論理合成されたネットリストから保証動作周波数に対するクリティカルパスを抽出し、論理合成の回路情報に基づき前記クリティカルパスから遅延故障が想定されるクリティカルパスを選別し、この選別されたクリティカルパスに対してのみテストパターンを生成するものである。配線やヴィアなどに対する物理的な配慮がなされ、マージンを十分に取った設計方針である場合は、物理合成後のタイミング情報によらず、論理合成後のタイミング情報によりテストパターンを生成した方が開発日程が大幅に短縮できる。
上記パスディレイテスト方法によれば、論理合成後のタイミング情報を用いて抽出したクリティカルパスに対してパスを削減したり重み付けしたりすることでテストパターンを削減する。このようにして限定されたクリティカルパスに対してのみテストパターンを発生し、出荷テストを実施することにより、製品の品質を維持しながら設計工数やテストコストを削減することができる。
本発明のパスディレイテスト方法において、テストパターンの生成をテストパターン自動生成ソフトウエアを用いて行うものである。本発明のパスディレイテスト方法におけるテストパターンの生成の際に、論理合成の回路情報に基づくクリティカルパス選別情報から得られる重み付け検証モデルを用いて、テストパターン自動生成ソフトウエアに対してクリティカルパスの重み付け要因を与えるものである。
上記パスディレイテスト方法によれば、論理合成の回路情報に基づくクリティカルパス選別情報から得られる重み付け検証モデルを用いてパスの重み付け要因を与えることにより、限定パスの絞込み自由度が増し、ツール適用にも柔軟に対応できるようになる。
本発明のパスディレイテスト方法におけるクリティカルパスの選別で、クリティカルパスの上位であるかを判定条件とするものである。本発明のパスディレイテスト方法におけるクリティカルパスの選別で、クリティカルパス中に電流駆動能力の高いセルが存在するかを判定条件とするものである。電流能力の高いセルはトランジスタのオン抵抗が低く、ヴィア抵抗や配線抵抗にプロセスバラツキが発生した場合にその影響を大きく受けるが、上記パスディレイテスト方法によれば、これに対策することができる。
本発明の半導体集積回路のテスト方法は、前記半導体集積回路の構造的欠陥による遅延増加を考慮して遅延故障テストパターンの選別を行うものである。
本発明によれば、設計情報から抽出したクリティカルパスに対してパスを削減したり重み付けしたりすることでテストパターンを削減し、この限定されたクリティカルパスに対してのみテストパターンを発生することで、遅延故障用テストパターンを効率的に発生させることができ、このテストパターンを用いて出荷検査を実施することで、品質面、開発日程面、コスト面のすべてにおいて大幅な改善効果が得られる。
以下、本発明の実施形態について図面を参照しながら説明する。なお、以下の図面の記載において、同一または類似の部分には同一または類似の符号を付し、同一部分については重複した説明を省略する。また、図15と同一の部分についても同様である。
(実施の形態1)
図1は本発明の実施の形態1に係るパスディレイテスト方法を示すフロー図である。図1において、101は回路を記述したHDL(Hardware Description Language)、102はHDL101を論理合成ツールを使用して合成し、ゲートレベルのネットリストを生成する論理合成工程、103は論理合成工程102によって生成されたネットリスト、104はネットリストをレイアウトツールにより実際にレイアウトするレイアウト工程、105はレイアウト工程104により配置されたゲートを実機能に準じた動作周波数で動作できるようにタイミングをチェックし、再配置や論理構造再構築を行う物理合成工程である。以上は一般的な半導体集積回路の自動設計工程である。
さらに、106は物理合成工程105後のタイミング解析工程、107は解析工程106により確認できる物理情報から抽出されるクリティカルパスリスト、108はタイミング解析などの物理解析情報を使用してテスト不要パスを削除する工程、109はパスやセルに対して遅延ファクタの重み付けをする工程、110はパス情報に対して重み付けやパスの選別を行ってテストの実施対象パスを限定するパスリスト加工工程、111はテスト実施対象として限定されたパスリスト、112は縮退故障用テストパターンを生成するATPG(Automatic Test Pattern Generator)工程、113は生成された縮退故障用テストパターン、114は限定されたパスリスト111から生成された遅延故障用テストパターンである。
ここで、解析工程106により確認できる物理情報には、半導体集積回路の回路構造情報、負荷情報、抵抗値情報、ヴィア接続情報、配線情報などがあり、機械的な処理により抽出されるクリティカルパス候補から、遅延故障が想定されるクリティカルパスを選別するために用いられる。
本実施の形態のパスディレイテスト方法では、物理合成後のレイアウト情報を用いてタイミングがクリティカルなパスを抽出し、抽出したクリティカルパスに対してパスを削減したり重み付けしたりすることでテストパターンを削減する。このようにして限定されたクリティカルパスに対してのみテストパターンを発生し、出荷テストを実施することにより、製品の品質を維持しながら設計工数やテストコストを削減することができる。
図2は、本実施の形態のパスディレイテスト方法において、限定されたパスリスト111から遅延故障用テストパターン114を生成する際に、限定パスATPG工程201によりテストパターンを自動生成するようにしたフロー図である。
図3は、限定パスATPG工程201による遅延故障用テストパターンの自動生成の際に、さらに、工程110から得られる重み付けモデル301により、パスの重み付け要因を限定パスATPG工程201に入力するようにしたフロー図である。このようにパスの重み付け要因を与えることにより、限定パスの絞込み自由度が増し、ツール適用にも柔軟に対応できるようになる。
(実施の形態2)
図4〜図9は本発明の実施の形態2に係るパスディレイテスト方法を示すフロー図である。HDL101からタイミング解析工程106までと、限定パスATPG工程201により遅延故障用テストパターン114を自動生成する工程は実施の形態1と同じである。
実施の形態2における実施の形態1との相違点は、タイミング解析工程106により確認できるクリティカルパス中から特定の遅延故障要因条件を満たすパスを抽出し、これを他の遅延故障要因条件で抽出したクリティカルパスリストと統合した上で、限定パスATPG工程201により遅延故障用テストパターン114を自動生成する点である。
図4においては401が特定条件のパス抽出工程で、パスの遅延値に応じてクリティカルパスの上位パスを抽出するパス限定工程である。また、402はパス限定工程401によって抽出された中間パスリスト、403は他の遅延故障要因条件で抽出したパスとマージして統合する限定パスリスト統合工程である。
図5においては501が特定条件のパス抽出工程で、解析工程106により確認できるクリティカルパス中にトランジスタの電流駆動能力の高いセルが存在する際にそのパスを抽出するパス限定工程である。電流能力の高いセルはトランジスタのオン抵抗が低く、ヴィア抵抗や配線抵抗にプロセスバラツキが発生した場合にその影響を大きく受けるため、これに対策する必要がある。
図6においては601が特定条件のパス抽出工程で、解析工程106により確認できるクリティカルパス中にシングルヴィアで接続された箇所が存在する際に、そのパスを抽出するパス限定工程である。シングルヴィアで接続された箇所はヴィア接続不良が発生した場合に明確に抵抗値が増大するため、遅延故障になる可能性が高く、その対策が必要である。
図7においては701が特定条件のパス抽出工程で、解析工程106により確認できるクリティカルパス中にヴィア密度が高い箇所が存在する際に、そのパスを抽出するパス限定工程である。ヴィア密度が高い箇所ではヴィアの接続不良確率が高いためである。
図8においては801が特定条件のパス抽出工程で、解析工程106により確認できるクリティカルパス中にゲート密度が高い箇所が存在する際に、そのパスを抽出するパス限定工程である。ゲート密度が高い箇所ではヴィアの接続不良確率や配線ソフトショート(完全にショートしておらず高抵抗で接続されるショート)の確率が高いためである。
図9においては901が特定条件のパス抽出工程で、解析工程106により確認できるクリティカルパス中に配線密度が高い箇所が存在する際に、そのパスを抽出するパス限定工程である。配線密度が高い箇所では配線ソフトショート確率が高いためである。
(実施の形態3)
図10〜図12は本発明の実施の形態3に係るパスディレイテスト方法を示すフロー図である。それぞれが実施の形態1の図1〜図3に該当し、実施の形態1と比較して、それぞれにレイアウト工程104と物理合成工程105が除かれている。
本実施の形態では、パス限定情報を実施の形態1のように物理合成情報によらず論理合成情報により求めている。配線やヴィアなどに対する物理的な配慮がなされ、マージンを十分に取った設計方針である場合は、物理合成後のタイミング情報でテストパターンを生成した場合に比較して、論理合成後のタイミング情報でテストパターンを生成した方が半導体集積回路の開発日程で大きなメリットがある。
このように、論理合成後のタイミング情報を用いてタイミングがクリティカルなパスを抽出し、抽出したパスに対してパスを削減したり重み付けしたりすることでテストパターンを削減する。限定したパスのみテストパターンを発生し、出荷テストすることで製品の品質を維持しながら設計工数やテストコストを削減することができる。
(実施の形態4)
図13、図14は本発明の実施の形態4に係るパスディレイテスト方法を示すフロー図である。それぞれが実施の形態2の図4、図5に該当し、実施の形態2と比較して、それぞれにレイアウト工程104と物理合成工程105が除かれている。
この場合も実施の形態3の場合と同様に、特定条件を満たすパス抽出のための情報を実施の形態2のように物理合成情報によらず論理合成情報により求めている。配線やヴィアなどに対する物理的な配慮がなされてマージンを十分に取った設計方針である場合は、物理合成後のタイミング情報でテストパターンを生成した場合に比較して、論理合成後のタイミング情報でテストパターンを生成した方が半導体集積回路の開発日程で大きなメリットがある。
このように、論理合成後のタイミング情報を用いてタイミングがクリティカルなパスを抽出し、抽出したパスに対してパスを削減したり重み付けしたりすることでテストパターンを削減する。限定したパスのみテストパターンを発生し、出荷テストすることで製品の品質を維持しながら設計工数やテストコストを削減することができる。
本発明のパスディレイテスト方法は、設計情報から抽出したクリティカルパスに対してパスを削減したり重み付けしたりすることでテストパターンを削減し、この限定されたクリティカルパスに対してのみテストパターンを発生することで、遅延故障用テストパターンを効率的に発生させることができ、このテストパターンを用いて出荷検査を実施することで、品質面、開発日程面、コスト面のすべてにおいて大幅な改善効果が得られるという効果を有し、半導体製品のテスト技術等として有用である。
本発明の実施の形態1に係るパスディレイテスト方法を示すフロー図。 本発明の実施の形態1に係るパスディレイテスト方法において、ATPGによる遅延故障用テストパターンの自動生成を行うようにしたフロー図。 本発明の実施の形態1に係るパスディレイテスト方法において、ATPGによる遅延故障用テストパターンの自動生成の際に、パスの重み付け要因を入力するようにしたフロー図。 本発明の実施の形態2に係るパスディレイテスト方法において、パスの遅延値に応じてクリティカルパスの上位パスを抽出するようにしたフロー図。 本発明の実施の形態2に係るパスディレイテスト方法において、トランジスタの電流駆動能力の高いセルが存在するパスを抽出するようにしたフロー図。 本発明の実施の形態2に係るパスディレイテスト方法において、シングルヴィアで接続された箇所が存在するパスを抽出するようにしたフロー図。 本発明の実施の形態2に係るパスディレイテスト方法において、ヴィア密度が高い箇所が存在するパスを抽出するようにしたフロー図。 本発明の実施の形態2に係るパスディレイテスト方法において、ゲート密度が高い箇所が存在するパスを抽出するようにしたフロー図。 本発明の実施の形態2に係るパスディレイテスト方法において、配線密度が高い箇所が存在するパスを抽出するようにしたフロー図。 本発明の実施の形態3に係るパスディレイテスト方法を示すフロー図。 本発明の実施の形態3に係るパスディレイテスト方法において、ATPGによる遅延故障用テストパターンの自動生成を行うようにしたフロー図。 本発明の実施の形態3に係るパスディレイテスト方法において、ATPGによる遅延故障用テストパターンの自動生成の際に、パスの重み付け要因を入力するようにしたフロー図。 本発明の実施の形態4に係るパスディレイテスト方法において、パスの遅延値に応じてクリティカルパスの上位パスを抽出するようにしたフロー図。 本発明の実施の形態4に係るパスディレイテスト方法において、トランジスタの電流駆動能力の高いセルが存在するパスを抽出するようにしたフロー図。 スキャンテストにおけるスキャンテストパターン発生方法を示すフロー図。 従来の遅延故障テスト方法におけるテスト回路構成を示すブロック図。
符号の説明
101 HDL(Hardware Description Language)
102 論理合成工程
103 ネットリスト
104 レイアウト工程
105 物理合成工程
106 タイミング解析工程
107 クリティカルパスリスト
108 不要パス削除工程
109 重み付け工程
110 パスリスト加工工程
111 限定パスリスト
112 ATPG(Automatic Test Pattern Generator)工程
113 縮退故障用テストパターン
114 遅延故障用テストパターン
201 限定パスATPG
301 重み付けモデル
401 遅延上位パス限定工程
402 中間パスリスト
403 限定パスリスト統合工程
501 高電流駆動能力パス限定工程
601 シングルヴィア検出工程
701 高ヴィア密度検出工程
801 高ゲート密度検出工程
901 高配線密度検出工程
1501 スキャンパステスト制約
1601 半導体集積回路
1602 逐次論理回路
1603 クリティカルパスを含む組合せ回路
1604 テスト用クリティカルパス回路

Claims (15)

  1. 半導体集積回路のネットリストにより生成されたレイアウト情報から保証動作周波数に対するクリティカルパスと回路の物理情報を抽出し、前記物理情報に基づき前記クリティカルパスから遅延故障が想定されるクリティカルパスを選別し、前記選別されたクリティカルパスに対してのみテストパターンを生成するパスディレイテスト方法。
  2. 前記テストパターンの生成をテストパターン自動生成ソフトウエアを用いて行う請求項1記載のパスディレイテスト方法。
  3. 前記テストパターンの生成の際に、前記物理情報に基づくクリティカルパス選別情報から得られる重み付け検証モデルを用いて、前記テストパターン自動生成ソフトウエアに対してクリティカルパスの重み付け要因を与える請求項2記載のパスディレイテスト方法。
  4. 前記クリティカルパスの選別において、クリティカルパスの上位であるかを判定条件とする請求項1記載のパスディレイテスト方法。
  5. 前記クリティカルパスの選別において、クリティカルパス中に電流駆動能力の高いセルが存在するかを判定条件とする請求項1記載のパスディレイテスト方法。
  6. 前記クリティカルパスの選別において、クリティカルパス中にシングルヴィアで接続された箇所が存在するかを判定条件とする請求項1記載のパスディレイテスト方法。
  7. 前記クリティカルパスの選別において、クリティカルパスがヴィア密度の高い領域に存在するかを判定条件とする請求項1記載のパスディレイテスト方法。
  8. 前記クリティカルパスの選別において、クリティカルパスがゲート密度の高い領域に存在するかを判定条件とする請求項1記載のパスディレイテスト方法。
  9. 前記クリティカルパスの選別において、クリティカルパスが配線密度の高い領域に存在するかを判定条件とする請求項1記載のパスディレイテスト方法。
  10. 半導体集積回路の論理合成されたネットリストから保証動作周波数に対するクリティカルパスを抽出し、前記論理合成の回路情報に基づき前記クリティカルパスから遅延故障が想定されるクリティカルパスを選別し、前記選別されたクリティカルパスに対してのみテストパターンを生成するパスディレイテスト方法。
  11. 前記テストパターンの生成をテストパターン自動生成ソフトウエアを用いて行う請求項10記載のパスディレイテスト方法。
  12. 前記テストパターンの生成の際に、前記論理合成の回路情報に基づくクリティカルパス選別情報から得られる重み付け検証モデルを用いて、前記テストパターン自動生成ソフトウエアに対してクリティカルパスの重み付け要因を与える請求項11記載のパスディレイテスト方法。
  13. 前記クリティカルパスの選別において、クリティカルパスの上位であるかを判定条件とする請求項10記載のパスディレイテスト方法。
  14. 前記クリティカルパスの選別において、クリティカルパス中に電流駆動能力の高いセルが存在するかを判定条件とする請求項10記載のパスディレイテスト方法。
  15. 半導体集積回路の構造的欠陥による遅延増加を考慮して遅延故障テストパターンの選別を行う半導体集積回路のテスト方法。
JP2004123809A 2004-04-20 2004-04-20 パスディレイテスト方法 Withdrawn JP2005308471A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2004123809A JP2005308471A (ja) 2004-04-20 2004-04-20 パスディレイテスト方法
TW094112538A TW200538903A (en) 2004-04-20 2005-04-20 Path delay test method
US11/109,702 US20050235177A1 (en) 2004-04-20 2005-04-20 Path delay test method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004123809A JP2005308471A (ja) 2004-04-20 2004-04-20 パスディレイテスト方法

Publications (1)

Publication Number Publication Date
JP2005308471A true JP2005308471A (ja) 2005-11-04

Family

ID=35097694

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004123809A Withdrawn JP2005308471A (ja) 2004-04-20 2004-04-20 パスディレイテスト方法

Country Status (3)

Country Link
US (1) US20050235177A1 (ja)
JP (1) JP2005308471A (ja)
TW (1) TW200538903A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007171084A (ja) * 2005-12-26 2007-07-05 Nec Electronics Corp テストパタン生成システム、及びテストパタン生成方法
JP2008076121A (ja) * 2006-09-20 2008-04-03 Fujitsu Ltd ディレイ不良解析方法およびその装置
WO2008133052A1 (ja) * 2007-04-23 2008-11-06 Kyushu Institute Of Technology 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4644142B2 (ja) * 2006-02-24 2011-03-02 富士通セミコンダクター株式会社 クリティカルパス推定プログラム、推定装置、推定方法、および集積回路設計プログラム。
US7865790B1 (en) * 2008-03-06 2011-01-04 Xilinx, Inc. On-chip stuck-at fault detector and detection method
US7480882B1 (en) * 2008-03-16 2009-01-20 International Business Machines Corporation Measuring and predicting VLSI chip reliability and failure
JP5625297B2 (ja) * 2009-09-25 2014-11-19 富士通株式会社 ディレイテスト装置、ディレイテスト方法及びディレイテストプログラム
CN110222388B (zh) * 2019-05-24 2022-09-27 西安理工大学 一种基于关键路径复制的电路最高工作频率测试方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5257268A (en) * 1988-04-15 1993-10-26 At&T Bell Laboratories Cost-function directed search method for generating tests for sequential logic circuits
US5422891A (en) * 1993-07-23 1995-06-06 Rutgers University Robust delay fault built-in self-testing method and apparatus
US5414716A (en) * 1993-09-22 1995-05-09 Mitsubishi Electronic Research Laboratories, Inc. Weighting system for testing of circuits utilizing determination of undetected faults
US5485471A (en) * 1993-10-15 1996-01-16 Mitsubishi Electric Research Laboratories, Inc. System for testing of digital integrated circuits
US6327686B1 (en) * 1999-04-22 2001-12-04 Compaq Computer Corporation Method for analyzing manufacturing test pattern coverage of critical delay circuit paths
JP2001042012A (ja) * 1999-07-29 2001-02-16 Mitsubishi Electric Corp テストパターン生成装置、ループ切断方法、伝播経路切断方法、遅延故障検出方法およびその方法をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体
JP3734392B2 (ja) * 1999-10-29 2006-01-11 松下電器産業株式会社 半導体集積回路の故障検査方法及びレイアウト方法
JP2003233639A (ja) * 2002-02-06 2003-08-22 Mitsubishi Electric Corp 故障検証装置、故障検証方法および故障解析手法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007171084A (ja) * 2005-12-26 2007-07-05 Nec Electronics Corp テストパタン生成システム、及びテストパタン生成方法
JP4721275B2 (ja) * 2005-12-26 2011-07-13 ルネサスエレクトロニクス株式会社 テストパタン生成システム、及びテストパタン生成方法
JP2008076121A (ja) * 2006-09-20 2008-04-03 Fujitsu Ltd ディレイ不良解析方法およびその装置
WO2008133052A1 (ja) * 2007-04-23 2008-11-06 Kyushu Institute Of Technology 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法
US8001437B2 (en) 2007-04-23 2011-08-16 Kyushu Institute Of Technology Test pattern generation method for avoiding false testing in two-pattern testing for semiconductor integrated circuit
JP5141988B2 (ja) * 2007-04-23 2013-02-13 株式会社Lptex 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法

Also Published As

Publication number Publication date
TW200538903A (en) 2005-12-01
US20050235177A1 (en) 2005-10-20

Similar Documents

Publication Publication Date Title
US7308660B2 (en) Calculation system of fault coverage and calculation method of the same
US7562321B2 (en) Method and apparatus for structured ASIC test point insertion
US11041906B2 (en) Optimized scan chain diagnostic pattern generation for reversible scan architecture
US8645778B2 (en) Scan test circuitry with delay defect bypass functionality
US20050235177A1 (en) Path delay test method
Ghosh-Dastidar et al. Adaptive techniques for improving delay fault diagnosis
JP4482622B2 (ja) 変換装置、変換方法、変換方法をコンピュータに実行させることが可能なプログラム、及び、このプログラムを記録した記録媒体
US7152194B2 (en) Method and circuit for scan testing latch based random access memory
CN114667455A (zh) 用于测试电路的通用压缩器架构
US20090212818A1 (en) Integrated circuit design method for improved testability
JPWO2007113940A1 (ja) 半導体検査装置
US20140149818A1 (en) Diagnostic testing for a double-pumped memory array
US20060156100A1 (en) Test wrapper including integrated scan chain for testing embedded hard macro in an integrated circuit chip
US7539957B1 (en) Automatic test pattern generation tool with feedback path capabilities for testing circuits with repeating blocks
US20040205436A1 (en) Generalized fault model for defects and circuit marginalities
US6694495B1 (en) Method of analyzing static current test vectors for semiconductor integrated circuits
US7844869B2 (en) Implementing enhanced LBIST testing of paths including arrays
Appello et al. Embedded memory diagnosis: An industrial workflow
US11408938B2 (en) Bidirectional scan cells for single-path reversible scan chains
US7036063B2 (en) Generalized fault model for defects and circuit marginalities
US20080148209A1 (en) Method of designing semiconductor integrated circuit using test point insertion adjustable to delay time
JP2004012374A (ja) 半導体集積回路のテストシステム、検査方法およびスキャンテストパターン作成方法
US9869718B1 (en) Scan test architecture and method for scan testing
JP4020731B2 (ja) 半導体集積回路の故障診断方法
US11092645B2 (en) Chain testing and diagnosis using two-dimensional scan architecture

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20060327

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061212

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20071114

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20071121

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20071128

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20071205

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20071212

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20080708