JP2008506530A - プラズマ処理チャンバ用の構成要素の石英表面をウェット洗浄する方法 - Google Patents

プラズマ処理チャンバ用の構成要素の石英表面をウェット洗浄する方法 Download PDF

Info

Publication number
JP2008506530A
JP2008506530A JP2007527594A JP2007527594A JP2008506530A JP 2008506530 A JP2008506530 A JP 2008506530A JP 2007527594 A JP2007527594 A JP 2007527594A JP 2007527594 A JP2007527594 A JP 2007527594A JP 2008506530 A JP2008506530 A JP 2008506530A
Authority
JP
Japan
Prior art keywords
component
quartz surface
quartz
plasma
baffle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007527594A
Other languages
English (en)
Other versions
JP4648392B2 (ja
Inventor
ホング シー,
ツオチュアン ヒュアング,
デュアン アウトカ,
ジャック クオ,
シェンジアン リウ,
ブルーノ モレル,
アンソニー チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2008506530A publication Critical patent/JP2008506530A/ja
Application granted granted Critical
Publication of JP4648392B2 publication Critical patent/JP4648392B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • B08B3/12Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration by sonic or ultrasonic vibrations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning By Liquid Or Steam (AREA)
  • Cleaning In General (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

エッチングチャンバ、レジスト剥離チャンバ等の、半導体基板が処理されるプラズマ処理チャンバ用の構成要素の石英表面のウェット洗浄方法は、石英表面を、少なくとも一つの有機溶媒、塩基溶液及び複数の異なる酸溶液と接触させて、石英表面から有機汚染物質及び金属汚染物質を除去する工程を含む。石英表面は、好ましくは、少なくとも2回酸溶液の一つと接触させられる。
【選択図】図1

Description

本発明は、プラズマ処理チャンバ用の構成要素の石英表面をウェット洗浄する方法に関する。
シリコンウエハ等の半導体基板材料は、蒸着、乾式エッチング及びレジスト剥離プロセス等の技術によって、プラズマ処理チャンバ内で処理される。そのようなチャンバの構成要素の表面は、プラズマ及び腐食性ガスにさらされ、また継続的にそれらによる作用を受ける。そのさらされることにより、これらの構成要素は腐食され、副産物の付着が堆積して、交換又は徹底的な洗浄を必要とする。最終的に、構成要素は摩耗して、チャンバ内で使用不可能になる。これらの構成要素は「消耗品」と呼ばれる。したがって、部品の寿命が短ければ、消耗品のコストは高い(すなわち、部品コスト/部品寿命)。
半導体基板がその中で処理される、プラズマ処理チャンバ用の構成要素の石英表面をウェット洗浄する方法が提供される。好ましい一実施形態は、a)構成要素の少なくとも一つの石英表面を、石英表面から有機汚染物質を脱脂し除去するのに有効な少なくとも一つの有機溶媒と接触させる工程と、b)工程a)の後、石英表面を、石英表面から有機汚染物質及び金属汚染物質を除去するのに有効な弱い塩基溶液と接触させる工程と、c)工程b)の後、石英表面を、石英表面から金属汚染物質を除去するのに有効な第1の酸溶液と接触させる工程と、d)工程c)の後、石英表面をフッ化水素酸及び硝酸を含む第2の酸溶液と接触させて、石英表面から金属汚染物質を除去する工程と、e)任意に工程d)を少なくとも1回繰り返す工程とを含む。
半導体基板が処理されるプラズマ処理チャンバ用の構成要素の好ましい一実施形態は、少なくとも一つの石英表面を含み、その表面上のAl、Ca、Cr、Cu、Fe、Li、Mg、Ni、K、Na、Ti、Zn、Co及びMoの量(×1010原子/cm)は、Al≦300、Ca≦95、Cr≦50、Cu≦50、Fe≦65、Li≦50、Mg≦50、Ni≦50、K≦100、Na≦100、Ti≦60、Zn≦50、Co≦30、及びMo≦30である。
レジスト剥離装置の好ましい一実施形態が提供され、その装置は、レジスト剥離チャンバと、プラズマを生成しかつ反応種をレジスト剥離チャンバに導入するように動作可能な遠隔プラズマ源と、ウェット洗浄された少なくとも一つの石英表面を含むバッフルとを備える。
プラズマ処理チャンバの好ましい一実施形態が提供され、そのチャンバは洗浄された少なくとも一つの石英表面を含む少なくとも一つの構成要素を備え、その石英表面は、プラズマ処理チャンバ内でプラズマ及び/又はプロセスガスに暴露される。
プラズマ処理チャンバ内の半導体基板を処理する方法の好ましい一実施形態は、少なくとも一つの構成要素の少なくとも一つの石英表面を洗浄する工程と、洗浄されたままの構成要素を、構成要素がプラズマ及び/又はプロセスガスにさらされるように、半導体基板を収容するプラズマ処理チャンバ内に設置する工程と、プラズマ処理チャンバから離れて又はその中でプロセスガスをプラズマ状態に励起して、基板を処理する工程とを含む。
プラズマ処理作業では、シリコンウエハ等の半導体基板は、基板から材料を除去するプラズマエッチングプロセスを施され、及び/又は、化学蒸着法(CVD)、プラズマ促進化学蒸着法(PECVD)等の、基板上に材料を蒸着する蒸着プロセスを施される。エッチングプロセスは、金属材料、半導体及び/又は絶縁体材料、例えば誘電材料を、基板から除去する。蒸着プロセスは、例えば、アルミニウム、モリブデン、タングステン等の様々な金属、及び、二酸化シリコン、窒化シリコン等の誘電材料を、基板上に蒸着することができる。
レジスト剥離チャンバは、半導体デバイス製造プロセスにおいて、レジスト材料、例えば有機フォトレジスト、等の保護マスクを半導体基板から除去するのに使用される。「アッシング」とも呼ばれる乾式剥離は、レジストを半導体構造から除去するためにレジスト剥離チャンバ内で実施されるプラズマエッチング技術である。
プラズマエッチング、蒸着、及び/又はレジスト剥離プロセスにより、プラズマチャンバ内で、無機汚染物質及び有機汚染物質が、構成要素の石英(SiO)表面上に、すなわち、石英で作られた構成要素(例えば、モノリシック構成要素)の表面上に、又は、少なくとも一つの他の材料に加えて石英を含む構成要素、例えば下にある基板の外層として形成された石英コーティングを含む構成要素、の石英表面上に蓄積することが分かっている。本明細書で使用されるとき、用語「外側表面」は、構成要素の外側表面全体を意味し、一つ又は複数の石英表面を含んでもよい。外側表面は、石英ではない少なくとも一つの表面、例えば非コート面を含んでもよい。
石英表面を有するプラズマ処理装置用の構成要素としては、例えば、誘電体窓、プロセスガス噴射器、及び/又は噴射リング、ビューポート(view ports)、プラズマ閉じ込めリング、フォーカスリング、及び基板支持体上の基板を取り囲むエッジリング、ならびにプロセスガスを分配するためのガス分配プレート及びバッフルが挙げられる。構成要素は、平板状、リング状、ディスク状、円筒状、及び、これらの形状と他の形状との組み合わせ等、様々な形状を有することができる。
プラズマエッチング、蒸着、及びレジスト剥離プロセスの間、エッチング副生物、蒸着材料、剥離副生物及び他の材料が、プラズマチャンバ内の構成要素の石英表面上に堆積することがある。レジスト剥離チャンバ内では、有機汚染物質及び無機汚染物質を含む剥離副生物が、ガス分配プレート及びバッフルの底面上に蓄積し、剥離速度の低下を引き起こすことがある。特定の理論に限定されるものではないが、剥離速度の低下のメカニズムは、清浄なSiO表面で生じる再結合と比較して、例えばAl及びTiOの堆積物上での表面再結合の発生が増加することによって引き起こされる、下流の原子酸素フラックスの損失であると考えられる。
プラズマ処理装置用の構成要素の石英表面の汚染に関連した上述の問題の観点から、そのような石英表面を洗浄する方法が提供される。石英表面は、好ましくは、プラズマ処理チャンバ内でプラズマ及び/又は腐食性プロセスガスにさらされる表面である。これらの方法の好ましい実施形態は、石英で作られた構成要素(例えば、モノリシック構成要素)、及び、一つ又は複数の石英表面を有する構成要素、例えば石英コーティングされた構成要素を洗浄するために実行することができる。これらの方法は、有機汚染物質及び無機汚染物質を、プラズマ処理チャンバ内でプラズマにさらされていた構成要素、すなわち使用済みの構成要素の石英表面から除去することによって、使用済みの部品を修復して、石英表面上の少なくとも選択された金属汚染物質の望ましい低レベルを達成することができる。
プラズマ処理装置用の構成要素の石英表面を洗浄する方法の好ましい一実施形態は、前洗浄又は「粗洗浄」手順である、任意の第1工程を含む。前洗浄手順は、好ましくは、構成要素の石英表面が非常に汚染されていると判断される場合、例えば、石英表面上の汚染レベルが十分目に見える程度深刻な場合に実施される。前洗浄手順は、脱イオン(DI)水の高圧(例えば、約20psi〜約80psi)噴霧を使用して、構成要素の外側表面に吹き付けを行うことを含む。外側表面には、遊離した表面蒸着が除去されるまで、例えば約5分間〜約15分間、噴霧が施される。外側表面を水で洗浄した後に、構成要素は乾燥される。乾燥工程は、好ましくは、清潔な乾燥空気等を使用する。
この実施形態では、構成要素の一つ又は複数の石英表面をマスキングして、洗浄化学物質との接触を防ぐことができる。例えば、石英窓の場合、気密面を、「TEFLON」取付具若しくは石英リングを使用して、又は汚染物質を含まないテープ等でマスキングすることができる。目に見える堆積物は、好ましくは、濾過され加圧されたCO等を使用して、構成要素の外側表面のマスキングされていない部分から除去される。
この実施形態では、構成要素の外側表面は、次に、約5分間〜約15分間等の適切な時間、DI水で濯がれて、外側表面から遊離した粒子が除去され、第1工程が完了する。
構成要素は、以下に記載する向上したウェット洗浄手順を使用して、洗浄することができる状態にある。この実施形態では、向上したウェット洗浄手順は、好ましくは、3工程、すなわちこの方法の第2〜第4工程を含む。この実施形態では、第2工程は、好ましくは、構成要素の石英表面を脱脂して、指油、グリース、粒子及び有機化合物等の有機汚染物質を除去する。有機汚染物質は、CHF、CF等のプロセスガスを使用する金属エッチングプロセスを含む様々なプラズマプロセスの間、又は、CF、C等を使用するレジスト剥離プロセスの間に、石英表面上に堆積することがある。この実施形態では、第3工程は、第1工程の後に構成要素の石英表面上に残っている有機汚染物質を除去し、かつ無機汚染物質を除去するために実施される。この実施形態では、第4工程は最終洗浄及びパッケージング手順である。
この実施形態では、第2工程は、最初にDI水を使用して、通常約5分間〜約15分間構成要素を濯いで石英表面から遊離した粒子を除去し、次に構成要素を乾燥させることを含む。
この実施形態では、第2工程は、次に外側表面を適切な第1の溶媒と接触させることを含む。本明細書で使用するとき、用語「接触させる」は、外側表面上に存在する望ましくない物質を除去するのに有効な任意の適切な技術によって、構成要素の外側表面に液体を適用することを意味する。例えば、洗浄すべき構成要素は、液体中に漬ける又は浸漬させるか、又は、液体を噴霧する又は跳ね掛けることができる。第1の溶媒は、有機溶媒、好ましくはイソプロピルアルコールである。構成要素は、約20℃〜約25℃の温度で約15分間〜約30分間、第1の溶媒に浸漬され、次に、目に見える残留物が石英表面から拭き取り布に移らなくなるまで、非汚染拭き取り布で拭かれるのが好ましい。次に、構成要素は、DI水を使用して一般的に約5分間〜約15分間濯がれて、残存する第1の溶媒及び遊離した表面粒子が除去され、その後、構成要素は窒素等で乾燥される。
この実施形態では、第2工程は、次に構成要素を適切な第2の溶媒と接触させることを含む。第2の溶媒は、有機溶媒、好ましくはアセトンである。構成要素は、約20℃〜約25℃の温度で約15分間〜約30分間、第2の溶媒に浸漬され、次に、目に見える残留物が石英表面から拭き取り布に移らなくなるまで、非汚染拭き取り布で拭かれるのが好ましい。アセトンは、有機汚染物質を構成要素の石英表面から有機汚染物質を除去するのに有効である。次に、構成要素は、好ましくは、DI水を使用して通常約5分間〜約15分間濯がれて残存する溶媒及び遊離した表面粒子が外側表面から除去され、その後、構成要素は窒素等で乾燥される。
この実施形態では、第2工程は、次に、(ほぼ周囲温度で少なくとも約15MΩ・cmの抵抗を有する)超純水中で構成要素を約20分間〜約40分間超音波洗浄し、その後、構成要素を濾過された窒素等の適切なガスで乾燥することを含むのが好ましい。
この実施形態では、第3工程は、第2工程の完了後に、構成要素の石英表面上に残っている有機汚染物質を、Si、Ca、Mg、Fe、Co、Cu、Na、K、Al、Ti、Zn、Li、Ni、Cr、Mo、TiF、AlF、AlO及びAlを含むがこれらに限定されない無機汚染物質とともに除去するのが好ましい。
この実施形態では、第3工程は、好ましくは、最初に、金属汚染物質及び有機汚染物質を構成要素の石英表面から除去するのに有効な、弱い混合塩基溶液で構成要素を処理することを含む。塩基溶液は、好ましくは、水酸化アンモニウム(NHOH)及び過酸化水素(H)を含む。水酸化アンモニウムは、Ni、Cr、Co、Cu等の重金属と錯イオンを形成する。過酸化水素は、強い酸化剤であり、また、有機結合を破壊し、金属及び金属イオンと反応させるのに有効である。塩基溶液は、例えば、約1:1:2〜8又は1:x:8(x=2〜7)、好ましくは約1:1:2の、NHOH:H(好ましくは30%):HOの体積比を有することができる。好ましくは、構成要素は、約20℃〜約25℃の温度で約20分間〜約30分間、塩基溶液に浸漬される。次に、構成要素はDI水で濯がれて、残存する溶液及び汚染物質が除去され、その後、窒素等で乾燥される。
この実施形態では、第3工程は、次に、Mo、Zn、Ti、Co、Ni、Cr、Fe、Cu等の重金属、好ましくは少なくともCa、Mg、Na、K及びAlを石英表面から除去するのに有効な第1の酸溶液で、構成要素を処理することを含む。第1の酸溶液は、好ましくは塩酸(HCl)を含む。使用することができる代表的な第1の酸溶液は、6重量%のHClの水性溶液である。好ましくは、構成要素は、約20℃〜約25℃の温度で約10分間〜約20分間、第1の酸液に浸漬される。次に、構成要素はDI水で濯がれて、残存する第1の酸溶液及び汚染物質が除去され、その後、窒素等で乾燥される。
この実施形態では、第3工程は、Ca、Mg、Fe、Na、K及びAlをSi、Ti、Cu、Zn、Li、Ni、Cr及びMoとともに石英表面から除去するのに有効な第2の酸溶液で、構成要素を処理することを含むのが好ましい。第2の酸処理は、少なくとも1回、例えば2回、より好ましくは3回実施される。第2の酸溶液は、好ましくは、フッ化水素酸(HF)及び硝酸(HNO)の混合物を含有する。フッ化水素酸は、シリコン及びSiOベースの材料を溶解する。硝酸は、金属イオン、酸化物、及び石英表面からの無機エッチング副生物を溶解する。第2の酸溶液は、好ましくは約1重量%〜約5重量%のフッ化水素酸及び約5重量%〜約20重量%の硝酸、より好ましくは約1重量%のフッ化水素酸及び約10重量%の硝酸、並びに水を含有する。
構成要素は、好ましくは、約20℃〜約25℃の温度で約10分間〜約20分間、第2の酸溶液に浸漬される。第2の酸溶液中にそれぞれ浸漬させた後、構成要素はDI水で濯がれて、残存する第2の酸溶液及び表面の粒子が除去され、その後、窒素等で乾燥される。第2の酸洗浄手順は、少なくとも1回、好ましくは2回繰り返される。
フッ化水素酸は、約2300Å/日又はそれ以上の速度で、シリコンを石英構成要素から積極的に除去することができる。そのため、石英構成要素が第2の酸溶液と接触する時間の総量は、好ましくは最大約30〜約60分間、より好ましくは最大約30分間である。第2の酸処理それぞれについて、石英構成要素が第2の酸溶液と接触するのは約20分以下であるのが好ましい。石英構成要素が約20分よりも長く第2の酸溶液中で維持される場合、第2の酸溶液は、構成要素からのさらなる金属の除去が停止するがシリコンを構成要素から溶解し続ける平衡状態に達する傾向があることが分かっている。その結果、望ましくない高い量のシリコン除去が生じる。第2の酸処理それぞれに対して、約20分以下の第2の酸洗浄を実施することにより、溶液によって構成要素表面から除去されるシリコンの総量が容認可能な程度低いまま、有機汚染物質及び金属汚染物質が石英表面から有効に除去される。
この実施形態では、第4工程は、第3工程の完了後に実施されて、構成要素の洗浄を終了させる。第4工程は、好ましくはクラス100のクリーンルーム内で、より好ましくはクラス10のクリーンルーム内で実施される。これらの等級を有するクリーンルームは、0.028m(1立方フィート)当たり、サイズが0.5ミクロンの粒子を100個まで、及び10個までそれぞれ含有し得る。第4工程は、最初に、構成要素を約10分間〜約20分間タンク内の超純粋なDI水に浸漬させることを含むのが好ましい。次に、構成要素は、好ましくは超純水中で約40分間〜約80分間超音波処理を施されるのが好ましい。次に、構成要素は、約10〜約20分間超純粋なDI水に完全に浸漬されるのが好ましい。構成要素は、次に、約110℃〜130℃の温度で、構成要素を乾燥させるのに十分な時間加熱することにより、乾燥されるのが好ましい。構成要素のサイズによって乾燥時間は変化し得る。例えば、乾燥時間は、大きな構成要素、例えば大きな誘電体窓、ガス分配プレート又はバッフルの場合、通常約2時間であり、また、フォーカスリング、エッジリング等のより小さな構成要素の場合、約1時間である。乾燥後、構成要素は、クラス100の包装袋で二重に包装されるのが好ましい。
プラズマ処理装置の構成要素の石英表面を洗浄する方法は、好ましくは、洗浄された状態の石英表面上において次の金属汚染物質の量(×1010原子/cm)を達成することができる。Al≦300、Ca≦95、Cr≦50、Cu≦50、Fe≦65、Li≦50、Mg≦50、Ni≦50、K≦100、Na≦100、Ti≦60、Zn≦50、Co≦30、及びMo≦30。これらの金属は半導体装置の望ましくない汚染物質である。表面の金属レベルは、誘導結合プラズマ/質量分析計(ICP−MS)を使用して決定することができる。石英表面を洗浄してそのような低い金属汚染レベルを達成することによって、これらの汚染物質粒子の生成によって引き起こされる粒子の問題を回避できることが分かっている。好ましくは、洗浄方法は、構成要素の石英表面の表面仕上げに不利益な影響を及ぼさない。
上述したように、洗浄したままの構成要素は、様々なプラズマ処理装置に導入することができる。例えば、図1は、好ましい一実施形態の石英バッフル50が載置されるレジスト剥離チャンバ10の一実施形態を示す。レジスト剥離チャンバ10は、側壁12、底壁14及びカバー16を含む。壁12,14及びカバー16は、陽極処理アルミニウム等の任意の適切な材料であることができる。カバー16は、洗浄又は他の目的のために石英バッフル50を除去するため、開くことができる。レジスト剥離チャンバ10は、底壁14に真空ポート18を含む。
レジスト剥離チャンバ10は、レジスト剥離の間にシリコンウエハ等半導体基板22が載置される基板支持体20をさらに含む。基板22は、初期のエッチング工程の間、基板22の下層を保護するためのマスキング層を提供するレジストを含む。下層は、導電体、絶縁体、及び/又は半導体材料であることができる。基板支持体20は、好ましくは、基板22をクランプするように構成された静電チャックを備える。基板支持体20は、レジスト剥離プロセスの間、好ましくは約200℃〜約300℃、より好ましくは約250℃〜約300℃の適切な温度で基板22を維持するように適合されたヒーターをさらに含むことが好ましい。基板22は、側壁12に設けられた基板入口ポート26を介して、レジスト剥離チャンバ10内に導入され、またそこから除去されることができる。例えば、基板22は、レジスト剥離チャンバに近接して配置されたエッチングチャンバから、レジスト剥離チャンバ10内部に、真空下で移送することができる。
この実施形態では、遠隔プラズマ源30は、レジスト剥離チャンバ10と流体連通している。プラズマ源30は、プラズマを生成し、かつレジスト剥離チャンバ10に接続された通路32を介してレジスト剥離チャンバ10内部に反応種を供給するように動作可能である。反応種は、基板支持体20上に支持された基板22からレジストを除去する。図示された実施形態のプラズマ源30は、遠隔エネルギー源34及び剥離ガス源36を含む。エネルギー源34は、好ましくはマイクロ波発生装置である。好ましい一実施形態では、マイクロ波発生装置は、2.45GHzの周波数で動作し、好ましくは約500〜約1500W、より好ましくは約1000〜約1500Wの電力を有する。矢印38で表わされるマイクロ波は、マイクロ波発生装置34によって生成され、導波路40を介して通路32内に伝搬される。
ガス源36は、矢印42で表される酸素等のプロセスガスを、ガスがその中でマイクロ波38によってプラズマ状態に励起される通路32に供給するように構成される。反応種は開口部44を通り抜けて、レジスト剥離チャンバ10の内部に達する。
反応種は、カバー16と基板支持体20の間に配置された石英バッフル50によって、レジスト剥離チャンバ10内で分配され、その後、反応種が基板22上に流れ、レジストを剥離する。基板22は、レジスト剥離の間加熱されるのが好ましい。レジスト剥離中に生成された廃棄物は、排出ポート18を介してレジスト剥離チャンバ10の外にポンプ送出される。
石英バッフル50は、石英のディスク状物体であるのが好ましい。レジスト剥離チャンバ10は、単一ウエハ処理の場合、円筒状であるのが好ましい。円筒状のレジスト剥離チャンバ10に導入されるように構成されると、石英バッフル50は、レジスト剥離チャンバ10内部の幅、例えば直径よりも僅かに小さい直径を有する。バッフル50は、好ましくは、底壁14から突出する3つ以上の支持体51(2つが図示される)で支持される。石英バッフル50は、上側表面54及び貫通路56を備えた、隆起した中央部分52を有する内側部分を含む。石英バッフル50の図示された実施形態では、中央部分52は、6つの円周に沿って離間した貫通路56を含む。貫通路56の数は、他の実施形態では6つよりも多くても少なくてもよい。この実施形態では、石英バッフル50の中央部分52は不透明である。貫通路56は、好ましくは、上側表面54に対して鋭角で配向されるので、UV放射が石英バッフル50を通り抜け、かつ基板22を損傷する直接の見通し線はない。
石英バッフル50は、さらに、中央部分52と周縁部分60の間に配置された貫通路58を含む。貫通路58は、反応種を所望のフローパターンでレジスト剥離チャンバ10内部の中に分配するように構成される。貫通路58は、好ましくは同心列の穴で配置される。貫通路58は、好ましくは円形断面を有し、また、石英バッフル50の半径方向外向きに、中央部分52から周縁部分60に向かって断面サイズ(例えば、直径)が増加するのが好ましい。
ライナー70は、レジスト剥離プロセスの間、カバー16の底面上への材料の堆積を最小限に抑えるため、石英バッフル50の上側表面72上で支持されるように構成される。リング63は上側表面72上に設けられる。円周に沿って離間したスペーサー65がリング63上に設けられて、ライナー70を支持し、かつそれらの間にプレナム74を形成する(図1)。リング63は、例えば陽極処理アルミニウムであり得る。スペーサー65は、任意の適切な材料であることができ、好ましくは「テフロン(登録商標)」である。ライナー70は、反応種がそこを通って通路32からプレナム74に抜ける、中心に配置された通路44を含む。ライナー70は、陽極処理アルミニウム等の任意の適切な材料であり得る。
図2は、基板22の代表的な一実施形態を示す。基板22は、通常シリコンのベース基板101と、基板101上に形成されたSiO等の酸化物層103と、酸化物層103及び上にある金属層107の間に形成された、例えばTi、TiN、TiW等の一つ又は複数のバリヤ層105とを含む。金属層107は、例えば、タングステン、アルミニウム、又は、Al−Cu、Al−Si若しくはAl−Cu−Si等のアルミニウム合金を含むことができる。金属エッチングスタックにはハードマスク開口部がある。ハードマスクは、SiON等の任意の適切な材料であることができ、それは、CHF又はCFを含むガス混合物を使用してエッチングすることができる。基板22は、TiN又はTiW等の任意の適切な材料の反射防止膜(ARC)層109を含むことができる。パターニングされたレジスト層111(例えば、有機フォトレジスト)は、ARC層109の上に形成される。処理副生物119は壁上にあるのが示される。
遠隔プラズマを形成するのに使用されるプロセスガスは、酸素を含み、それはプラズマ状態に励起されて酸素ラジカル及びイオン種を生成し、それらは、レジスト剥離チャンバ10内部に流入され、レジスト層111と反応(すなわち、酸化又は「灰化」)する。レジストが剥離プロセスによって基板22から除去される速度は、「剥離速度」と呼ばれる。
レジスト剥離プロセスガスは、O/N、O/HO、O/N/CF又はO/N/HOのガス混合物等、任意の適切な組成を有することができる。ガス混合物は、O、N、及び、CF又はC等のフッ素含有成分を含むのが好ましい。Nは、バリヤ及び/又は下にある材料等の第2の材料と比べて、レジスト材料に関する選択性を向上させるために、ガス混合物に加えることができる。代表的なガス混合物は、例えば、ガスの総体積の約40%〜約99%、好ましくは約60%〜約95%、より好ましくは約70%〜約90%のOと、約0.5%〜約30%、好ましくは約2.5%〜約20%、より好ましくは約5%〜約15%のフッ素含有ガスと、約0.5%〜約30%、好ましくは約2.5%〜約20%、より好ましくは約5〜15%のNとを含有することができる。剥離の間、ウエハサイズ(200mm又は300mm)を含む要因に応じて、プロセスガスの総流量速度は、好ましくは約500〜約6000sccm、より好ましくは約2000〜約5000sccmであり、また、レジスト剥離チャンバ10内の圧力は、好ましくは約200mTorr〜約10Torrである。
図3は、本明細書に記載の方法の好ましい一実施形態で洗浄できる、一つ又は複数の石英表面を有することができる、代表的な構成要素を含むプラズマ処理チャンバ100を示す。プラズマ処理チャンバ100は、基板116にクランプ力を供給するように動作可能な静電チャック120を備えた基板ホルダ118を含む。フォーカスリング122は、基板116の上でプラズマを閉じ込める。例えば、フォーカスリング122は一つ又は複数の石英表面を含むことができる。RF源112によって電力が供給されるアンテナ114等の、チャンバ内でプラズマを維持するためのエネルギー源は、誘電体窓110の上に配置される。誘電体窓110は、プラズマ処理チャンバの頂壁を形成し、一つ又は複数の石英表面を含むことができる。プラズマ処理チャンバ100は、プラズマ処理の間所望の真空圧を維持するための真空ポンプ装置を含む。
ガス分配プレート124は、誘電体窓110の下に設けられ、そこを通ってガス供給源106からプラズマ処理チャンバ110内部にプロセスガスが送達されるガス通路を含む。任意のライナー126は、ガス分配プレート124から下向きに延び、基板ホルダ118を取り囲む。ライナー126はもう一つの石英表面を含むことができる。
作動中、シリコンウエハ等の基板116は、基板ホルダ118上に位置し、静電チャック120によって静電気的にクランプされる。プロセスガスは、誘電体窓110とガス分配プレート124の間の隙間にプロセスガスを通過させることによって、真空処理チャンバ100に供給される。プロセスガスは、エネルギー源112,114によって励起されて、プラズマ処理チャンバ100内部でプラズマを生成する。
構成要素の石英表面を洗浄する方法は、200及び300mmのウエハから、シリコン、例えば金属及びポリシリコン等の導体、及び、誘電材料をエッチングするように構成された、様々なプラズマエッチングリアクタで使用される、石英構成要素を洗浄するのに使用することができる。代表的なプラズマエッチングリアクタとしては、ラム リサーチ コーポレイション(カリフォルニア州フリーモント)から入手可能な、2300「EXELAN」及び「EXELAN」HPT誘電体エッチングシステム、2300「VERSYS」導体エッチングシステム、2300「VERSYS STAR」シリコンエッチングシステム、及び、「TCP」9600DFM導体エッチングシステムが挙げられる。
[実施例]
プラズマ処理装置内のプラズマ環境にさらされていた石英で作られた構成要素を、上述した洗浄方法の一実施形態によって洗浄した。具体的には、構成要素に、以下の手順を含む向上したウェット洗浄を施した。構成要素を、DI水を使用して約5分間濯ぎ、次に送風乾燥させた。次に、構成要素を、周囲温度で約20分間イソプロピルアルコール中に浸漬させ、その後、目に見える残存物が石英表面から拭き取り布に移らなくなるまで、非汚染拭き取り布で拭いた。次に、構成要素を、DI水を使用して約10分間濯ぎ、その後構成要素を乾燥させた。次に、構成要素を、周囲温度で約20分間アセトン中に浸漬させ、次に、目に見える残存物が石英表面から拭き取り布に移らなくなるまで、非汚染拭き取り布で拭いた。次に、構成要素を、DI水を使用して約10分間濯ぎ、その後乾燥させた。次に、構成要素を、超純水中で約30分間超音波洗浄し、その後、濾過した窒素で乾燥させた。
次に、構成要素を、周囲温度で約30分間、1:1:2の体積比を有する水酸化アンモニウム−過酸化水素−水の溶液中に浸漬させた。次に、構成要素をDI水で約10分間濯ぎ、窒素で送風乾燥させた。
次に、構成要素を、周囲温度で約10分間、6重量%のHClの水性溶液中に浸漬させた。次に、構成要素をDI水で濯ぎ、窒素で送風乾燥させた。
次に、構成要素を、周囲温度で約10分間、約1重量%のフッ化水素酸と約10重量%の硝酸とを含有する混合酸溶液中に約10分間浸漬させた。構成要素をDI水で約10分間濯ぎ、窒素で送風乾燥させた。この手順を2回繰り返したので、構成要素は合計約30分間混合酸溶液に浸漬された。
次に、構成要素に、クラス100のクリーンルーム内で最終洗浄を施した。構成要素を、約10分間タンク内の超純粋なDI水中に完全に浸漬させた。次に、構成要素を約60分間超純水中で超音波洗浄した。次に、構成要素を、約10分間タンク内の超純粋なDI水中に完全に浸漬させた。次に、構成要素を、約120℃の温度で約1時間加熱することによって乾燥させた。最後に、構成要素を、クラス100の包装袋で二重包装した。
構成要素の石英表面上の、様々な金属の洗浄前及び洗浄後の表面汚染レベルを、ICP−MSを使用して測定した。結果を以下の表に示す。実施例1では、次の金属汚染物質の量(単位:×1010原子/cm)が、ウェット洗浄プロセスによって石英表面上で得られた(それぞれの元素の好ましい最大レベルを、かっこ内に示す)。Al:300(≦300)、Ca:19(≦95)、Cr:<5(≦50)、Cu:<2(≦50)、Fe:17(≦65)、Li:<3(≦50)、Mg:<10(≦50)、Ni:3.5(≦50)、K:<10(≦100)、Na:<10(≦100)、Ti:11(≦60)、Zn:<3(≦50)、Co:<1(≦30)及びMo:<0.3(≦30)。実施例2では、次の金属汚染物質の量がウェット洗浄プロセスによって石英表面上で得られた。Al:280(≦300)、Ca:41(≦95)、Cr:<5(≦50)、Cu:<2(≦50)、Fe:31(≦65)、Li:15(≦50)、Mg:37(≦50)、Ni:<2(≦50)、K:12(≦100)、Na:26(≦100)、Ti:15(≦60)、Zn:25(≦50)、Co:<1(≦30)及びMo:<0.3(≦30)。実施例3では、次の金属汚染物質の量がウェット洗浄プロセスによって石英表面上で得られた。Al:280(≦300)、Ca:43(≦95)、Cr:<5(≦50)、Cu:<2(≦50)、Fe:16(≦65)、Li:22(≦50)、Mg:21(≦50)、Ni:<2(≦50)、K:19(≦100)、Na:56(≦100)、Ti:<5(≦60)、Zn:3.1(≦50)、Co:<1(≦30)及びMo:<0.3(≦30)。したがって、試験結果は、プラズマ処理装置用の構成要素の石英表面を洗浄するためにウェット洗浄方法を使用して、半導体装置において不利益な金属汚染物質を含む、金属汚染物質の量を低くできることを実証している。
Figure 2008506530
好ましい実施形態を参照して本発明を記載してきた。しかし、本発明の趣旨から逸脱することなく、上述した以外の特定の形態で本発明を実現できることは、当業者にとって容易に明らかであろう。好ましい実施形態は説明目的のものであり、いかなる形でも限定するものと見なされるべきでない。本発明の範囲は、上述の記載ではなく添付の特許請求の範囲によって与えられ、特許請求の範囲内にあるすべての変形例及び等価物は、その範囲内に包含されるものとする。
石英バッフルを含むレジスト剥離チャンバの例示的な一実施形態の図である。 図1に示されるレジスト剥離チャンバ内で処理することができるレジストを含む基板の一実施形態の図である。 一つ又は複数の石英表面を含む構成要素を含むプラズマ処理チャンバの図である。

Claims (25)

  1. 半導体基板がその中で処理されるプラズマ処理チャンバ用の構成要素の少なくとも一つの石英表面をウェット洗浄する方法であって、
    a)前記構成要素の前記少なくとも一つの石英表面を、前記石英表面から有機汚染物質を脱脂し除去するのに有効な少なくとも一つの有機溶媒と接触させる工程と、
    b)工程a)の後、前記石英表面を、前記石英表面から有機汚染物質及び金属汚染物質を除去するのに有効な弱塩基溶液と接触させる工程と、
    c)工程b)の後、前記石英表面を、前記石英表面から金属汚染物質を除去するのに有効な第1の酸溶液と接触させる工程と、
    d)工程c)の後、前記石英表面をフッ化水素酸及び硝酸を含む第2の酸溶液と接触させて、前記石英表面から金属汚染物質を除去する工程と、
    e)任意に、工程d)を少なくとも1回繰り返す工程と、
    を含むことを特徴とする方法。
  2. 工程a)が、
    拭き取り(wiping)又は浸漬によって前記石英表面をイソプロピルアルコールと接触させることと、
    次に前記石英表面を濯ぐことと、
    次に拭き取り(wiping)又は浸漬によって前記石英表面をアセトンと接触させることと、
    次に前記構成要素を脱イオン水中で超音波洗浄することと、
    を含むことを特徴とする請求項1に記載の方法。
  3. 前記塩基溶液が、水酸化アンモニウム、過酸化水素及び水をそれぞれ約1:1:2〜8又は1:2〜7:8の体積比で含むことを特徴とする請求項1に記載の方法。
  4. 前記第1の酸溶液が塩酸を含むことを特徴とする請求項1に記載の方法。
  5. 前記第2の酸溶液が、約1重量%〜約5重量%のフッ化水素酸及び約5重量%〜約20重量%の硝酸、又は、約1重量%のフッ化水素酸及び約10重量%の硝酸を含み、
    工程d)が、前記構成要素を前記第2の酸溶液中に約10分〜約20分間浸漬させることを含み、
    工程e)が、工程d)を2回繰り返して、前記構成要素が前記第2の酸溶液中に合計で約30〜約60分間浸漬されるようにすることを含む、
    ことを特徴とする請求項1に記載の方法。
  6. 工程e)の後に、
    前記構成要素を超純水で濯ぐ工程と、
    次に前記構成要素を超純水で超音波洗浄する工程と、
    次に構成要素を超純水で濯ぐ工程と、
    次に前記構成要素を高温で乾燥させる工程と、
    次に前記構成要素をパッケージングする工程と、
    をさらに含むことを特徴とする請求項1に記載の方法。
  7. 工程a)の前に、
    前記構成要素に高圧の脱イオン水を噴霧し、かつ、前記構成要素を乾燥させることによって、前記構成要素を前洗浄する工程をさらに含むことを特徴とする請求項1に記載の方法。
  8. 洗浄されたままの前記石英表面上で次の元素の量(単位:×1010原子/cm)が、Al≦300、Ca≦95、Cr≦50、Cu≦50、Fe≦65、Li≦50、Mg≦50、Ni≦50、K≦100、Na≦100、Ti≦60、Zn≦50、Co≦30及びMo≦30であることを特徴とする請求項1に記載の方法。
  9. 前記構成要素が、誘電体窓、ガス噴射器、ビューポート、プラズマ閉じ込めリング、フォーカスリング、エッジリング、ガス分配プレート及びバッフルからなる群から選択されることを特徴とする請求項1に記載の方法。
  10. 請求項1に記載の方法によってウェット洗浄された少なくとも一つの石英表面を備えることを特徴とする構成要素。
  11. 半導体基板がその中で処理されるプラズマ処理チャンバ用の構成要素の少なくとも一つの石英表面をウェット洗浄する方法であって、
    a)前記構成要素の前記少なくとも一つの石英表面をイソプロピルアルコールと、次にアセトンと接触させて、前記石英表面を脱脂し前記石英表面から有機汚染物質を除去する工程と、
    b)工程a)の後、前記石英表面を水酸化アンモニウム及び過酸化水素を含む溶液と接触させて、前記石英表面から有機汚染物質及び金属汚染物質を除去する工程と、
    c)工程b)の後、前記石英表面を塩酸を含む第1の酸溶液と接触させて、前記石英表面から金属汚染物質を除去する工程と、
    d)工程c)の後、前記石英表面をフッ化水素酸及び硝酸を含む第2の酸混合溶液と接触させて、前記石英表面から金属汚染物質を除去する工程と、
    e)任意に、工程d)を少なくとも1回繰り返す工程と、
    を含むことを特徴とする方法。
  12. 前記第2の酸溶液が、約1重量%〜約5重量%のフッ化水素酸及び約5重量%〜約20重量%の硝酸、又は、約1重量%のフッ化水素酸及び約10重量%の硝酸を含み、
    工程d)が、前記構成要素を前記第2の酸溶液中に約10分間〜約20分間浸漬させることを含み、
    工程e)が、工程d)を2回繰り返す工程を含み、前記構成要素が前記第2の酸溶液中に、3回の浸漬で合計約30〜約60分間浸漬されることを特徴とする請求項11に記載の方法。
  13. 工程e)の後に、
    前記構成要素を超純水で濯ぐ工程と、
    次に前記構成要素を超純水で超音波洗浄する工程と、
    次に構成要素を超純水で濯ぐ工程と、
    次に前記構成要素を高温で乾燥させる工程と、
    次に前記構成要素をパッケージングする工程と、
    をさらに含むことを特徴とする請求項11に記載の方法。
  14. 工程a)の前に、
    前記構成要素に高圧の脱イオン水を噴霧し、かつ、前記構成要素を乾燥させることによって、前記構成要素を前洗浄する工程をさらに含むことを特徴とする請求項11に記載の方法。
  15. 前記構成要素が、誘電体窓、ガス噴射器、ビューポート、プラズマ閉じ込めリング、フォーカスリング、エッジリング、ガス分配プレート及びバッフルからなる群から選択されることを特徴とする請求項11に記載の方法。
  16. 洗浄されたままの前記石英表面上で次の元素の量(単位:×1010原子/cm)が、Al≦300、Ca≦95、Cr≦50、Cu≦50、Fe≦65、Li≦50、Mg≦50、Ni≦50、K≦100、Na≦100、Ti≦60、Zn≦50、Co≦30及びMo≦30であることを特徴とする請求項11に記載の方法。
  17. 請求項11に記載の方法によってウェット洗浄された少なくとも一つの石英表面を備えることを特徴とする構成要素。
  18. 半導体基板がその中で処理されるプラズマ処理チャンバ用の構成要素であって、Al、Ca、Cr、Cu、Fe、Li、Mg、Ni、K、Na、Ti、Zn、Co及びMoの量(×1010原子/cm)が、Al≦300、Ca≦95、Cr≦50、Cu≦50、Fe≦65、Li≦50、Mg≦50、Ni≦50、K≦100、Na≦100、Ti≦60、Zn≦50、Co≦30及びMo≦30である、少なくとも一つの石英表面を備えることを特徴とする構成要素。
  19. 前記構成要素がレジスト剥離チャンバ用のバッフルであって、前記バッフルが内側部分及び周縁部分を含み、前記内側部分が不透明な中央突出部と前記中央突出部を取り囲む複数の同心列のガス通路とを含み、前記中央突出部が、上側表面と、前記周縁部分に向かって半径方向外向きに延びるように、前記上側表面に対して鋭角で配向された複数の貫通路とを含むことを特徴とする請求項18に記載の構成要素。
  20. 前記レジスト剥離チャンバのカバーに隣接して前記バッフルの上側表面上で複数のライナー支持体によって支持されるように構成されたライナーをさらに備えて、前記バッフルが前記レジスト剥離チャンバ内に配置されたとき、プレナムが前記ライナーの底面と前記バッフルの上側表面との間で画定され、前記プレナムは、前記遠隔プラズマ源及び前記レジスト剥離チャンバと流体連通していることを特徴とする請求項19に記載の構成要素。
  21. 前記構成要素が、誘電体窓、ガス噴射器、ガス噴射リング、ビューポート、プラズマ閉じ込めリング、フォーカスリング、エッジリング、ガス分配プレート及びバッフルからなる群から選択されることを特徴とする請求項18に記載の構成要素。
  22. レジスト剥離チャンバと、
    プラズマを生成し、反応種を前記レジスト剥離チャンバに導入するように動作可能な遠隔プラズマ源と、
    前記レジスト剥離チャンバ内に配置された、請求項19に記載のバッフルと、を備えることを特徴とするレジスト剥離装置。
  23. 請求項1に記載の方法で洗浄された少なくとも一つの石英表面を含む少なくとも一つの構成要素を備えるプラズマ処理チャンバであって、前記石英表面が、前記プラズマ処理チャンバ内でプラズマ及び/又はプロセスガスにさらされることを特徴とするプラズマ処理チャンバ。
  24. 前記構成要素が、誘電体窓、ガス噴射器、ビューポート、プラズマ閉じ込めリング、フォーカスリング、エッジリング、ガス分配プレート及びバッフルからなる群から選択されることを特徴とする請求項23に記載のプラズマ処理装置。
  25. プラズマ処理チャンバ内で半導体基板を処理する方法であって、
    少なくとも一つの石英表面を有する少なくとも一つの構成要素を請求項1に記載の方法によって洗浄する工程と、
    前記少なくとも一つの洗浄されたままの構成要素を、前記構成要素がプラズマ及び/又はプロセスガスにさらされるように、半導体基板を収容する前記プラズマ処理チャンバ内に設置する工程と、
    前記プラズマ処理チャンバから離れて、又は、その中でプロセスガスをプラズマ状態に励起し、かつ、前記半導体基板を処理する工程と、
    を含むことを特徴とする方法。
JP2007527594A 2004-06-09 2005-06-03 プラズマ処理チャンバ用の構成要素の石英表面をウェット洗浄する方法 Active JP4648392B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/863,360 US20050274396A1 (en) 2004-06-09 2004-06-09 Methods for wet cleaning quartz surfaces of components for plasma processing chambers
PCT/US2005/019466 WO2005123282A2 (en) 2004-06-09 2005-06-03 Methods for wet cleaning quartz surfaces of components for plasma processing chambers

Publications (2)

Publication Number Publication Date
JP2008506530A true JP2008506530A (ja) 2008-03-06
JP4648392B2 JP4648392B2 (ja) 2011-03-09

Family

ID=35459232

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007527594A Active JP4648392B2 (ja) 2004-06-09 2005-06-03 プラズマ処理チャンバ用の構成要素の石英表面をウェット洗浄する方法

Country Status (8)

Country Link
US (2) US20050274396A1 (ja)
EP (1) EP1753549A4 (ja)
JP (1) JP4648392B2 (ja)
KR (1) KR20070033419A (ja)
CN (1) CN101194046B (ja)
IL (1) IL179875A0 (ja)
TW (1) TWI364327B (ja)
WO (1) WO2005123282A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009206164A (ja) * 2008-02-26 2009-09-10 Hitachi High-Technologies Corp 真空処理装置の調整方法および真空処理装置の内壁部材
JP2009289960A (ja) * 2008-05-29 2009-12-10 Tokyo Electron Ltd 石英部材の洗浄方法及び洗浄システム
JP2013514173A (ja) * 2009-12-18 2013-04-25 ラム リサーチ コーポレーション プラズマチャンバで使用される上方電極から表面金属汚染を洗浄するための方法
JP2021522505A (ja) * 2018-05-04 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバのためのナノ粒子測定
KR20210125141A (ko) * 2020-04-07 2021-10-18 세메스 주식회사 쿼츠 부품 재생 방법 및 쿼츠 부품 재생 장치

Families Citing this family (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
JP5081450B2 (ja) * 2005-07-05 2012-11-28 三菱レイヨン株式会社 触媒の製造方法
US7541094B1 (en) * 2006-03-03 2009-06-02 Quantum Global Technologies, Llc Firepolished quartz parts for use in semiconductor processing
US7638004B1 (en) * 2006-05-31 2009-12-29 Lam Research Corporation Method for cleaning microwave applicator tube
DE102006035797B3 (de) * 2006-07-28 2007-08-16 Heraeus Quarzglas Gmbh & Co. Kg Verfahren zum Reinigen von Quarzglasoberflächen
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
US8221552B2 (en) * 2007-03-30 2012-07-17 Lam Research Corporation Cleaning of bonded silicon electrodes
US8500913B2 (en) * 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
KR20100007461A (ko) * 2008-07-14 2010-01-22 삼성전자주식회사 석영 부품용 세정액 및 이를 이용한 석영 부품 세정방법
US20100108263A1 (en) * 2008-10-30 2010-05-06 Applied Materials, Inc. Extended chamber liner for improved mean time between cleanings of process chambers
KR101296659B1 (ko) * 2008-11-14 2013-08-14 엘지디스플레이 주식회사 세정 장치
TW201033123A (en) * 2009-03-13 2010-09-16 Radiant Technology Co Ltd Method for manufacturing a silicon material with high purity
US9481937B2 (en) * 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9396912B2 (en) * 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
CN102513314B (zh) * 2011-12-29 2014-12-31 中微半导体设备(上海)有限公司 具有氧化钇包覆层的工件的污染物的处理方法
CN102513313B (zh) * 2011-12-29 2014-10-15 中微半导体设备(上海)有限公司 具有碳化硅包覆层的喷淋头的污染物处理方法
US8518765B1 (en) * 2012-06-05 2013-08-27 Intermolecular, Inc. Aqua regia and hydrogen peroxide HCl combination to remove Ni and NiPt residues
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN103628079A (zh) * 2012-08-24 2014-03-12 宁波江丰电子材料有限公司 钽聚焦环的清洗方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI826650B (zh) 2012-11-26 2023-12-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
WO2014158320A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Wet cleaning of chamber component
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
CN104752260B (zh) * 2013-12-31 2018-05-08 北京北方华创微电子装备有限公司 一种隔离窗固定结构以及腔室
WO2015134197A1 (en) 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma abatement of compounds containing heavy atoms
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
WO2016007874A1 (en) 2014-07-11 2016-01-14 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN104338711B (zh) * 2014-10-21 2016-08-17 北京市石景山区率动环境科学研究中心 一种利用亲和吸附清除紫外发生器表面螯合物结垢的方法及其装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102189211B1 (ko) 2015-10-04 2020-12-09 어플라이드 머티어리얼스, 인코포레이티드 작은 열 질량의 가압 챔버
CN108140603B (zh) 2015-10-04 2023-02-28 应用材料公司 基板支撑件和挡板设备
CN108140549B (zh) 2015-10-04 2022-12-20 应用材料公司 缩减空间的处理腔室
KR102054605B1 (ko) 2015-10-04 2019-12-10 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피처들을 위한 건조 프로세스
CN105390363A (zh) * 2015-10-29 2016-03-09 上海华力微电子有限公司 一种高密度等离子体机台的管路装置
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017209900A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107630221B (zh) * 2016-07-18 2019-06-28 宁波江丰电子材料股份有限公司 钛聚焦环的清洗方法
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10934620B2 (en) * 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
CN107159667A (zh) * 2017-06-10 2017-09-15 王文友 用于制作镜面衬底的玻璃清洗方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102492733B1 (ko) 2017-09-29 2023-01-27 삼성디스플레이 주식회사 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR20190089706A (ko) * 2018-01-23 2019-07-31 피에스테크놀러지(주) NOx 저감을 위한 금속 세정 방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN108594588A (zh) * 2018-04-21 2018-09-28 芜湖威灵数码科技有限公司 一种带有清洗结构的全息投影展示设备
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20190341276A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Integrated semiconductor part cleaning system
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN112714948A (zh) * 2018-09-26 2021-04-27 应用材料公司 气体分配组件及其操作
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR20210090279A (ko) 2018-12-07 2021-07-19 어플라이드 머티어리얼스, 인코포레이티드 컴포넌트, 컴포넌트를 제조하는 방법, 및 컴포넌트를 세정하는 방법
CN111383888B (zh) * 2018-12-27 2022-03-11 江苏鲁汶仪器有限公司 等离子体刻蚀机
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109731818B (zh) * 2019-03-04 2022-08-16 青岛自远机械有限公司 一种用于离子风机的智能清洗装置
US11152194B2 (en) 2019-05-14 2021-10-19 Tokyo Electron Limited Plasma processing apparatuses having a dielectric injector
US11393662B2 (en) 2019-05-14 2022-07-19 Tokyo Electron Limited Apparatuses and methods for plasma processing
CN111420924A (zh) * 2020-04-08 2020-07-17 四川富乐德科技发展有限公司 一种电子信息行业石英材质部件表面附着物的处理方法
US11986869B2 (en) * 2022-06-06 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning, support, and cleaning apparatus
CN115254766B (zh) * 2022-06-16 2024-01-19 上海富乐德智能科技发展有限公司 一种半导体设备氧化铝陶瓷喷射器的洗净再生方法
CN117019761B (zh) * 2023-10-10 2024-01-23 常州捷佳创精密机械有限公司 超声波/兆声波清洗槽
CN118290040B (zh) * 2024-06-04 2024-08-13 合肥赛默科思半导体材料有限公司 一种石英腔体镀金装置及其镀金方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0864573A (ja) * 1994-06-03 1996-03-08 Applied Materials Inc プラズマリアクタ内の静電チャックの洗浄
WO2002015255A1 (en) * 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
US20030190870A1 (en) * 2002-04-03 2003-10-09 Applied Materials, Inc. Cleaning ceramic surfaces
JP2003340383A (ja) * 2002-05-27 2003-12-02 Shibaura Mechatronics Corp 処理液の供給装置、供給方法及び基板処理装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259888A (en) * 1992-02-03 1993-11-09 Sachem, Inc. Process for cleaning quartz and silicon surfaces
US6083451A (en) * 1995-04-18 2000-07-04 Applied Materials, Inc. Method of producing a polycrystalline alumina ceramic which is resistant to a fluorine-comprising plasma
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US6114254A (en) * 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
JPH10167859A (ja) * 1996-12-05 1998-06-23 Ngk Insulators Ltd セラミックス部品およびその製造方法
US6284721B1 (en) * 1997-01-21 2001-09-04 Ki Won Lee Cleaning and etching compositions
WO2000015359A1 (en) * 1998-09-11 2000-03-23 Forward Technology Industries, Inc. Apparatus and method for precision cleaning and drying systems
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6302957B1 (en) * 1999-10-05 2001-10-16 Sumitomo Metal Industries, Ltd. Quartz crucible reproducing method
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
JP2003526936A (ja) * 2000-03-13 2003-09-09 シーエフエムテイ・インコーポレーテツド 電子部品処理用の方法及び装置
AU2001288629A1 (en) * 2000-08-31 2002-03-13 Chemtrace, Inc. Cleaning of semiconductor process equipment chamber parts using organic solvents
US6559474B1 (en) * 2000-09-18 2003-05-06 Cornell Research Foundation, Inc, Method for topographical patterning of materials
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
US6809949B2 (en) * 2002-05-06 2004-10-26 Symetrix Corporation Ferroelectric memory
US20040000327A1 (en) * 2002-06-26 2004-01-01 Fabio Somboli Apparatus and method for washing quartz parts, particularly for process equipment used in semiconductor industries
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
CN1308488C (zh) * 2003-06-28 2007-04-04 东风汽车公司 用于金属表面脱脂的水溶性化合物
TWI343180B (en) 2005-07-01 2011-06-01 Ind Tech Res Inst The acoustic wave sensing-device integrated with micro channels

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0864573A (ja) * 1994-06-03 1996-03-08 Applied Materials Inc プラズマリアクタ内の静電チャックの洗浄
WO2002015255A1 (en) * 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
US20030190870A1 (en) * 2002-04-03 2003-10-09 Applied Materials, Inc. Cleaning ceramic surfaces
JP2003340383A (ja) * 2002-05-27 2003-12-02 Shibaura Mechatronics Corp 処理液の供給装置、供給方法及び基板処理装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009206164A (ja) * 2008-02-26 2009-09-10 Hitachi High-Technologies Corp 真空処理装置の調整方法および真空処理装置の内壁部材
JP2009289960A (ja) * 2008-05-29 2009-12-10 Tokyo Electron Ltd 石英部材の洗浄方法及び洗浄システム
JP2013514173A (ja) * 2009-12-18 2013-04-25 ラム リサーチ コーポレーション プラズマチャンバで使用される上方電極から表面金属汚染を洗浄するための方法
KR101820976B1 (ko) * 2009-12-18 2018-01-22 램 리써치 코포레이션 플라즈마 챔버에서 사용되는 상부 전극으로부터 표면 금속 오염을 세정하는방법
JP2021522505A (ja) * 2018-05-04 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバのためのナノ粒子測定
JP7228600B2 (ja) 2018-05-04 2023-02-24 アプライド マテリアルズ インコーポレイテッド 処理チャンバのためのナノ粒子測定
KR20210125141A (ko) * 2020-04-07 2021-10-18 세메스 주식회사 쿼츠 부품 재생 방법 및 쿼츠 부품 재생 장치
KR102520603B1 (ko) 2020-04-07 2023-04-13 세메스 주식회사 쿼츠 부품 재생 방법 및 쿼츠 부품 재생 장치

Also Published As

Publication number Publication date
TW200610592A (en) 2006-04-01
EP1753549A2 (en) 2007-02-21
IL179875A0 (en) 2007-05-15
KR20070033419A (ko) 2007-03-26
US20050274396A1 (en) 2005-12-15
WO2005123282A2 (en) 2005-12-29
US20110146909A1 (en) 2011-06-23
CN101194046B (zh) 2011-04-13
EP1753549A4 (en) 2009-09-16
JP4648392B2 (ja) 2011-03-09
TWI364327B (en) 2012-05-21
CN101194046A (zh) 2008-06-04
WO2005123282A3 (en) 2008-02-21

Similar Documents

Publication Publication Date Title
JP4648392B2 (ja) プラズマ処理チャンバ用の構成要素の石英表面をウェット洗浄する方法
US7811409B2 (en) Bare aluminum baffles for resist stripping chambers
JP6737899B2 (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
TW540114B (en) Substrate cleaning apparatus and method
TWI575594B (zh) 清洗鋁電漿室部件之方法
CN101214485B (zh) 一种多晶硅刻蚀腔室中阳极氧化零件表面的清洗方法
TWI693651B (zh) 用以清洗電漿處理室元件的溼式清洗程序
WO2001008209A1 (en) Multiple stage cleaning for plasma etching chambers
CN101224458A (zh) 一种多晶硅刻蚀腔室中陶瓷材料零件表面的清洗方法
JPH09186143A (ja) プラズマチャンバ表面から副生成物をクリーニングするための方法及び装置
TWI523703B (zh) 由電漿腔室中所使用之上電極清除表面金屬污染物的方法
JP2006324663A (ja) 汚染されたツール部品の清浄化方法
JP2009503271A (ja) Cvd/pecvd−プラズマチャンバーの内部から表面沈着物を除去するためのフッ化硫黄を使用する遠隔チャンバー方法
KR100445273B1 (ko) 세라믹 절연체의 세정방법
US7055532B2 (en) Method to remove fluorine residue from bond pads
US20240304428A1 (en) Method of cleaning chamber components with metal etch residues
JPH06120175A (ja) ウェハ異物除去方法
JPH0541368A (ja) 膜形成基体の表面清浄化方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080513

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100419

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100528

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101203

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101209

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131217

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4648392

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250