JP2007524994A - 低eotプラズマ窒化ゲート誘電体用の2ステップポスト窒化アニ−リング - Google Patents

低eotプラズマ窒化ゲート誘電体用の2ステップポスト窒化アニ−リング Download PDF

Info

Publication number
JP2007524994A
JP2007524994A JP2006509234A JP2006509234A JP2007524994A JP 2007524994 A JP2007524994 A JP 2007524994A JP 2006509234 A JP2006509234 A JP 2006509234A JP 2006509234 A JP2006509234 A JP 2006509234A JP 2007524994 A JP2007524994 A JP 2007524994A
Authority
JP
Japan
Prior art keywords
silicon oxynitride
annealing
dielectric film
film
plasma nitridation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006509234A
Other languages
English (en)
Inventor
クリストファー オルセン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007524994A publication Critical patent/JP2007524994A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN

Abstract

窒素を含む誘電体膜を形成するための方法。この方法は、プラズマ窒化プロセスを使用して誘電体膜に窒素を組み込んで、酸窒化シリコン膜を形成するステップを含む。該酸窒化シリコン膜はまず、約700℃〜1100℃の温度で不活性または還元雰囲気においてアニーリングされる。該酸窒化シリコン膜は、約900度〜1100℃の温度で酸化雰囲気において2回目のアニーリングがなされる。
【選択図】 図1

Description

関連出願
[0001]本出願は、「低EOTプラズマ窒化ゲート誘電体用の2ステップポスト窒化アニ−リング(TWO−STEP POST NITRIDATION ANNEALING FOR LOWER EOT PLASMA NITRIDED GATE DIELECTRICS)」と題された2004年3月4日に提出された米国特許の利点に関連し、かつこれを請求するものであり、また2003年3月7日に提出された米国仮特許出願第60/453,057号の利点に関連し、かつこれを請求するものであり、両者は共にその全体を参照として本明細書に組み入れられる。
背景
1)分野
[0002]本発明は、概して半導体製造の分野に関する。より具体的には、本発明は、プラズマ窒化および2ステップポストプラズマ窒化アニーリングプロセスを使用して、酸窒化シリコン(SiONやSiO)ゲート誘電体を形成して、それをゲートスタックに一体化させるための方法に関する。
2)関連技術の説明
[0003]集積回路は、トランジスタ、コンデンサおよび抵抗器などの、文字通り多数のアクティブおよびパッシブデバイスから成っている。トランジスタ100は概してソース102と、ドレイン104とゲートスタック106とを含む。ゲートスタック(図1)は(例えば、通常シリコンから成る)基板108で構成されており、その上部には(通常二酸化シリコン(SiO)から成る)誘電体110が成長しており、これは(多結晶シリコンなどの導電性材料から成る)電極112で被覆されている。
[0004]より多くの計算能力を提供するために、デバイス幾何を縮小することによってトランジスタをスケールダウンする傾向がある。Moorの法則によるスケーリングによれば、トランジスタのスピードを増大させるためにはゲート駆動電流が増大する必要がある。式(1)で与えられたゲート駆動電流はゲートキャパシタンス(Cox)を増大させることによって増大可能であり、そしてこれは(式(2)で示されているように)、誘電体厚(d)を減少させるか、既存のSiO誘電体(k=3.9)よりも高い誘電率(k)を有する誘電体を使用するかのいずれかによって増大可能である
[0005](1)I〜μ/Lg*Cox(VDD−VTH
(2)COX=kA/d
ここで、Iは駆動電流であり、μはキャリア移動度であり、Lgはゲート長であり、Coxはゲートキャパシタンスであり、VDDは開放電圧であり、VTHはしきい値電圧であり、kは誘電率であり、dは誘電体厚であり、Aはデバイス面積である。
[0006]複雑一体化および材料取り扱いの問題を回避するために、デバイス製造は、誘電体厚を削減することによって可能な程度にデバイスパラメータをスケーリングする。しかしながら、SiO厚を20Å以下にすることは、トンネル電流の増大、基板へのホウ素の浸透の増大および極めて薄い酸化物のプロセスコントロールの悪さに起因するゲート信頼性の悪さをもたらす。理論的にはより高いkのゲート誘電体を使用するという代替策は非常に魅力的に思われるが、基礎となるSi基板とポリシリコンゲート電極との材料の適合性は、SiOが提供されるものとは一致不可能である。さらに、SiOの使用は、希土類酸化物をゲート誘電体として導入する際に対処されるべき多数の材料の取り扱いの汚染問題を排除する。
[0007]SiOを0.1μmテクノロジーノード以上に拡張する際に直面する難問には、(1)ゲート酸化物および基礎となるSi基板への、P+ホウ素(B)ドープゲート電極を具備するPMOSデバイスなどのトランジスタにおけるホウ素の浸透と、(2)ゲート酸化物厚を削減することによるゲート漏洩電流の増大と、(3)薄型誘電体の信頼性、NMOS(N型金属酸化膜半導体)用のホットキャリアの劣化およびPMOS(P型金属酸化膜半導体)の負バイアス温度不安定性(NBTI)とがある。
[0008]酸窒化シリコン(SiOあるいはSiON)を形成するためのSiO層の窒化は、SiO誘電体を0.1μmデバイス発生にまでスケールダウンする有望候補として発展してきた。誘電体膜に窒素を組み込むことはホウ素をブロックするのみならず、ゲート誘電体の誘電率をも増大させる。誘電率の増大は、より厚い誘電体を使用して、純粋SiOに比較してゲート漏洩を低下させることができることを意味している。超薄型(例えば12Å)ゲート誘電体において上記の難問を避ける際に有効な窒素(N)ドーピングについて、ゲート誘電体の上部表面で窒素濃度プロファイルがピークの誘電体膜において、(約5%以上の)高い全窒素濃度を有することが絶対不可欠であり、これは駆動電流の改良およびNBTIの信頼性につながる。
[0009]熱成長酸窒化シリコンは、0.2μm〜0.13μmデバイス発生のゲート誘電体として数年間使用されていた。デバイステクノロジーが0.2μm〜0.1μmに進歩すると、ゲート酸化物は>25Å〜<12Åと薄くなった。従って、ホウ素をブロックしてゲート漏洩を低下させるためには、膜の窒素量は<3%〜5乃至10%に増大させられなければならない。酸化窒素(NO)および二酸化窒素(NO)を使用して酸窒化ゲート誘電体を成長させると、窒素は、酸窒化物が成長するのと同時に誘電体膜に組み込まれて、窒素は膜内に一様に分散される。NOやNOを使用して、高温で既存のSiO層をアニーリングすることによって酸窒化シリコンを形成すると、窒素は、SiONをSi基板/酸化物界面で成長させることによって組み込まれる。従って、窒素はこの界面に組み込まれている。後者の場合の窒素量(<2%)は前者の場合(4〜5%)未満である。
[0010]ごく最近、プラズマ窒化がゲート酸化物を窒化するために(これに窒素を組み込むために)使用されている。この技術は、ポリゲート/酸化物界面での高い窒素濃度をもたらし、酸化誘電体へのホウ素の浸透を防ぐ。同時に、酸化誘電体のバルクは、プラズマ窒化プロセス時に無関係の窒素によって軽くドープされて、開始酸化物の電気的酸化物厚(EOT)を低下させる。これによって、同じEOTで従来の熱プロセスよりも高いゲート漏洩の低下を達成することができる。良好なチャネル移動度と駆動電流(Idsat)とを保持しながらEOT<12Å範囲でこの誘電体をスケーリングすることは産業上の難問であった。
[0011]EOT増大を犠牲にしてチャネル移動度のプロキシとしてピーク相互コンダクタンスgmを改良するための、高温でのプラズマ窒化後の酸窒化シリコンのポストアニーリングが示されている(図2)。図2において、x軸はEOT厚を表しており、y軸はgm劣化を表している。例えば、約6ÅのSiO膜がベース酸化物として使用されている。プラズマ窒化後に、種々のポストアニーリング条件を使用して膜をアニーリングする。例えば、窒素ガス下で、740トールで30秒間1,000℃のアニーリングを使用する場合がある。別の例においては、0.5トールで1秒間1050℃のアニーリングが使用される。別の例においては、窒素および酸素ガス下で、3トールで15秒間1000℃のアニーリングが使用される。別の例においては、0.5トールで15秒間1000℃のアニーリングや、15トールで1秒間1050℃のアニーリングが使用される。さらに別の例においては、15トールで1秒間950℃のアニーリングが使用される、この図に示されているように、チャネル移動度はより低いEOT厚では劣化が大きく、より高いEOT厚では劣化が少ない。これは、チャネル移動度が増大すると、EOT厚が増大することを示している。さらに、より厚いEOTはまたIdsatを削減し、これは望ましくない。
[0012]従って、従来技術は、移動度が改良されたより薄いEOTを有する酸窒化シリコンを作る能力を欠いている。
概要
[0013]本発明の例示的実施形態は、プラズマ処理済みゲート誘電体の2ステップアニーリングによってチャネル移動度が改良され、かつEOTがより薄い酸窒化シリコンを形成するための方法に関しており、これはまず不活性または還元雰囲気を使用することを伴い、またポスト窒化アニーリング(PNA)プロセスにおける酸化雰囲気が続く。
[0014]本発明の一態様によると、誘電体膜を形成するための方法は、プラズマ窒化プロセスを使用して誘電体膜に窒素を組み込むことを含む。酸窒化シリコン膜はプラズマ窒化の結果として形成される。酸窒化シリコン膜に、酸窒化シリコン膜がまず(例えば窒素また水素ガスを使用する)不活性または還元雰囲気下でアニーリングされる2ステップPNAプロセスが施される。第1のアニーリングに続いて、酸窒化シリコンは(例えば酸素ガスを使用する)酸化雰囲気において第2回目のアニーリングがなされる。
[0015]本発明の別の態様によると、ゲートスタックを形成するための方法は二酸化シリコン膜を基板上に形成することを含む。酸窒化シリコン膜は、プラズマ窒化を使用して窒素を二酸化シリコン膜に組み込むことによって形成される。酸窒化シリコン膜に、酸窒化シリコン膜がまず(例えば、窒素または水素ガスを使用する)不活性または還元雰囲気下でアニーリングされる2ステップPNAプロセスが施される。第1のアニーリングに続いて、酸窒化シリコンは、(例えば酸化ガスを使用する)酸化雰囲気において第2回目のアニーリングがなされる。被覆層は酸窒化シリコン上に形成される。
詳細な説明
[0016]本発明の実施形態は例示によって図示されており、添付の図面の図に制限されず、同一の参照番号は類似の要素を示している。
[0023]本発明の実施形態は、窒素プラズマ(またはプラズマ窒化)プロセスを使用して、SiONやSiO(酸窒化シリコン)などの窒素を含む誘電体膜を形成するための新規の方法を含む。酸窒化シリコンに、2つのポストプラズマ窒化アニーリングプロセスが施される。実施形態によって酸窒化シリコン膜のEOTおよび窒素濃度プロファイルのコントロールが可能になる。
[0024]以下の説明では、説明目的で、多数の具体的な詳細が本発明の徹底した理解を提供するためになされている。しかしながら、当分野者にとって、本発明はこれらの具体的な詳細なしで実用化可能であることは明らかである。他の例においては、具体的な装置構造や方法は、本発明を分かりにくくしないように説明されていない。以下の説明および図面は本発明の例示であり、本発明を制限するものとして解釈されるべきではない。
[0025]一実施形態において、減結合プラズマ窒化(Decoupled Plasma Nitridation)(DPN)などのプラズマ窒化プロセスを使用して酸窒化シリコン誘電体膜を形成するための方法が提供されている。プラズマ窒化後に、酸窒化シリコン膜に、2つのポストプラズマ窒化アニーリング(PNA)プロセスが施される。第1のPNAプロセスは、酸窒化シリコンを緻密化するための不活性剤または還元剤を使用して行われる。2つのPNAプロセスはまた、窒素を酸窒化シリコン膜の表面に、酸素を酸窒化シリコンと基板との界面に移動させる。従って、ホウ素はより効率的にブロックされる。さらに、窒素の濃度プロファイルは酸窒化シリコンの表面でピークとなる傾向がある。第2のPNAプロセスは、窒素濃度プロファイルを修正するための酸化剤を使用して行われる。
[0026]別の実施形態において、プラズマ窒化プロセスと2ステップPNAプロセスとを使用して形成された酸窒化シリコン膜をゲートスタックに一体化させて、トランジスタなどの半導体デバイスを形成するための方法が提供されている。
[0027]一実施形態において、二酸化シリコン(SiO)膜がその上に形成されている基板に、二酸化シリコン膜を酸窒化シリコン膜に変換するためのプラズマ窒化プロセスが施される。一実施形態において、使用されているプラズマ窒化プロセスは減結合プラズマ窒化(DPN)であり、これは当分野界において既知である。DPNは、誘導結合を使用して、窒素プラズマを発生させて、高レベルの窒素を酸化膜に組み込むテクノロジーである。DPNにおいて、SiO膜などの膜の表面は、SiO膜を破壊して、かつ酸窒化シリコン膜を形成するSiO膜に窒素イオンを結びつける窒素イオンにさらされている。一実施形態において、窒素ガスを使用して窒素ソースを提供する。従って、SiO膜は減結合窒素プラズマに暴露されている。一実施形態において、DPNは、約200〜800ワット(Watt)のプラズマ電力で、約5〜20ミリトールまたは10〜20ミリトールに及ぶ圧力のチャンバで実行される。窒素ガスは、約100〜200sccmにおよぶ流量でチャンバに流入可能である。一実施形態において、DPNは、約10〜20mHzのパルス無線周波数プラズマプロセスと、約5〜15kHzのパルスとを使用する。DPNプロセスパラメータは、チャンバサイズおよび容積と、誘電体膜の厚さとに応じて修正可能である。
[0028]一実施形態において、窒素プラズマ処理された膜である酸窒化シリコン膜は2回アニーリングされる。第1のアニーリングプロセスにおいて、酸窒化シリコンはアニーリングされて窒素を緻密化する。第1のアニーリングプロセスは、N、He、Arまたはこれらの組み合わせなどの不活性ガスを使用する不活性雰囲気において実施される。あるいは、アニーリングプロセスは、H、H/N、H/ArまたはH/Heなどの不活性ガスや不活性ガスの混合物を使用する還元雰囲気において実施される。一実施形態において、第1のアニーリングプロセスはプラズマ窒化プロセスの直後に実施される。一実施形態において、第1のPNAプロセスは、約100ミリトール〜約800トールに及ぶ圧力で1〜120秒間>700℃の温度で実施される。第2のPNAプロセスが第1のPNAプロセスに続く。一実施形態において、第1のPNAプロセス後に、アニーリング雰囲気は、O、O/N、O/Ar、O/He、NOまたはNOなどの酸化剤(または酸素含有剤)を含有するものに変化させられる。第2のPNAプロセスは、約10ミリトール〜約100トールに及ぶ減圧で、約900℃〜約1100℃または約1000℃〜1050℃の温度で実施される。第2のPNAプロセスは約1〜120秒間実施可能である。一実施形態において、第2のPNAプロセスの温度、時間および分圧をコントロールして、0.1Å〜2Åの酸窒化シリコンのEOTの増大を達成する。
[0029]一実施形態において、第1のPNAプロセスと第2のPNAプロセスの両方とも、急速熱アニーリング(RTA)プロセスを実施するように構成されている単一のウェーハ急速熱処理(RTP)チャンバで実行される。アプライドマテリアルズ社.にっよって作られたXEや、XE PlusやRadianceなどの市販の減圧RTPチャンバハードウェアを使用して第1および第2のPNAプロセスを実施することができる。
[0030]図3において、不活性または還元環境でプラズマ窒化を使用して形成された酸窒化シリコン膜をアニーリングしてから酸化環境でアニーリングすることによって、およそ10%の改良である、0.7〜0.9Å薄いEOTを有する酸窒化シリコン膜が可能になることを示す。このようなEOTの低下は10ÅEOT範囲における著しい10%の改良である。
[0031]一実施形態において、8Åの厚さの二酸化シリコンが、プラズマ窒化を使用して形成される酸窒化シリコンのベース膜として使用されている。約7%の窒素を使用するプラズマ窒化を使用して二酸化シリコン膜を酸窒化シリコン膜に変換する。プラズマ窒化プロセスは、無線周波数誘導プラズマを使用して約10ミリトールの圧力で実施される。その後、酸窒化シリコン膜は種々のPNAアニーリングプロセスで処理される。
[0032]図3に示されているように、ポイント302は、酸素を使用する酸化雰囲気を使用してPNAアニーリングプロセスで処理される酸窒化シリコン膜のEOT結果を図示している。一実施形態において、ポイント302の酸窒化シリコン膜はOガスの存在下で約15秒間0.5トールおよび900℃でアニーリングされる。ポイント302の酸窒化シリコン膜のEOTは約10.5Åである。
[0033]ポイント304は、酸窒化シリコン膜のEOTが約9.75Åである(上記の)2ステップPNAアニーリングプロセスによって処理された酸窒化シリコン膜のEOT結果を図示している。ポイント302とポイント304の酸窒化シリコン間では約0.75EOTÅ削減される。ポイント304では、プラズマ窒化プロセス後に、酸窒化シリコン膜がまず、Nガスを使用する還元または不活性雰囲気でアニーリングされてから、Oガスを使用する酸化雰囲気で第2のアニーリングが続く。一実施形態において、ポイント304の酸窒化シリコン膜はまず、約2分間1050℃かつ100トールでNガスによってアニーリングされてから、約15〜60秒間900℃かつ0.5トールでOガスによる第2のアニーリングが続く。
[0034]ポイント306は、酸窒化シリコン膜のEOTが約9.55Åである(上記の)2ステップPNAアニーリングプロセスによって処理された酸窒化シリコン膜のEOT結果を図示している。ポイント302とポイント306の酸窒化シリコン間では約1.0EOTÅ削減される。ポイント306では、プラズマ窒化プロセス後に、酸窒化シリコン膜がまずHガスを使用する還元または不活性雰囲気でアニーリングされてから、Oガスを使用する酸化雰囲気での第2のアニーリングが続く。一実施形態において、ポイント306の酸窒化シリコン膜はまず、約1分間900℃かつ100トールでHによってアニーリングされてから、約15〜60秒間900℃かつ0.5トールでOガスによる第2のアニーリングが続く。
[0035]図3の結果は、第1は還元または不活性雰囲気による、第2は酸化雰囲気による2ステップのPNAが酸窒化シリコン膜のEOTを極めて(約10%)削減することを図示している。結果はまた、まず酸化剤によってアニーリングしてから還元または不活性剤を使用して第2のアニーリングをすることは同じ効果を提供しないことを図示している。例えば、ポイント308に示されているように、酸窒化シリコンはまずOガスでアニーリングされて、次いでNガスによって再度アニーリングされる。ポイント308の酸窒化シリコン膜は約10.4ÅのEOT値を有しており、本質的にはポイント302の酸窒化シリコン膜と全く変わらない。加えて、ポイント310に示されているように、酸窒化シリコンはまずOガスでアニーリングされて、次いでHガスで再度アニーリングされる。ポイント310の酸窒化シリコン膜は約10.4ÅのEOT値を有しており、本質的にはポイント302の酸窒化シリコン膜と全く変わらない。プラズマ窒化プロセス後にまず還元または不活性雰囲気(例えばNまたはHガス)で酸窒化シリコン膜をアニーリングすることは、(例えばOを使用する酸化雰囲気での第2のアニーリングによる)酸化前に酸窒化シリコン膜の緻密化をもたらす。酸窒化シリコンの緻密化は少なくとも約0.7〜0.9Å薄いEOTをもたらす。
[0036]図4において、例えばOガスを使用する酸化雰囲気で酸窒化シリコン膜をアニーリングする前に、例えばHまたはNガスを使用する還元または不活性雰囲気でまず酸窒化シリコン膜をアニーリングすることは、飽和駆動電流Idsatの5%の改良に加えてより薄いEOT膜を示したことが図示されている。Idsatの改良は極めて大きく、従来CMOSスケーリングで観察された、従来のEOTÅ当たり+2〜+3%のIdsat改良と比較して、0.5〜0.7Å薄いEOTとなる。
[0037]図4に示されているように、ポイント402では、酸窒化シリコン膜がまずNガスを使用して1050℃でアニーリングされて、次いでOガスによって900℃で再度アニーリングされる。ポイント402の酸窒化シリコンは約247.5μA/μmのNMOS Idsatを有する。同様に、ポイント404では、酸窒化シリコン膜はまずHガスを使用して900℃でアニーリングされて、次いでOガスによって900℃で再度アニーリングされる。ポイント404の酸窒化シリコンはまた約247.5μA/μmのNMOS Idsatを有する。従って、(プラズマ窒化後に)NやHなどの還元または不活性ガスによってまず酸窒化シリコン膜をアニーリングしてから、Oなどの酸化ガスでアニーリングすることは、高Idsatの酸窒化シリコン膜をもたらす。図4に示されているように、ポイント406では、酸窒化シリコン膜はOガスを使用して900℃でアニーリングされるだけである。ポイント406の酸窒化シリコンは約235.5μA/μmのNMOS Idsatを有する。また、ポイント408では、酸窒化シリコン膜はOガスによって900℃でまずアニーリングされてから、Hガスによる900℃の第2のアニーリングが続く。ポイント408の酸窒化シリコンは約236μA/μmのNMOS Idsatを有する。分かるとおり、第1は還元または不活性雰囲気で、第2は酸化雰囲気での2ステップポスト窒化アニーリングは、Idsatが極めて増大した(約5%改良)酸窒化シリコン膜を生成する。
[0038]図4においても、第1が還元または不活性雰囲気で、第2が酸化雰囲気での2ステップポスト窒化アニーリングは、上述のようにEOTが極めて削減された酸窒化シリコン膜を生成することが図示されている。
[0039]一実施形態において、ゲートスタックは、上記の酸窒化シリコンを形成するための方法を組み込んで形成されている。ゲートスタックは、アプライドマテリアルズ社によって作られた集積Gate Stack Centuraなどのクラスタツールに形成可能である。クラスタツールの一例は図5に示されている。このような実施形態において、ゲート酸化物の形成、酸窒化シリコン誘電体のNドーピング、Nドープ膜の熱安定化およびゲート電極の形成による全ゲートスタックは、真空を破壊することなく複数のチャンバを具備する単一のツール内で製造される。(約1μm以下の)進んだテクノロジーノードがゲート誘電体として酸化膜6〜14Åのわずかな単一層を有することになる。真空破壊と人的取り扱い/干渉なしにコントロールされた雰囲気を具備する単一のツール内でゲートスタックを処理することは、製作雰囲気への暴露および複数回のウェーハの取り扱いによる汚染やダメージの結果としてデバイス完全性に対する妥協を排除することになる。
[0040]図5は、複数の処理チャンバ、例えばロードロックチャンバ502および504と、RTPチャンバ506および508と、DPNチャンバ510と、(例えばポリシリコン膜を堆積するための)堆積チャンバ512と、クールダウンチャンバ514とを備えるクラスタツール500を図示している。クラスタツール500はまた、特定の処理チャンバの内外に基板518(例えばウェーハ)を移送するために使用されているウェーハ取り扱いツール516を含む。ウェーハ取り扱いツール516は、通常、処理チャンバのすべてと連通可能な移送チャンバに設置されている。ロードロックチャンバ502および504は処理する基板(例えばウェーハ)を収容している。堆積チャンバ512は、当分野において既知であるように、膜や層を形成するために使用可能な従来の化学または物理気相成長であってもよい。一実施形態において、堆積チャンバ512は、ポリシリコン膜や他の電極膜を形成するように構成可能な堆積チャンバである。チャンバ506および508は、(例えば、約10トール以下の)減圧または超低圧で急速熱アニーリング(RTA)をするように構成可能なチャンバである。DPNチャンバ510は、クラスタツール500に組み込まれることが可能な従来のプラズマ窒化チャンバであってもよい。
[0041]図6を参照すると、酸窒化シリコン誘電体に変形されるSiO誘電体を形成するためのシーケンスが説明されている。一実施形態において、SiO膜604は基板602上に熱成長する。基板602は、半導体デバイスを作る際に通常使用される単結晶シリコンや半導体ウェーハであってもよい。一実施形態において、SiO膜604は約4〜15Åの物理的厚さを有する。
[0042]一実施形態において、SiO膜604は、クラスタツール500(図5)のRTPチャンバ506などの減圧RTPチャンバを使用して成長する、SiO膜604は急速熱酸化によって形成可能であり、これは、基板表面を急速に加熱および乾燥させて、酸素の存在下で酸化層を形成するためにチャンバが(複数の)ランプを使用する酸化プロセスである。シリコン基板(またはウェーハ)の急速加熱酸化は、O、O+N、O+Ar、NOまたはNOのガス混合物の存在下でドライプロセス急速熱酸化を使用して実施可能である。ガスまたはガス混合物は約1〜5slmの全流量を有することが可能である。あるいは、シリコン基板の急速熱酸化は、例えば、1〜13%のHの約1〜5slmの全流量を有するO+H、O+H+NまたはNO+Hの存在下でIn−Situ Steam Generation(ISSG)などのウェットプロセスを使用して実施可能である。一実施形態において、SiO誘電体膜を形成する急速熱酸化プロセスは、約750〜1000℃の処理温度で、かつ4〜15Åの範囲の厚さを有するSiO誘電体膜をもたらす、約5〜90秒間約0.5〜50トールの処理圧で形成される。
[0043]一実施形態において、SiO誘電体膜604がRTPチャンバ506に形成された後、基板602は、移送チャンバ圧力がプラズマ窒化プロセスとおよそ同じ圧力(例えば、約10トール)の不活性(例えばNやAr)環境下でクラスタツール500のDPNチャンバ510に移送される。プラズマ窒化プロセスはSiO膜604を窒素プラズマに暴露して、窒素をSiO誘電体膜604に組み込んで酸窒化シリコン膜606を形成する。一実施形態において、DPNチャンバ510は、N、HeまたはArなどの不活性ガスを受け入れることができる減圧誘導結合RFプラズマ反応器である。
[0044]そして酸窒化シリコン膜606に、例えばクラスタツール500のRTPチャンバ508などのRTPチャンバにおける2ステップポスト窒化アニーリング(PNA)プロセスが施される。RTPチャンバ508は、アプライドマテリアルズの反応器XE、XE PlusやRadianceなどの減圧チャンバ反応器であってもよい。PNAはまず非酸化雰囲気(不活性または還元雰囲気)で生じ、約700℃以上の温度で窒素プラズマ処理膜(酸窒化シリコン膜606)を緻密化してから、約900℃以上の温度で酸化雰囲気での第2のアニーリングが続く。第1のPNAプロセスについて、不活性ガスや還元ガス(例えば、NやH)はRTPチャンバに流入して、酸窒化シリコン膜606を緻密化することができる。一実施形態において、第1のPNAは、酸窒化シリコン膜606を有する基板を、約5トール未満の全圧力で約700℃以上の適切なアニーリング温度に加熱することを含む。一実施形態において、約1slmのNやHガスなどの不活性ガスや還元ガスは約60〜120秒間RTPチャンバに流入する。第1のPNAに続いて、RTPチャンバから還元または不活性ガスが排出されて、Oなどの酸化ガスが第2のPNAのためにRTPチャンバに流入する。温度は約900℃以上まで低下してもよい。酸化ガスは、約15秒間約1slmの全流量でRTPチャンバに流入可能である。記述されている流量は特定の反応器や処理チャンバサイズ(例えば200mmの反応器)の例にすぎないことが認識されるべきである。流量は、容積の差によって他のサイズの反応器に対して比例的に調整(増大または削減)される。
[0045]一実施形態において、2ステップPNAプロセスに続いて、酸窒化シリコン膜606はポリシリコン膜606などの導電性層で被覆される。ポリシリコン膜606はクラスタツール500(図5)の堆積チャンバ512などの堆積チャンバにおいて形成可能である。ポリシリコンではなく、膜606はアモルファスシリコン膜や他の適切な導電性膜であってもよい。堆積チャンバ512は、クラスタツール500に組み込まれることが可能な低圧化学気相成長チャンバ(LPCVD)であってもよい。ポリシリコン膜606の形成後、ゲートスタックはクールダウンチャンバ514などのクールダウンチャンバに移送されて、更なる処理、テスト、または当分野において既知の他のプロセスのために、ロードロック514などの記憶領域に移送されてもよい。
[0046]ゲート誘電体膜およびポリシリコン被覆膜を含むゲートスタックは、上記のクラスタツール500に必ずしも組み込まれる必要はない複数の処理チャンバにおいて形成可能であることが認識されるべきである。例えば、SiO誘電体膜は1つのチャンバで最初に形成されてもよい。SiO膜はプラズマ窒化チャンバで酸窒化シリコンに変換可能である。そして酸窒化シリコンは、RTPチャンバを使用する2ステップPNAプロセスにおいてアニーリングされる。また、ポリシリコン膜は、同じRTPチャンバにおいてSiONやSiO膜上に形成される。
[0047]一実施形態において、本明細書に説明されているようなゲートスタックで形成されているトランジスタは、クラスタツール500の使用による連続的かつ均一な処理環境や雰囲気ゆえに最適化された性能を有する。ゲートスタックの処理はプロセス間の破壊なしに形成される。従って、低EOT、漏洩または駆動電流Idsatに関してより良好なスケーリングが、種々のプロセス間の破壊を伴うプロセスに比較して達成可能である。
[0048]本発明の特定の理論に制限される意図はないが、窒素プラズマ処理によって、膜は、純粋SiOの膜と比較して、膜のウェットHFエッチング率の上昇から推論される破壊された結びつきによってダメージを受けると考えられている。不活性雰囲気でのポスト窒化アニーリング後に、同じ膜のウェットHFエッチング率はSiOの場合よりも低い。同じ窒化膜がまずOでポストアニーリングされると、膜全体は、SiOの成長が既知であるSiO/Si界面においてではなく、膜の破壊された結びつきによってより速くOを成長させ、またこれと反応することができる。酸化雰囲気でのアニーリングの前に不活性または還元環境でSiO膜をまず緻密化することによって、結びつきは修復されて、Oのみでの更なるアニーリングが、SiO成長または界面修理が駆動電流Idsatを改良する際により重要であるSiO/Si界面で生じる。さらに、還元環境においてSiO膜をまず緻密化することによって、膜が酸化雰囲気でアニーリングされると、窒素は膜の上部表面に向かってさらに押し出される傾向がある。従って、窒素濃度プロファイルは上部表面でピークとなりやすい。
[0049]一定の例示的実施形態が説明されて、添付の図面に示されているが、このような実施形態は例示にすぎず、本発明を制限するものではなく、また本発明は、当分野者にとって修正は可能であるために、示され、かつ説明されている具体的な構成および配置に制限されるものではないことが理解されるべきである。
例示的ゲートスタックトランジスタを図示している。 プラズマ窒化後の高温ポストアニーリングがピーク相互コンダクタンスを改良する様子を図示している。 プラズマ窒化によって形成された酸窒化シリコン膜のEOTに対する2ステップポストプラズマ窒化アニーリングの効果を示している。 プラズマ窒化によって形成された酸窒化シリコン膜の駆動電流IdsatおよびEOTに対する2ステップポストプラズマ窒化アニーリングの効果を示している。 本発明の実施形態の一部に使用可能なクラスタツールを示している。 本発明の実施形態に従ったゲートスタックを形成するための例示的シーケンスを示している。
符号の説明
100…トランジスタ、102…ソース、104…ドレイン、106…ゲートスタック、108…基板、110…誘電体、500…クラスタツール、502、504…ロードロックチャンバ、506、508…RTPチャンバ、510…DPNチャンバ、512…堆積チャンバ、516…ウェーハ取り扱いツール、518…基板。

Claims (30)

  1. 誘電体膜を形成するための方法であって、
    プラズマ窒化プロセスを使用して誘電体膜に窒素を組み込んで、酸窒化シリコン膜を形成するステップと、
    約700℃〜1100℃の温度で不活性または還元雰囲気において前記酸窒化シリコン膜をアニーリングするステップと、
    約900℃〜1100℃の温度で酸化雰囲気において前記酸窒化シリコンをアニーリングするステップと、
    を備える方法。
  2. 前記誘電体膜に組み込まれている前記窒素が、前記誘電体膜の上部表面で生じる窒素濃度ピークを形成する、請求項1に記載の誘電体膜を形成するための方法。
  3. 前記誘電体膜に組み込まれている前記窒素が5%以上の窒素濃度を有する、請求項1に記載の誘電体膜を形成するための方法。
  4. 前記誘電体膜が約14オングストローム以下である、請求項1に記載の誘電体膜を形成するための方法。
  5. 不活性または還元雰囲気において前記酸窒化シリコン膜をアニーリングする前記ステップが、不活性ガスまたは不活性ガスの混合物において前記酸窒化シリコン膜をアニーリングする工程を含む、請求項1に記載の誘電体膜を形成するための方法。
  6. 酸化雰囲気において前記酸窒化シリコン膜をアニーリングする前記ステップが、酸素(O)または酸素含有ガスによって前記酸窒化シリコン膜をアニーリングする工程を含む、請求項1に記載の方法。
  7. 前記誘電体膜が二酸化シリコン(SiO)である、請求項1に記載の誘電体膜を形成するための方法。
  8. 前記プラズマ窒化プロセスが減結合プラズマ窒化を含む、請求項1に記載の誘電体膜を形成するための方法。
  9. ゲートスタックを形成するための方法であって、
    二酸化シリコン膜を基板上に形成するステップと、
    プラズマ窒化プロセスを使用して窒素を前記二酸化シリコン膜に組み込んで、酸窒化シリコン膜を形成するステップであって、前記プラズマ窒化が、窒素ガスの存在下で約10mトール未満の圧力で生じるステップと、
    約700℃〜1100℃の温度で不活性または還元雰囲気において前記酸窒化シリコン膜をアニーリングするステップと、
    700℃〜1100℃の温度で酸化雰囲気において前記酸窒化シリコン膜をアニーリングするステップと、
    被覆層を前記酸窒化シリコン上に形成するステップと、
    を備える方法。
  10. 不活性または還元雰囲気において前記酸窒化シリコン膜をアニーリングする前記ステップが、不活性ガスまたは不活性ガスの混合物において前記酸窒化シリコン膜をアニーリングする工程を含む、請求項9に記載のゲートスタックを形成するための方法。
  11. 酸化雰囲気において前記酸窒化シリコン膜をアニーリングする前記ステップが、酸素(O)または酸素含有ガスによって前記酸窒化シリコン膜をアニーリングする工程を含む、請求項9に記載のゲートスタックを形成するための方法。
  12. 前記誘電体膜に組み込まれている前記窒素が5%以上の窒素濃度を有する、請求項9に記載のゲートスタックを形成するための方法。
  13. ゲートスタックを形成するための方法であって、
    基板をクラスタツールの第1の処理チャンバに置くステップであって、前記クラスタツールが複数の処理チャンバを有するステップと、
    前記第1の処理チャンバにおいて二酸化シリコン膜を前記基板上に形成するステップと、
    真空を破壊せずに、前記第1の処理チャンバから、プラズマ窒化プロセスを実行可能な第2の処理チャンバに前記基板を移送するステップと、
    窒素反応ガスを前記第2の処理チャンバに導入して前記プラズマ窒化プロセスを実行する一方で、前記第2の処理チャンバの圧力を約10トール未満に維持して酸窒化シリコン膜を形成するステップと、
    真空を破壊せずに、前記第2の処理チャンバから、急速熱反応プロセスを実行可能な第3の処理チャンバに前記基板を移送して、不活性または還元雰囲気において前記酸窒化シリコンに対して第1のポストプラズマ窒化アニーリングを実行して、また酸化雰囲気において前記酸窒化シリコンに対して第2のポストプラズマ窒化アニーリングを実行するステップと、
    真空を破壊せずに、前記第3の処理チャンバから、堆積プロセスを実行可能な第4の処理チャンバに前記基板を移送して、前記酸窒化シリコン上にゲート電極を形成するステップと、
    を備える方法。
  14. 前記ゲート電極がポリシリコン膜またはアモルファスシリコン膜のうちの一方である、請求項13に記載の方法。
  15. 前記二酸化シリコン膜に組み込まれる窒素が約5%を超える窒素濃度まで、十分な時間前記プラズマ窒化プロセスを継続するステップをさらに備える、請求項13に記載の方法。
  16. 前記第1のポストプラズマ窒化アニーリングが約700℃〜約1100℃の温度で生じる、請求項13に記載の方法。
  17. 前記第2のポストプラズマ窒化アニーリングが約900度〜約1100℃の温度で生じる、請求項13に記載の方法。
  18. 前記誘電体膜に組み込まれている前記窒素が前記誘電体膜の上部表面で生じる窒素濃度ピークを形成する、請求項13に記載のゲートスタックを形成するための方法。
  19. 不活性または還元雰囲気において前記酸窒化シリコン膜をアニーリングする前記ステップが、不活性ガスまたは不活性ガスの混合物において前記酸窒化シリコン膜をアニーリングする工程を含む、請求項13に記載の誘電体膜を形成するための方法。
  20. 酸化雰囲気において前記酸窒化シリコン膜をアニーリングする前記ステップが、酸素(O)または酸素含有ガスによって前記酸窒化シリコン膜をアニーリングする工程を含む、請求項13に記載の方法。
  21. 前記プラズマ窒化プロセスが減結合プラズマ窒化を含む、請求項13に記載の誘電体膜を形成するための方法。
  22. 誘電体膜を処理するための方法であって、
    前記誘電体膜をプラズマ窒化に暴露して、窒素を前記誘電体膜に組み込むステップと、
    前記誘電体膜に、還元または不活性雰囲気が使用されている第1のポストプラズマ窒化アニーリングを施すステップであって、前記第1のポストプラズマ窒化アニーリングが前記誘電体膜の窒素を緻密化するステップと、
    前記誘電体膜に、酸化雰囲気が使用されている第2のポストプラズマ窒化アニーリングを施すステップと、
    を備える方法。
  23. 前記プラズマ窒化が減結合プラズマ窒化である、請求項19に記載の誘電体膜を処理するための方法。
  24. 前記誘電体膜が二酸化シリコン(SiO)である、請求項19に記載の誘電体膜を処理するための方法。
  25. 前記窒素が組み込まれた後に、酸窒化シリコンが形成される、請求項19に記載の誘電体膜を処理するための方法。
  26. 前記第1のポストプラズマ窒化アニーリングが約700℃〜1100℃の温度で生じる、請求項19に記載の誘電体膜を処理するための方法。
  27. 前記第2のポストプラズマ窒化アニーリングが約900度〜1100℃の温度で生じる、請求項19に記載の誘電体膜を処理するための方法。
  28. 前記還元または不活性雰囲気が、不活性ガスを使用して前記還元または不活性雰囲気を作成するステップを含む、請求項19に記載の誘電体膜を処理するための方法。
  29. 前記酸化雰囲気が、酸素含有ガスまたはガス混合物を使用して前記酸化雰囲気を作成するステップを含む、請求項19に記載の誘電体膜を処理するための方法。
  30. 前記誘電体膜に組み込まれている前記窒素が5%以上の窒素濃度を有する、請求項19に記載の誘電体膜を処理するための方法。
JP2006509234A 2003-03-07 2004-03-05 低eotプラズマ窒化ゲート誘電体用の2ステップポスト窒化アニ−リング Pending JP2007524994A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US45305703P 2003-03-07 2003-03-07
US10/794,707 US20080090425A9 (en) 2002-06-12 2004-03-04 Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
PCT/US2004/006974 WO2004081984A2 (en) 2003-03-07 2004-03-05 Two-step post nitridation annealing for lower eot plasma nitrided gate dielectrics

Publications (1)

Publication Number Publication Date
JP2007524994A true JP2007524994A (ja) 2007-08-30

Family

ID=32930761

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006509234A Pending JP2007524994A (ja) 2003-03-07 2004-03-05 低eotプラズマ窒化ゲート誘電体用の2ステップポスト窒化アニ−リング

Country Status (5)

Country Link
US (2) US20080090425A9 (ja)
EP (1) EP1604396A2 (ja)
JP (1) JP2007524994A (ja)
KR (1) KR20050106091A (ja)
WO (1) WO2004081984A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009272594A (ja) * 2008-05-09 2009-11-19 Promos Technologies Inc 半導体装置のゲート酸化膜上に窒化珪素層を形成し、窒化物層を熱処理する方法
JP2010021378A (ja) * 2008-07-11 2010-01-28 Tokyo Electron Ltd シリコン酸窒化膜の形成方法および形成装置
JP2013537716A (ja) * 2010-08-04 2013-10-03 日本テキサス・インスツルメンツ株式会社 その側壁での窒素濃度が高められたSiONゲート誘電体を含むMOSトランジスタ

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7348227B1 (en) * 1995-03-23 2008-03-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP2004247528A (ja) * 2003-02-14 2004-09-02 Sony Corp 半導体装置の製造方法
US7429540B2 (en) * 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US7906441B2 (en) 2003-05-13 2011-03-15 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP4745247B2 (ja) * 2004-11-05 2011-08-10 株式会社日立国際電気 半導体装置の製造方法
JP2006203120A (ja) * 2005-01-24 2006-08-03 Toshiba Corp 半導体装置の製造方法
US7402472B2 (en) * 2005-02-25 2008-07-22 Freescale Semiconductor, Inc. Method of making a nitrided gate dielectric
WO2006137287A1 (ja) * 2005-06-22 2006-12-28 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US7429538B2 (en) * 2005-06-27 2008-09-30 Applied Materials, Inc. Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US20070010103A1 (en) * 2005-07-11 2007-01-11 Applied Materials, Inc. Nitric oxide reoxidation for improved gate leakage reduction of sion gate dielectrics
KR100716904B1 (ko) * 2005-12-28 2007-05-10 동부일렉트로닉스 주식회사 반도체 장치의 보호막 및 그 제조 방법
JP5126930B2 (ja) * 2006-02-06 2013-01-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8809936B2 (en) * 2006-07-31 2014-08-19 Globalfoundries Inc. Memory cell system with multiple nitride layers
WO2008039845A2 (en) 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
JP2008166529A (ja) * 2006-12-28 2008-07-17 Spansion Llc 半導体装置の製造方法
WO2008081724A1 (ja) * 2006-12-28 2008-07-10 Tokyo Electron Limited 絶縁膜の形成方法および半導体装置の製造方法
US20080194091A1 (en) * 2007-02-13 2008-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating nitrided oxide layer
US20080268603A1 (en) * 2007-04-30 2008-10-30 Hiroaki Niimi Transistor performance using a two-step damage anneal
US20080274626A1 (en) * 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US20080276867A1 (en) * 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US7910446B2 (en) * 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US7575986B2 (en) * 2007-08-08 2009-08-18 Applied Materials, Inc. Gate interface relaxation anneal method for wafer processing with post-implant dynamic surface annealing
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
EP2251671B1 (en) 2009-05-13 2017-04-26 SiO2 Medical Products, Inc. Outgassing method for inspecting a coated surface
JP5489859B2 (ja) * 2009-05-21 2014-05-14 株式会社半導体エネルギー研究所 導電膜及び導電膜の作製方法
JP2011014884A (ja) * 2009-06-05 2011-01-20 Semiconductor Energy Lab Co Ltd 光電変換装置
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US8564063B2 (en) 2010-12-07 2013-10-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8394688B2 (en) 2011-06-27 2013-03-12 United Microelectronics Corp. Process for forming repair layer and MOS transistor having repair layer
TWI489528B (zh) * 2011-07-12 2015-06-21 Winbond Electronics Corp 記憶體的製造方法
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
CN102364664A (zh) * 2011-11-10 2012-02-29 上海华力微电子有限公司 改善mos器件载流子迁移率的方法以及mos器件制造方法
CN103930595A (zh) 2011-11-11 2014-07-16 Sio2医药产品公司 用于药物包装的钝化、pH保护性或润滑性涂层、涂布方法以及设备
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
CN104854257B (zh) 2012-11-01 2018-04-13 Sio2医药产品公司 涂层检查方法
WO2014078666A1 (en) 2012-11-16 2014-05-22 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
EP2925903B1 (en) 2012-11-30 2022-04-13 Si02 Medical Products, Inc. Controlling the uniformity of pecvd deposition on medical syringes, cartridges, and the like
US9634083B2 (en) 2012-12-10 2017-04-25 United Microelectronics Corp. Semiconductor structure and process thereof
EP2961858B1 (en) 2013-03-01 2022-09-07 Si02 Medical Products, Inc. Coated syringe.
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
KR102167557B1 (ko) 2013-03-11 2020-10-20 에스아이오2 메디컬 프로덕츠, 인크. 코팅된 패키징
US20160017490A1 (en) 2013-03-15 2016-01-21 Sio2 Medical Products, Inc. Coating method
CN104183470B (zh) * 2013-05-21 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9312145B2 (en) 2014-03-07 2016-04-12 Globalfoundries Inc. Conformal nitridation of one or more fin-type transistor layers
CN103887161A (zh) * 2014-03-20 2014-06-25 上海华力微电子有限公司 一种抑制掺杂原子在栅介质中扩散的方法
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
CN104465355A (zh) * 2014-12-24 2015-03-25 上海华虹宏力半导体制造有限公司 栅氧化层的工艺方法
US9761687B2 (en) * 2015-01-04 2017-09-12 United Microelectronics Corp. Method of forming gate dielectric layer for MOS transistor
CA3204930A1 (en) 2015-08-18 2017-02-23 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020197883A1 (en) * 2001-06-20 2002-12-26 Hiroaki Niimi Method of ammonia annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
JP2004247528A (ja) * 2003-02-14 2004-09-02 Sony Corp 半導体装置の製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4725560A (en) * 1986-09-08 1988-02-16 International Business Machines Corp. Silicon oxynitride storage node dielectric
US5304874A (en) * 1991-05-31 1994-04-19 Thunderbird Technologies, Inc. Differential latching inverter and random access memory using same
US6136654A (en) * 1996-06-07 2000-10-24 Texas Instruments Incorporated Method of forming thin silicon nitride or silicon oxynitride gate dielectrics
JP3641342B2 (ja) * 1997-03-07 2005-04-20 Tdk株式会社 半導体装置及び有機elディスプレイ装置
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
JP2001511608A (ja) * 1997-07-29 2001-08-14 シリコン ジェネシス コーポレイション プラズマ侵入型イオン注入を使用するクラスタツール方法及び装置
US6911371B2 (en) * 1997-12-19 2005-06-28 Micron Technology, Inc. Capacitor forming methods with barrier layers to threshold voltage shift inducing material
US6087701A (en) * 1997-12-23 2000-07-11 Motorola, Inc. Semiconductor device having a cavity and method of making
US6063704A (en) * 1999-08-02 2000-05-16 National Semiconductor Corporation Process for incorporating silicon oxynitride DARC layer into formation of silicide polysilicon contact
US6365518B1 (en) * 2001-03-26 2002-04-02 Applied Materials, Inc. Method of processing a substrate in a processing chamber
US20030000645A1 (en) * 2001-06-27 2003-01-02 Dornfest Charles N. Apparatus and method for reducing leakage in a capacitor stack
US6821873B2 (en) * 2002-01-10 2004-11-23 Texas Instruments Incorporated Anneal sequence for high-κ film property optimization

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020197883A1 (en) * 2001-06-20 2002-12-26 Hiroaki Niimi Method of ammonia annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
JP2004247528A (ja) * 2003-02-14 2004-09-02 Sony Corp 半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009272594A (ja) * 2008-05-09 2009-11-19 Promos Technologies Inc 半導体装置のゲート酸化膜上に窒化珪素層を形成し、窒化物層を熱処理する方法
JP2010021378A (ja) * 2008-07-11 2010-01-28 Tokyo Electron Ltd シリコン酸窒化膜の形成方法および形成装置
JP2013537716A (ja) * 2010-08-04 2013-10-03 日本テキサス・インスツルメンツ株式会社 その側壁での窒素濃度が高められたSiONゲート誘電体を含むMOSトランジスタ

Also Published As

Publication number Publication date
KR20050106091A (ko) 2005-11-08
US20070169696A1 (en) 2007-07-26
EP1604396A2 (en) 2005-12-14
WO2004081984A3 (en) 2005-02-24
US20040175961A1 (en) 2004-09-09
US20080090425A9 (en) 2008-04-17
WO2004081984A2 (en) 2004-09-23

Similar Documents

Publication Publication Date Title
JP2007524994A (ja) 低eotプラズマ窒化ゲート誘電体用の2ステップポスト窒化アニ−リング
US7429538B2 (en) Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
JP4895803B2 (ja) 誘電体膜及びゲートスタックの形成方法並びに誘電体膜の処理方法
US7429540B2 (en) Silicon oxynitride gate dielectric formation using multiple annealing steps
US7964514B2 (en) Multiple nitrogen plasma treatments for thin SiON dielectrics
CN101290886B (zh) 栅极介质层及栅极的制造方法
WO2012018975A2 (en) Mos transistors including sion gate dielectric with enhanced nitrogen concentration at its sidewalls
US20050164445A1 (en) System and method for integration of HfO2 and RTCVD poly-silicon
JP2005116727A (ja) 絶縁体薄膜の製造方法と絶縁体薄膜および半導体装置の製造方法と半導体装置
JP4256340B2 (ja) 基板処理方法
JP3593340B2 (ja) 集積回路デバイスの製造方法
WO2004077542A1 (ja) 基板処理方法
CN1762045A (zh) 用于较低eot等离子体氮化的栅电介质的两步后氮化退火
KR100680970B1 (ko) 반도체 소자의 게이트 형성방법
KR100324822B1 (ko) 반도체소자의 게이트 산화막 제조방법
JP5119904B2 (ja) 半導体装置の製造方法
KR20010004966A (ko) 유전체를 이용한 트랜지스터 형성 방법
JP2004296876A (ja) 半導体装置の製造方法及び半導体装置及び半導体製造装置
JPH11297690A (ja) ゲート絶縁膜の製造方法
WO2007124197A2 (en) Method for forming silicon oxynitride materials

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100301

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100308

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100601