JP2005236293A - Cvdチャンバクリーニング装置及び方法 - Google Patents

Cvdチャンバクリーニング装置及び方法 Download PDF

Info

Publication number
JP2005236293A
JP2005236293A JP2005040004A JP2005040004A JP2005236293A JP 2005236293 A JP2005236293 A JP 2005236293A JP 2005040004 A JP2005040004 A JP 2005040004A JP 2005040004 A JP2005040004 A JP 2005040004A JP 2005236293 A JP2005236293 A JP 2005236293A
Authority
JP
Japan
Prior art keywords
plasma cvd
cvd reactor
cleaning
reactor
electromagnetic wave
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2005040004A
Other languages
English (en)
Inventor
Chou San Nelson Loke
チョウ・サン・ネルソン・ローク
Kenichi Kagami
健一 加々美
Kiyoshi Sato
清志 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2005236293A publication Critical patent/JP2005236293A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon

Abstract

【課題】リアクタの内壁面に付着した生成物を高速でクリーニングすることができる装置及び方法を提供する。
【解決手段】プラズマCVDリアクタ2と、プラズマCVDリアクタ2の内部に活性種を与えるための、プラズマCVDリアクタ2の外部に配置された遠隔プラズマチャンバ11と、プラズマCVDリアクタ2の内部に電磁波を放射するための、プラズマCVDリアクタ2及び遠隔プラズマチャンバ11の外部に配置された電磁波ジェネレータ10とを含む。プラズマCVDリアクタ2の内壁面に付着した不所望な反応生成物は電磁波を吸収し高速で除去される。
【選択図】図1

Description

本発明は、概してプラズマCVD(化学気相成長)リアクタをクリーニングするための方法及びクリーニング装置を具備するプラズマCVD装置に関する。
枚葉式または小バッチ式処理装置において、CVD処理中に膜は基板上だけでなくCVDチャンバの内壁上または他の内側部材にも形成される。チャンバの内側部材に形成された不所望の膜はCVD処理中に基板上に落下するパーティクルを生成し、基板上の膜の品質を低下させる。そこで、CVDチャンバをその場(in-situ)クリーニング処理を使って定期的にクリーニングし、CVDチャンバの内壁面から不所望な付着物を除去している。
CPU、メモリ、システムLSI等の従来のLSIデバイスにおいて、金属配線間に形成される絶縁層は典型的に二酸化シリコン膜(SiH4ベースのSiO2膜またはTEOSベースのSiO2膜)またはフッ素含有シリコン酸化膜であった。マイクロデバイスの需要の高まりから、配線抵抗の減少及び配線間絶縁膜の容量削減に対する要求がより大きくなった。金属配線抵抗を減少させるためにアルミニウム合金の代わりに導体として銅が使用され、SiO2及びその関連材料の代わりに絶縁体として低誘電率膜が使用されている。この新しい技術において、SiNの代わりにSiCが、エッチストップバリア層として低誘電率材料と組み合わせて使用されている。この膜の誘電率は約3.8から4.4である。
デバイス寸法が縮小しつづけるに従い、配線システムのRC遅延は集積回路性能の最も主要な制限ファクタのひとつになった。RC遅延は配線システムで使用される金属の抵抗及び絶縁体の誘電率に比例する。信号伝播遅延を最小化するためには、層間及び層内絶縁体(ILD)として低誘電率材料を使用することは避けられない。ILDとして多くの低誘電率(k<3.0)材料が使用されてきたが、誘電率が高い(k>7.0)窒化シリコン(SiN)は銅ダマシン構造に必要なエッチストップ層(ESL)としていまだに第1候補である。したがって、銅配線システムの有効誘電率をさらに減少させるために窒化シリコンを誘電率がより低い新しい材料に置き換えることが所望される。最近の関心は、有機シリコンガスを使ったPECVDにより蒸着される高応力で熱的に安定な低誘電率シリコンカーバイドベース膜の研究に集まっている。銅拡散バリア層としてのシリコンカーバイド膜の使用は米国特許第5,800,878号に記載されている。
当該膜の誘電率は約5であり、層間絶縁膜の誘電率が3である130nm/90nmノードのLSI技術用の銅拡散バリア層として使用される。
純粋またはフッ素ドープSiO2及びSiNがCVDリアクタ内で蒸着される際、CVDリアクタの内壁面上の堆積物は遠隔プラズマクリーニングにより除去される。温室効果を抑制するため、一般にNF3ガスが遠隔プラズマ技術により適用される。その場合、CVDリアクタから独立した遠隔プラズマチャンバ内のプラズマ放電を安定化するための原料としてアルゴンガスが添加される。この技術は米国特許第6,187,691号及び米国特許公開第2002/0011210A号に開示されている。他にも米国特許第6,374,831号、米国特許第6,387,207号、米国特許第6,329,297号、米国特許第6,271,148号、米国特許第6,347,636号、米国特許第6,187,691号、米国特許第6,352,945号、及び米国特許第6,383,955号にチャンバクリーニング技術が記載されている。特にリアクタと遠隔プラズマリアクタの構成、及び一般的なクリーニング条件に関して、これらすべてはここに参考文献として組み込むものである。
米国特許第6,187,691号明細書
上記従来のクリーニング方法は以下で説明する問題を有する。
ILD用の低誘電率膜として、Si、O、C及びHから成る炭素含有シリコン酸化膜が使用される。ESLとして使用されるシリコンカーバイド膜は、SiCNH、SiCH、SiCOH等を含む。これらの炭素含有膜はクリーニング速度が遅く、NF3を使った従来のクリーニング法では装置のスループットが低下する。一方、NF3遠隔プラズマクリーニングにおいて、窒化シリコン膜及びフッ素活性種は互いにより速い速度で反応し、窒化シリコン膜の形成に使用されたリアクタをクリーニングするのに2μm/minのクリーニング速度が達成されている(米国特許公開第2002/0011210A1、米国特許第5,788,778号及び米国特許第6,374,831号)。
しかし、酸化シリコン膜の場合、クリーニング速度は約1から1.5μm/minであり、シリコンカーバイド膜のクリーニング速度は0.08から0.2μm/minである。このような低速クリーニングでは装置のスループットが低下してしまう。
遠隔プラズマクリーニングに加え、米国特許公開第2003/0192568A1号及び米国特許公開第2003/0029475A1号に記載されるように、CVDチャンバ内部に設置された電極へ高周波を印加する方法がある。CVDチャンバ内部に設置され、成膜用に使用される放電電極へ高周波電力を印加するこの方法を使用すると、CVDチャンバ内部の部品へのダメージを最小化するのに使用される遠隔プラズマクリーニングの長所が消滅してしまう。結果的に、クリーニング速度は向上するが、CVDチャンバ内部の電極へ高周波電力を印加することにより電極が劣化する。
本発明の目的は、CVDリアクタの内壁面に付着した生成物を高速でクリーニングすることができる装置及び方法であって、特に、シリコンカーバイド膜を含む炭素含有膜を形成するのに使用されるCVDリアクタの内壁面のクリーニング速度を向上させる方法及び装置を与えることである。また、他の目的は、クリーニング速度の増加によりスループットが向上したCVD装置を与えることである。
ひとつの態様において、本発明は、(i)プラズマCVDリアクタと、(ii)プラズマCVDリアクタの内部へ活性種を与えるための、プラズマCVDリアクタ外部に設置された遠隔プラズマチャンバと、(iii)リアクタの内部へ電磁波を放射するための、プラズマCVDリアクタ及び遠隔プラズマチャンバの外部に設置された電磁波ジェネレータと、から成る薄膜蒸着装置を与える。この態様において、リアクタの内壁面に付着した不所望な反応生成物は電磁波を吸収し、加熱され、クリーニング活性種との反応によってガスに変化し、リアクタから排気される。上記態様では、プラズマCVDリアクタまたは遠隔プラズマチャンバの構成に特別な制限は課されない。より効率的なクリーニングのために、遠隔プラズマチャンバはクリーニングガスを励起させるよう誘導結合プラズマを生成する。付加的に、ひとつ以上の電磁波ジェネレータが設置されてもよい。
ここに参考文献として組み込む上記特許文献に開示された装置はいくつかの実施例において本発明で使用される。
リアクタの内壁面に堆積した不所望の反応生成物とクリーニング活性種との間の反応を促進するものであれば、あらゆる電磁波が使用可能である。赤外線またはマイクロ波がその目的に対して効果的に使用される。実施例において、電磁波は3×10−4から3×10−1mの波長及び1から1000GHzの周波数を有するマイクロ波である。好適には、UHF周波数(0.3〜3GHz、好適には2〜3GHz)を有するマイクロ波が使用される。
電磁波の放射電力は、周波数、基板上に形成される膜種(すなわち、内壁面の不所望な付着物の種類)、クリーニングガスの種類、クリーニング処理の温度、クリーニング処理の圧力、リアクタの体積、電磁波の導入口の位置等によって変化する。電磁波は、リアクタの内壁面に付着した不所望な生成物とクリーニングガスから導出されたクリーニング活性種との間の反応を促進するのに十分な電力を有する。実施例において、当該電力は100〜5000W(200、300、400、500、1000、1500、2000、3000、4000W及びこれらの任意の2数間の範囲を含む)の範囲にある。
リアクタ及び電磁波ジェネレータは電磁波がリアクタ内に放射されている間、任意の手段により結合されている。実施例において、リアクタと電磁波ジェネレータとは導波管によって結合される。上記において、リアクタは導波管が結合されるところのサファイア窓を含む。他の実施例において、リアクタと電磁波ジェネレータとは同軸ケーブルにより結合される。
電磁波ジェネレータはリアクタ内に設置された高周波電極の軸線に垂直な方向にリアクタの側壁へ結合されるが、本発明はこのような構成に限定されるものではない。リアクタは上部電極及び下部電極を含み、それらの間に基板が配置される。よって、リアクタの側壁は電磁波ジェネレータを接続するには適当な場所である。また、シャワーヘッドの温度は薄膜の蒸着中他の壁より低いため、不所望な反応生成物は他の内壁よりも上部電極として機能するシャワーヘッドにより多く堆積する。したがって、シャワーヘッドは他の壁よりも電磁波がより多く放射されるように、電磁波の導入口を配置することが好適である。
実施例において、電磁波は基板上に薄膜を蒸着するためではなくリアクタをクリーニングするのに使用されるため、さらに装置はリアクタをクリーニングするためにのみ電磁波を作用させる制御器を含む。
他の態様において、本発明はプラズマCVDリアクタをクリーニングするための方法を与え、当該方法はクリーニングサイクル中、(i)クリーニングガスから導出されたクリーニング活性種を与える工程と、(ii)工程(i)と独立に、プラズマCVDリアクタの外側からプラズマCVDリアクタの内側へ電磁波を放射する工程と、から成る。
上記において工程(i)及び(ii)は同時に実行されるが、他の実施例において工程(ii)は工程(i)より以前に開始される。また、工程(i)は工程(ii)より以前に開始されてもよい。好適には、工程(ii)が実行されているとき、クリーニング活性種はリアクタの内部に存在する。両工程(i)及び(ii)はクリーニングサイクルの終了まで続く。しかし、工程(ii)はクリーニング処理中に断続的にまたはパルス的に実行されてもよい。
好適には、クリーニングガスは遠隔プラズマチャンバ内で励起されリアクタ内部に導入されるため、クリーニングガスの励起処理によってクリーニングサイクル中にリアクタの内部部品が傷むことはない。
クリーニングガスはフッ素含有ガスから成り、活性種はフッ素活性種である。フッ素活性種はシリコン成分と効果的に反応する。また、不所望な反応生成物が二酸化シリコン及びシロキサン重合体のような酸素を含む場合、クリーニングガスが炭素を含まない場合は、クリーニングガスはフッ素(F2)、三フッ化窒素(NF3)、または酸素含有ガスを含まないか少量の酸素含有ガスを含むそれらの混合物から成るガスである。
一方、不所望な反応生成物が窒化シリコン及び炭化シリコンのように酸素を全く含まないかほとんど含まない場合、クリーニングガスが炭化フッ素化合物(例えば、CF4、C2F6、C3F8、COF2)から成るガスのような炭素を含む場合、酸素含有ガスはクリーニングガスに添加されてもよい(この場合、クリーニングガスは酸素含有ガスを含む)。酸素は炭素成分を除去するのに有効である。
本発明において、クリーニング速度はリアクタの内壁面に電磁波を放射することにより増加し、たとえ不所望な反応生成物がシリコンカーバイド(SiCNH、SiCH、SiCOH等)のような炭素含有膜であっても、クリーニングは効果的に達成される。
クリーニングの一般的条件は以下の通りである。
1)クリーニングガスは、(1)フッ素含有ガス(100〜2000sccm、200、300、500、750、1000、1500sccm、及びこれらの任意の2数間の範囲を含む)、(2)酸素含有ガス(100〜2000sccm、200、300、500、750、1000、1500sccm、及びこれらの任意の2数間の範囲を含む)、(3)不活性ガス(0〜2000sccm、200、400、600、1000、1500sccm、及びこれらの任意の2数間の範囲を含む)から成る。不所望な生成物またはクリーニングガス中に炭素成分が存在しなければ、酸素は不要である。
2)リアクタの圧力は、100〜2000Pa(200、300、500、1000、1500Pa及びこれらの任意の2数間の範囲を含む)である。
3)リアクタの温度(サセプタの温度)は100〜700℃(200、300、400、500、600℃及びこれらの任意の2数間の範囲を含む)である。電磁波を不所望な反応生成物に印加することにより、生成物の温度は電磁波が印加されない場合に比べ約10〜500℃(20、30、50、100、200、300、400℃及びこれらの任意の2数間の範囲)ほど上昇する。しかし、リアクタ自身の内壁は電磁波に晒されることにより加熱されることはなく不所望の反応生成物の温度のみが上昇する。該内壁は熱容量が高くかつ極性材料により製作されていないからである。
4)クリーニング速度は300〜3000nm/min(400、500、750、1000、1500、2000nm/min、及びこれらの任意の2数間の範囲を含む)である。クリーニング速度は電磁波の電力の関数として調節される。クリーニング時間は不所望の生成物の厚さに基づいて決定される。
発明及び従来技術に対する利点を要約するために、発明のいくつかの目的及び利点が説明された。もちろん、必ずしもすべての目的及び利点が発明の特定の実施例に従い達成されるものではないことが理解されよう。ここに教示されまたは示唆される他の目的または利点を必ずしも達成することなく、ここに教示されるいくつかの利点を達成または最適化するようにして発明が実施されあるいは実行されることは当業者の知るところである。
本発明の他の態様、特徴及び利点は、以下の好適実施例の詳細な説明より明らかとなる。
以下で発明は特定の実施例を参照して説明されるが、これに限定されるものではない。
上記のように、実施例において、基板上に薄膜を形成する薄膜蒸着装置は、基板を収容し該基板上に薄膜を形成するためのリアクタと、基板上への薄膜蒸着中にリアクタの内壁面に付着した反応生成物を除去するのに使用されるクリーニングガスを活性化するための遠隔プラズマチャンバと、リアクタの内部へ電磁波を放射するためのリアクタに結合された電磁波ジェネレータと、から成る。
炭素含有シリコン酸化膜またはシリコンカーバイド膜がリアクタ内部の基板上に蒸着された後、基板はリアクタから搬出される。
フッ素を含むクリーニングガスが所与の流量で遠隔プラズマチャンバ内に導入され、プラズマ放電が遠隔プラズマチャンバ内に形成され、クリーニングガスが活性化され、活性化されたクリーニングガス(すなわち、クリーニング活性種)がリアクタ内に導入される。同時に、電磁波が電磁波ジェネレータからリアクタの内部に放射される。
リアクタの内側に付着した反応生成物は電磁波を吸収し、加熱され、クリーニング活性種によってガスに変換され、リアクタから排気される。
基板に蒸着される膜がシリコンカーバイド膜(成分としてSi、C、HまたはSi、C、N、HまたはSi、C、O、Hを有する)であれば、NF3、酸素及び不活性ガスの混合ガスがクリーニングガスとして使用される。COF2、C2F6、C3F8、C4F8、CF4及び酸素含有ガス(例えば、酸素、CO2、O3、NO2、N2O、CO、H2O、NOF、H2O2)もまたクリーニングガスとして使用される。付加的に、F2、F2及び不活性ガスまたは酸素若しくは窒素、またはNF3との混合ガス、F2及び酸素含有ガスの混合ガスもクリーニングガスとして使用される。
リアクタの内部へ放射される電磁波としてマイクロ波(2.45GHz)を使用するのが効果的である。マイクロ波はリアクタの内部に導入される。
基板上に蒸着される薄膜が高濃度の酸素を含む膜であれば、クリーニングガス中の酸素含有ガスの量は削減され、膜が窒化シリコン膜または酸化シリコン膜のような炭素を含まない膜であれば、もしF2またはNF3がクリーニングガスとして使用されれば酸素含有ガスの量はゼロに削減される。クリーニングガス自身がCF4、C2F6またはCOF2のように炭素を含めば、炭素がリアクタ内部に残留するのを防止するか、または炭素膜若しくは炭素粒子がリアクタまたは遠隔プラズマチャンバ内部に残留するのを防止するために、酸素含有ガスがクリーニングガスと混合して使用される。特に、酸素含有ガスは炭素成分がリアクタ内部または遠隔プラズマチャンバ内に残留するのを防止するのに有効である。炭素含有薄膜が基板上に蒸着される際、クリーニングガスであるフッ素含有ガスとともに酸素含有ガスが遠隔プラズマチャンバ内に導入される。
実施例
本発明の実施例を以下に説明する。
図1は本発明に従う薄膜蒸着装置の実施例を示す。酸化シリコン膜またはシリコンカーバイド膜が蒸着される半導体基板4はリアクタ2内部に設置されたサセプタヒータ3上に配置される。リアクタ2内部には、リアクタ2内に反応ガスを供給するのに使用されるシャワーヘッド5がサセプタヒータ3に対向する位置に設置される。抵抗加熱型シースヒータ(図示せず)及び温度センサ(図示せず)が埋設されたサセプタヒータ3が外部の温度制御器(図示せず)によって一定の高温に維持される。サセプタヒータ3は膜の蒸着に適した所与温度まで半導体基板4を加熱する。リアクタ2内にはリアクタ内部を排気するための排気口20が与えられ、排気管22及びコンダクタンス調整バルブ21を通じて真空ポンプ(図示せず)へ結合されている。リアクタ2に接続された圧力センサ24で測定されたリアクタ内部の圧力値に基づいて自動圧力制御器23によって指示されると、コンダクタンス調整バルブ21はリアクタ2内部の圧力を所与の値に調整する。
質量流量制御器(図示せず)により流量が所与の値に制御された状態で、半導体基板4上に膜を蒸着するのに使用される反応ガスはポート19から配管15、バルブ13、吸気管14及び開口17を介してリアクタ2内に導入される。開口17から流入する反応ガスはシャワーヘッド5内に供給され、その後半導体基板4に面したシャワーヘッド面に設けられた数千個の細孔(図示せず)を通じて半導体基板4の上面へ供給される。反応ガスを分解することにより半導体基板上に膜を蒸着させるために、高周波電力ジェネレータ10が高周波電力マッチング回路9を介してシャワーヘッド5へ接続されている。半導体基板4を支持するサセプタヒータ3とシャワーヘッド5との間にプラズマ放電が形成される。
質量流量制御器(図示せず)により流量が所与の値に調整された状態で、半導体基板上への成膜後にリアクタ2の内部をクリーニングするのに使用されるクリーニングガスがポート18から配管16を通じて遠隔プラズマチャンバ11へ導入される。クリーニングガスは遠隔プラズマチャンバ内での高周波放電により励起されかつ活性化される。活性化されたクリーニングガスはバルブ12及び吸気管14を介して開口17からリアクタ2内へ導入される。遠隔プラズマチャンバ11内で活性化されたクリーニングガスがリアクタ2内に導入されると同時に、導波管7及びサファイア窓8を通じてマイクロ波ジェネレータ6からリアクタ2内にマイクロ波が導入される。半導体基板4上への膜蒸着中にリアクタの内壁面に付着した反応生成物はマイクロ波により加熱され、活性クリーニングガスと生成物との反応速度が増加する。
図2において、本発明に従う他の実施例が示されている。この実施例において、マグネトロンを有するマイクロ波ジェネレータ6から放射されたマイクロ波は同軸ケーブル28を介してコンバータ29から導波管30内へ送られる。マイクロ波はリアクタ2内に設置された窓8からリアクタ内に供給される。
膜蒸着後のリアクタ内部のクリーニングが図1を参照して以下に説明される。
シリコンカーバイド膜がシリコン基板(半導体基板4)上に蒸着される際、質量流量制御器(図示せず)によって150から500sccm、好適には200から300sccmに流量制御されたテトラメチルシラン(Si(CH3)4)、別の質量流量制御器(図示せず)により1〜5slm、好適には2〜3slmに流量制御されたヘリウム、及び100から500sccm、好適には200から300sccmに流量制御されたアンモニアの混合ガスが、バルブ13を開放することにより吸気管14及び開口17を通じてリアクタ2内に設置されたシャワーヘッド5から半導体基板4の上部領域へ導入された。
このとき、半導体基板4は355℃に加熱されたサセプタヒータによって約340〜350℃に加熱され、半導体基板4とシャワーヘッド5との間の距離は15から30mm、好適には17から22mmに維持された。リアクタ2内部の圧力が665Paに維持された状態で、高周波電力(27.12MHzが600W及び400kHzが75Wの混合電力)がシャワーヘッド5に印加され、シャワーヘッド5とサセプタヒータ3との間にプラズマ放電が形成された。
結果として、SiCNHから成るシリコンカーバイド膜が100nm/minの速度で半導体基板上に蒸着された。シリコンカーバイド膜が半導体基板上に蒸着される際、バルブ12は閉じられていた。半導体基板上への膜蒸着が完了した後、半導体基板はリアクタ2から搬出された。膜蒸着によりリアクタ2の内壁に付着した反応生成物は以下の手順でクリーニングされる。
流量が200〜500sccmに制御されたNF3、流量が200から500sccmに制御された酸素、及び流量が400〜1000sccmに制御されたArが、ポート18から遠隔プラズマチャンバへ導入された。遠隔プラズマチャンバ内で、400kHzの高周波電力により形成されるトロイダル放電プラズマによりフッ素活性種が生成された。バルブ12を開放することにより、フッ素活性種は吸気管14へ導かれ、開口17を介してシャワーヘッド5からリアクタ2内に導入される。リアクタ2中にこれらのフッ素活性種を導入すると同時またはそれ以前に、導波管7及びサファイア窓8を通じてマイクロ波ジェネレータ6からリアクタ2の内部へ500から2000Wのマイクロ波が放射される。
280sccmのNF3、330sccmのO2、及び800sccmのArが導入されてリアクタ内の圧力が400Paに達すると、400kHzの高周波を2.9kWで放射することにより遠隔プラズマチャンバ内でトロイダルプラズマが形成される。フッ素酸素活性種を生成してリアクタ内に導入すると同時にマイクロ波ジェネレータ6からリアクタ2内にマイクロ波が放射されると、200nmの上記シリコンカーバイド膜の蒸着中に付着した反応生成物は24秒でクリーニングされた。蒸着した膜厚に換算して、得られたクリーニング速度は500nm/minであった。
比較のため、マイクロ波ジェネレータ6からのマイクロ波の供給を停止し、フッ素酸素活性種のみを導入してリアクタ内部のクリーニングを実行した。その結果、200nmのシリコンカーバイド膜の蒸着後にリアクタ内部をクリーニングするのに60秒かかった。蒸着した膜厚に換算して、クリーニング速度は200nm/minであった。シリコンカーバイド膜の蒸着後マイクロ波を放射すると、クリーニング速度は200から500nm/minへ増加した。
また、遠隔プラズマチャンバ11へ導入されるガスからアルゴンを除外すると、クリーニング速度は1000nm/minまで増加した。1000Wのマイクロ波が印加され、1000sccmのNF3及び1000sccmのO2が導入され、リアクタ内部の圧力が400Paに制御された状態で遠隔プラズマチャンバ内に誘導結合プラズマが形成され、フッ素酸素活性種がリアクタ2内部に導入されると、クリーニング速度は2000nm/minまで増加した。遠隔プラズマチャンバ中に誘導結合プラズマを形成するために、絶縁体から成る管の周りにコイルが巻きつけられた。絶縁体として、セラミック、好適にはアルミナセラミックまたはサファイアが使用される。2から3kWで2から27.12MHzの高周波電力がコイルに印加された。
炭素含有シリコン酸化膜(SiOCH)が蒸着された際のリアクタ内部のクリーニングが以下に説明される。
半導体基板4上に炭素含有シリコン酸化膜を蒸着するために、140sccmのDMDMOS(ジメチル-ジメトキシシラン;Si(CH3)2(OCH3)2)及び50sccmのHeがリアクタ2内に供給された。半導体基板4は約380℃に加熱され、シャワーヘッド5から20〜30mm離れた位置でサセプタヒータ上に配置された。リアクタ2内部の圧力を400から700Paに制御した状態で、27.12MHzで1.5kWの高周波電力をシャワーヘッド5へ印加することにより、シャワーヘッド5とサセプタヒータ3との間にプラズマ放電領域が形成された。
このプラズマ放電により、500から700nm/minの速度で半導体基板4上に炭素含有シリコン酸化膜が形成された。膜蒸着が完了した後、リアクタ2内部のクリーニングは以下のように実行される。
900sccmのNF3、100sccmのO2及び5.5slmのArが遠隔プラズマチャンバ11中に供給され、活性化され、内部圧力を790Paに制御した状態でリアクタ2内に導入され、リアクタ2内部のクリーニングが1000nm/minの速度で実行された。同一条件でクリーニング中にリアクタ2内部に750Wのマイクロ波が放射されると、クリーニング速度は1500nm/minとなった。また、1000Wのマイクロ波が使用された場合、クリーニング速度は1750nm/minとなった。
本発明の思想から離れることなくさまざまな修正が可能であることは当業者の知るところである。したがって、本発明の形式は例示に過ぎず、発明の態様を制限するものではないことが理解されよう。
図1は、クリーニング効率を強化するための電磁波を放射する装置を具備するプラズマCVD装置の略示図である。 図2は、クリーニング効率を強化するための電磁波を放射する他の装置を具備するプラズマCVD装置の略示図である。

Claims (22)

  1. 薄膜蒸着装置であって、
    プラズマCVDリアクタと、
    プラズマCVDリアクタの内部に活性種を与えるための、プラズマCVDリアクタの外部に配置された遠隔プラズマチャンバと、
    プラズマCVDリアクタの内部に電磁波を放射するための、プラズマCVDリアクタ及び遠隔プラズマチャンバの外部に配置された電磁波ジェネレータと、
    から成る装置。
  2. 請求項1に記載の装置であって、電磁波はマイクロ波である、ところの装置。
  3. 請求項1に記載の装置であって、プラズマCVDリアクタと電磁波ジェネレータとは導波管により結合される、ところの装置。
  4. 請求項3に記載の装置であって、プラズマCVDリアクタは導波管が結合されるところのサファイア窓を含む、ところの装置。
  5. 請求項1に記載の装置であって、プラズマCVDリアクタと電磁波ジェネレータとは同軸ケーブルにより結合される、ところの装置。
  6. 請求項1に記載の装置であって、さらに、プラズマCVDリアクタをクリーニングするためにのみ電磁波ジェネレータを作動させる制御器を含む、装置。
  7. 請求項1に記載の装置であって、電磁波ジェネレータはプラズマCVDリアクタ内に設置された高周波電極の軸線と垂直な方向にプラズマCVDリアクタの側壁に結合される、ところの装置。
  8. 請求項1に記載の装置であって、遠隔プラズマチャンバは誘導結合プラズマを生成する、ところの装置。
  9. プラズマCVDリアクタをクリーニングするための方法であって、クリーニングサイクル中に、(i)クリーニングガスから導出されるクリーニング活性種をプラズマCVDリアクタに与える工程と、(ii)工程(i)とは独立に、プラズマCVDリアクタの外部からプラズマCVDリアクタの内部へ電磁波を放射する工程と、から成る方法。
  10. 請求項9に記載の方法であって、クリーニングガスは遠隔プラズマチャンバ内で活性化され、プラズマCVDリアクタの内部へ導入される、ところの方法。
  11. 請求項9に記載の方法であって、電磁波はマイクロ波である、ところの方法。
  12. 請求項9に記載の方法であって、電磁波はリアクタの内壁面に付着した不所望な反応生成物とクリーニングガスから導出されたクリーニング活性種との間の反応を促進させるのに十分な電力を有する、ところの方法。
  13. 請求項9に記載の方法であって、クリーニングガスはフッ素含有ガスから成る、ところの方法。
  14. 請求項9に記載の方法であって、クリーニングガスは、フッ素、三フッ化窒素、またはそれらの混合ガスから成る、ところの方法。
  15. 請求項9に記載の方法であって、クリーニングガスは、炭化フッ素化合物及び酸素含有ガスから成る、ところの方法。
  16. 請求項9に記載の方法であって、クリーニングガスはCOF2から成る、ところの方法。
  17. 請求項9に記載の方法であって、工程(i)及び工程(ii)は同時に実行される、ところの方法。
  18. 請求項9に記載の方法であって、工程(ii)は工程(i)の前に開始される、ところの方法。
  19. 請求項9に記載の方法であって、工程(i)は工程(ii)無しで開始され、その後工程(i)及び工程(ii)が並行して実行される、ところの方法。
  20. 請求項9に記載の方法であって、工程(ii)は工程(i)無しで開始され、その後工程(i)及び工程(ii)が並行して実行される、ところの方法。
  21. 請求項9に記載の方法であって、クリーニング活性種は遠隔プラズマチャンバ内に形成された誘導結合プラズマにより生成される、ところの方法。
  22. 表面に膜を蒸着させた基板を複数製造するための方法であって、
    枚葉処理プラズマCVDリアクタを使って複数の基板を成膜処理する工程と、
    (i)クリーニングガスから導出されたクリーニング活性種をプラズマCVDリアクタ内に与え、(ii)プラズマCVDリアクタの外部からプラズマCVDリアクタの内部へ電磁波を放射することにより、クリーニングサイクルを開始する工程と、
    から成る方法。
JP2005040004A 2004-02-18 2005-02-17 Cvdチャンバクリーニング装置及び方法 Withdrawn JP2005236293A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/781,247 US20050178333A1 (en) 2004-02-18 2004-02-18 System and method of CVD chamber cleaning

Publications (1)

Publication Number Publication Date
JP2005236293A true JP2005236293A (ja) 2005-09-02

Family

ID=34838708

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005040004A Withdrawn JP2005236293A (ja) 2004-02-18 2005-02-17 Cvdチャンバクリーニング装置及び方法

Country Status (2)

Country Link
US (1) US20050178333A1 (ja)
JP (1) JP2005236293A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007142299A (ja) * 2005-11-22 2007-06-07 Ran Technical Service Kk 光cvd装置及びcvd膜の製造方法
JP2010520955A (ja) * 2007-02-28 2010-06-17 アプライド マテリアルズ インコーポレイテッド 大面積基板に堆積するための装置及び方法
JP2012119538A (ja) * 2010-12-01 2012-06-21 Ulvac Japan Ltd ラジカルクリーニング方法及びラジカルクリーニング装置
JP2012119539A (ja) * 2010-12-01 2012-06-21 Ulvac Japan Ltd ラジカルクリーニング方法及びラジカルクリーニング装置

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009503270A (ja) * 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー 表面沈着物を除去するためのnf3の使用方法
US20090047447A1 (en) * 2005-08-02 2009-02-19 Sawin Herbert H Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
RU2008108013A (ru) * 2005-08-02 2009-09-10 Массачусетс Инститьют Оф Текнолоджи (Us) Способ удаления поверхностных отложений и пассивирования внутренних поверхностей реактора химического осаждения из паровой фазы
US20080190446A1 (en) * 2007-02-13 2008-08-14 Ranade Rajiv M Control of dry clean process in wafer processing
JP2010533796A (ja) * 2007-07-17 2010-10-28 アプライド マテリアルズ インコーポレイテッド 圧力制御された遠隔プラズマ源による洗浄率の改善
US20110100554A1 (en) * 2009-09-09 2011-05-05 Applied Materials, Inc. Parallel system for epitaxial chemical vapor deposition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103151216B (zh) * 2013-02-01 2015-04-29 中国科学院电工研究所 配有外置吸附设备的氟碳混合气体绝缘灭弧开关装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6592340B2 (ja) * 2015-11-18 2019-10-16 アズビル株式会社 ポジショナ
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0264913B1 (en) * 1986-10-20 1994-06-22 Hitachi, Ltd. Plasma processing apparatus
US5202095A (en) * 1988-12-27 1993-04-13 Matsushita Electric Industrial Co., Ltd. Microwave plasma processor
US5082517A (en) * 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
US5368667A (en) * 1993-01-29 1994-11-29 Alliedsignal Inc. Preparation of devices that include a thin ceramic layer
US5621331A (en) * 1995-07-10 1997-04-15 Applied Science And Technology, Inc. Automatic impedance matching apparatus and method
US5812040A (en) * 1995-07-18 1998-09-22 General Atomics Microwave vacuum window having wide bandwidth
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US6347636B1 (en) * 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US6026762A (en) * 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
JP3599564B2 (ja) * 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6172322B1 (en) * 1997-11-07 2001-01-09 Applied Technology, Inc. Annealing an amorphous film using microwave energy
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6074514A (en) * 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
US6355557B2 (en) * 1998-07-22 2002-03-12 Applied Materials, Inc. Oxide plasma etching process with a controlled wineglass shape
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP3072989B1 (ja) * 1999-05-14 2000-08-07 日本エー・エス・エム株式会社 半導体基板上に薄膜を形成する成膜装置における成膜方法
US6502529B2 (en) * 1999-05-27 2003-01-07 Applied Materials Inc. Chamber having improved gas energizer and method
JP3310957B2 (ja) * 1999-08-31 2002-08-05 東京エレクトロン株式会社 プラズマ処理装置
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007142299A (ja) * 2005-11-22 2007-06-07 Ran Technical Service Kk 光cvd装置及びcvd膜の製造方法
JP2010520955A (ja) * 2007-02-28 2010-06-17 アプライド マテリアルズ インコーポレイテッド 大面積基板に堆積するための装置及び方法
JP2012119538A (ja) * 2010-12-01 2012-06-21 Ulvac Japan Ltd ラジカルクリーニング方法及びラジカルクリーニング装置
JP2012119539A (ja) * 2010-12-01 2012-06-21 Ulvac Japan Ltd ラジカルクリーニング方法及びラジカルクリーニング装置

Also Published As

Publication number Publication date
US20050178333A1 (en) 2005-08-18

Similar Documents

Publication Publication Date Title
JP2005236293A (ja) Cvdチャンバクリーニング装置及び方法
JP4439860B2 (ja) 半導体基板上への成膜方法
JP3857730B2 (ja) フッ素添加二酸化ケイ素膜の成膜法
JP4256763B2 (ja) プラズマ処理方法及びプラズマ処理装置
US7977245B2 (en) Methods for etching a dielectric barrier layer with high selectivity
JP6469705B2 (ja) エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
JP2011517848A (ja) 改善された密度および段差被覆性をもつ非晶質炭素膜を堆積させる方法
JP2005117052A (ja) シリコンカーバイド膜を製造する方法
JP2006074013A (ja) 基板から炭素含有残渣類を除去する方法
TW201403711A (zh) 利用氣相化學暴露之低k介電質損傷修復
US7479191B1 (en) Method for endpointing CVD chamber cleans following ultra low-k film treatments
JPH1174257A (ja) フッ素含有酸化ケイ素薄膜及びその製造方法
JP2004134560A (ja) シリコンカーバイド膜の製造方法
TW200525611A (en) Chamber cleaning method
KR20020070436A (ko) 무기/유기 유전체 막의 증착 시스템 및 증착 방법
KR102109482B1 (ko) 다공성 저-k 막의 유전 상수를 감소시키기 위한 방법
TWI362703B (ja)
JP2004235637A (ja) エッチストップ層の2段階形成方法
JP4209253B2 (ja) フッ素添加カーボン膜の形成方法
JP2005524529A (ja) チャンバ洗浄方法
JP4015510B2 (ja) 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
JP2009289996A (ja) 半導体装置の製造方法および半導体装置
JP4314650B2 (ja) 半導体装置の層間絶縁膜の形成方法
US20110300717A1 (en) Method for controlling dangling bonds in fluorocarbon films
KR20230078759A (ko) 인-시튜 세라믹 코팅 증착 방법

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080123

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080123

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090619