JP2003517197A5 - - Google Patents

Download PDF

Info

Publication number
JP2003517197A5
JP2003517197A5 JP2001545337A JP2001545337A JP2003517197A5 JP 2003517197 A5 JP2003517197 A5 JP 2003517197A5 JP 2001545337 A JP2001545337 A JP 2001545337A JP 2001545337 A JP2001545337 A JP 2001545337A JP 2003517197 A5 JP2003517197 A5 JP 2003517197A5
Authority
JP
Japan
Prior art keywords
winding
antenna
processing apparatus
layer
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001545337A
Other languages
English (en)
Other versions
JP4758046B2 (ja
JP2003517197A (ja
Filing date
Publication date
Priority claimed from US09/440,418 external-priority patent/US6320320B1/en
Application filed filed Critical
Publication of JP2003517197A publication Critical patent/JP2003517197A/ja
Publication of JP2003517197A5 publication Critical patent/JP2003517197A5/ja
Application granted granted Critical
Publication of JP4758046B2 publication Critical patent/JP4758046B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Description

【特許請求の範囲】
【請求項1】 プラズマを用いて基板を処理するためのプラズマ処理装置であって、
第1のRF周波数を有する第1のRF電源と、
プロセスチャンバと、
前記第1のRF電源に結合され、基板が前記処理のために前記プロセスチャンバ内に配置されるときに、前記基板によって定義される面の上方に配置される実質的に円形のアンテナであって、前記第1のRF電源によって生成された第1のRFエネルギを用いて、前記プロセスチャンバ内に電場を誘起するように構成されたアンテナと、
該アンテナおよび前記プロセスチャンバ間に配置された結合窓であって、前記アンテナから前記プロセスチャンバ内部への前記第1のRFエネルギの通過を許容するように構成され、第1の層および第2の層を有し、前記第2の層が、前記実質的に円形のアンテナおよび前記プラズマ間に形成される容量結合を実質的に抑制するように構成されている結合窓と、
を備え、
前記実質的に円形のアンテナおよび前記結合窓は、前記基板表面にわたって実質的に均一なプロセス速度を生成するように協働し、
前記アンテナは、第1の面における第1の対の同心状の輪および第2の面における第2の対の同心状の輪を少なくとも含み、
前記第1の対の同心状の輪は、第1の巻き線と、前記第1の巻き線よりも大きな直径を有する第4の巻き線とを有し、
前記第2の対の同心状の輪は、第2の巻き線と、前記第2の巻き線よりも大きな直径を有する第3の巻き線とを有し、
前記第1の対の同心状の輪および前記第2の対の同心状の輪が、互いに実質的に同一でありかつ対称に配置されていると共に、前記プロセスチャンバ内に方位的に対称なプラズマを形成するアンテナであり、
前記第1の巻き線は、前記第2の巻き線と実質的に同一であり、
前記第4の巻き線は、前記第3の巻き線と実質的に同一であり、
前記第1の巻き線は、前記第2の巻き線の上に配置され、
前記第4の巻き線は、前記第3の巻き線の上に配置され、
前記第1の巻き線は前記第2の巻き線に接続され、前記第2の巻き線は前記第3の巻き線に接続され、前記第3の巻き線は前記第4の巻き線に接続されて、電流が前記第1の巻き線から前記第2と第3の巻き線を通って前記第4の巻き線に流れるとともに、前記各巻き線内の電流の流れがアンテナ軸の周りで同一の方向に流れるように巻かれている、プラズマ処理装置。
【請求項2】 請求項1に記載のプラズマ処理装置において、
前記第1の対の同心状の輪は、前記第2の対の同心状の輪と近接しているプラズマ処理装置。
【請求項3】 請求項1に記載のプラズマ処理装置において、
前記第1の対の同心状の輪は、前記第2の対の同心状の輪の上に積層されているプラズマ処理装置。
【請求項4】 請求項3に記載のプラズマ処理装置において、
前記第2の対の同心状の輪は、前記第1の対の同心状の輪によって生成された端子電圧をシールドするプラズマ処理装置。
【請求項5】 請求項に記載のプラズマ処理装置において、
前記第1の巻き線は、前記第4の巻き線に近接し、前記第2の巻き線は、前記第3の巻き線に近接し、前記第1の巻き線の前記第4の巻き線に対する前記近接と、前記第2の巻き線の前記第3の巻き線に対する前記近接とは、前記実質的に円形のアンテナにおける放射方向の変動を実質的に低減するプラズマ処理装置。
【請求項6】 請求項1に記載のプラズマ処理装置において、
前記実質的に円形のアンテナは、前記基板が前記プロセスチャンバ内に配置されたときに、前記プロセスチャンバおよび前記基板の中心に対して対称に配置され、
前記実質的に円形のアンテナは、前記基板が前記プロセスチャンバ内に配置されたときに、前記基板の上方に配置されているプラズマ処理装置。
【請求項7】 請求項1に記載のプラズマ処理装置において、
前記実質的に円形のアンテナは、前記結合窓に近接して配置されているプラズマ処理装置。
【請求項8】 請求項1に記載のプラズマ処理装置において、
前記結合窓の前記第1および第2の層は一体的に固定されているプラズマ処理装置。
【請求項9】 請求項1に記載のプラズマ処理装置において、
前記第2の層は、前記プロセスチャンバの内周面の一部を形成するプラズマ処理装置。
【請求項10】 請求項1に記載のプラズマ処理装置において、
前記第1の層は、絶縁材料から形成されているプラズマ処理装置。
【請求項11】 請求項10に記載のプラズマ処理装置において、
前記第1の層は、窒化ケイ素または窒化アルミニウムから本質的になるグループから選択された材料で形成されているプラズマ処理装置。
【請求項12】 請求項1に記載のプラズマ処理装置において、
前記第2の層は、導電材料から形成されているプラズマ処理装置。
【請求項13】 請求項12に記載のプラズマ処理装置において、
前記第2の層は、100Ω・cmから10000Ω・cmまでの抵抗率を有するSiCから形成されているプラズマ処理装置。
【請求項14】 請求項1に記載のプラズマ処理装置において、
前記第2の層は、プロセス中に前記プロセスチャンバ内に存在する前記プラズマに対して実質的に抵抗となる材料から形成されているプラズマ処理装置。
【請求項15】 請求項14に記載のプラズマ処理装置において
前記第2の層は、SiCから形成されているプラズマ処理装置。
【請求項16】 請求項1に記載のプラズマ処理装置において、
前記第2の層は、電気的に浮動するように構成されるプラズマ処理装置。
【請求項17】 請求項1に記載のプラズマ処理装置において、
前記第1のRF周波数は、4MHzに設定されているプラズマ処理装置。
【請求項18】 請求項1に記載のプラズマ処理装置において、
前記プロセスチャンバは、実質的に円筒のプラズマプロセスチャンバであるプラズマ処理装置。
【請求項19】 プロセスチャンバ内の基板を処理するための実質的に円形のアンテナ構成であって、第1のRF電源に結合されると共に、前記基板が前記処理のために前記プロセスチャンバ内に配置されるときに、前記基板によって定義される面の上方に配置される前記アンテナ構成において、
第1の面における第1の対の同心状の輪と、
第2の面における第2の対の同心状の輪と、
を備え、
前記第2の対の同心状の輪は、前記第1の対の同心状の輪に結合され、前記第2の対の同心状の輪は、前記第2の対の同心状の輪と実質的に同一でありかつ対称に配置され、前記第2の対の同心状の輪は、前記第1の対の同心状の輪と近接しており、前記第1の対の同心状の輪は、前記第2の対の同心状の輪の上に配置されていると共に、
前記実質的に円形のアンテナ構成は、前記第1のRF電源によって生成される第1のRFエネルギを用いて、前記プロセスチャンバ内に方位的に対称な電場を形成し、前記方位的に対称な電場は、実質的に方位的に対称なプラズマを形成し、該プラズマは、前記基板の表面にわたって実質的に均一なプロセス速度を生成し、
前記第1の対の同心状の輪は、第1の内側巻き線と第1の外側巻き線とを有し、
前記第2の対の同心状の輪は、第2の内側巻き線と第2の外側巻き線とを有し、
前記第1と第2の対の同心状の輪は、電流が前記第1の内側巻き線から前記第2の内側巻き線と前記第2の外側巻き線とを通って前記第1の外側巻き線に流れるとともに、前記各巻き線内の電流の流れがアンテナ軸の周りで同一の方向に流れるように巻かれている、アンテナ構成
【請求項20】 請求項19に記載のアンテナ構成において、
前記第1のRF電源は、4MHzの周波数に設定されているアンテナ構成
【請求項21】 プロセスチャンバ内のプラズマを用いて基板を処理するための結合窓構成であって、前記結合窓はアンテナおよび前記プロセスチャンバ間に配置され、前記アンテナはRFエネルギを生成するように構成され、前記生成は前記アンテナおよび前記プラズマ間に容量結合を形成する前記結合窓構成において、
絶縁材料から形成される第1の層と、
前記第1の層に接続された第2の層であって、プロセス中において前記プロセスチャンバ内に存在する前記プラズマに対して実質的に抵抗となる材料から形成され、前記プロセスチャンバの内周面の一部を形成する前記第2の層と、
を備え、
前記第1の層および第2の層は、前記アンテナから前記プロセスチャンバ内部への前記第1のRFエネルギの通過を許容するように構成されていることとを備え
前記第2の層は、導電材料から形成され、電気的に浮動するように構成されている、結合窓構成
【請求項22】 請求項21に記載の結合窓構成において、
前記第2の層は、前記生成中に前記アンテナおよび前記プラズマ間に形成される前記容量結合を実質的に抑制するように構成されている結合窓構成
【請求項23】 請求項21に記載の結合窓構成において、
前記第1と第2の層は、容量電圧分圧器を構成するように形成されており、
前記容量電圧分圧器は、一定の容量を有する前記第1の層によって形成される上方部分と、下方部分とを含み、
前記下方部分は、点火前の導電性の前記第2の層及びチャンバ壁とで形成され、点火後には前記第2の層及びプラズマで形成される、結合窓構成
【請求項24】 請求項21に記載の結合窓構成において、
前記第2の層は、100Ω・cmから10000Ω・cmまでの抵抗率を有するSiCから形成されている結合窓構成
【請求項25】 請求項21に記載の結合窓構成において、
前記第1の層は、本質的に窒化ケイ素または窒化アルミニウムからなるグループから選択された材料で形成されている結合窓構成
【請求項26】 請求項21に記載の結合窓構成において、
前記第2の層はSiCから形成されている結合窓構成
【請求項27】
プロセスチャンバ内で電場を生成するためのアンテナ構成であって、
アンテナ軸の周りに配置された第1の輪と、
前記第1の輪に結合された第2の輪と、
を備え、
前記第1の輪は、第1の面に配置されており、第1の巻き線と、前記第1の巻き線よりも前記アンテナ軸から離れた位置に設けられた第4の巻き線とを有し、
前記第2の輪は、前記第1の輪と形状と実質的に同一の形状を有し、前記第2の輪は、前記第1の面の下にある第2の面に配置され、かつ前記アンテナ軸の周りに配置されており、
前記第2の輪は、前記第1の巻き線に対応する第2の巻き線と、前記第4の巻き線に対応し前記第2の巻き線よりも前記アンテナ軸から離れた位置に設けられた第3の巻き線とを有し、
前記第1および第2の輪は、電流が前記第1の巻き線から前記第2と第3の巻き線を通って前記第4の巻き線に流れるとともに、前記アンテナ軸の周りで同一の方向に電流が流れるよう配置されている、
アンテナ構成
【請求項28】 請求項27に記載のアンテナ構成であって、前記第3および第4の巻き線は、前記第1および第2の巻き線に近接して配置されている、アンテナ構成
【請求項29】 請求項27又は請求項28に記載のアンテナ構成であって、アークを排除するために、前記複数の巻き線の各々の間に、絶縁体が配置されている、アンテナ構成
【請求項30】 請求項27に記載のアンテナ構成であって、前記第1および第2の輪を合わせた長さは、前記アンテナ構成を通して伝送されるエネルギの波長よりも短い、アンテナ構成
【請求項31】 請求項27に記載のアンテナ構成であって、前記第2の輪は、前記第1の輪の端子電圧を効果的にシールドする、アンテナ構成
【請求項32】 請求項27に記載のアンテナ構成であって、前記第1および第2の輪は、第1のRF電源によって生成される第1のRFエネルギを用いて、前記プロセスチャンバ内に方位的に対称な電場を形成するよう協働し、前記方位的に対称な電場は、実質的に方位的に対称なプラズマを形成し、該プラズマは、前記プロセスチャンバ内に配置された基板の表面にわたって実質的に均一なプロセス速度を生成する、アンテナ構成
【請求項33】
基板を処理するためのプラズマ処理装置であって、
前記処理のために内部でプラズマが点火および維持されるプロセスチャンバと、
前記プロセスチャンバ内にRFエネルギによって電場を生成するよう構成された多層アンテナであって、上側の輪と下側の輪を有し、前記上側の輪および前記下側の輪は、実質的に互いに同一であり、アンテナ軸に関して対称的に配置されている、多層アンテナと、
請求項21〜26のいずれか一項に記載の結合窓構成と、
を備える、プラズマ処理装置。
理論に縛られたくないが、第2の層の電気抵抗は、プラズマを生成するために使用されるプロセスガスに対して等電位面を提供することが確信される。例えば、プラズマが発生した後、第2の層へプラズマが近接することから、第2の層の電位は大幅に低くなる。さらに、容量電圧分圧が一般に形成され、この容量電圧分圧器は、例えば、一定の容量を有する絶縁性の第1の層によって形成される上方部分、導電性の第2の層によって形成される下方部分とで構成され、この下方部分は、点火前には導電性の第2の層およびチャンバの壁で形成され、点火後には導電性の第2の層およびプラズマで形成される。点火前には、下方部分は小さな容量を有するため、より大きな電圧の補助点火がある(例えば、放電を開始するために、一般に容量電場が必要である)。点火後には、下方部分は大きな容量を有する。これにより、電圧が大幅に低下するため、大きな容量電圧結合を招くことはない。
JP2001545337A 1999-11-15 2000-11-14 均一なプロセス速度を生成するためのプラズマ処理装置及びアンテナ構成 Expired - Lifetime JP4758046B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/440,418 1999-11-15
US09/440,418 US6320320B1 (en) 1999-11-15 1999-11-15 Method and apparatus for producing uniform process rates
PCT/US2000/042174 WO2001045134A2 (en) 1999-11-15 2000-11-14 Method and apparatus for producing uniform process rates

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011095143A Division JP5309179B2 (ja) 1999-11-15 2011-04-21 均一なプロセス速度を生成するためのプラズマ処理装置及び結合窓構成

Publications (3)

Publication Number Publication Date
JP2003517197A JP2003517197A (ja) 2003-05-20
JP2003517197A5 true JP2003517197A5 (ja) 2011-02-17
JP4758046B2 JP4758046B2 (ja) 2011-08-24

Family

ID=23748690

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001545337A Expired - Lifetime JP4758046B2 (ja) 1999-11-15 2000-11-14 均一なプロセス速度を生成するためのプラズマ処理装置及びアンテナ構成
JP2011095143A Expired - Lifetime JP5309179B2 (ja) 1999-11-15 2011-04-21 均一なプロセス速度を生成するためのプラズマ処理装置及び結合窓構成

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011095143A Expired - Lifetime JP5309179B2 (ja) 1999-11-15 2011-04-21 均一なプロセス速度を生成するためのプラズマ処理装置及び結合窓構成

Country Status (8)

Country Link
US (2) US6320320B1 (ja)
EP (1) EP1230668B1 (ja)
JP (2) JP4758046B2 (ja)
KR (1) KR100826488B1 (ja)
CN (1) CN1227710C (ja)
AU (1) AU4902801A (ja)
TW (1) TW507253B (ja)
WO (1) WO2001045134A2 (ja)

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6518705B2 (en) * 1999-11-15 2003-02-11 Lam Research Corporation Method and apparatus for producing uniform process rates
AU1606101A (en) * 1999-11-15 2001-05-30 Lam Research Corporation Materials and gas chemistries for processing systems
US6320320B1 (en) * 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6744213B2 (en) * 1999-11-15 2004-06-01 Lam Research Corporation Antenna for producing uniform process rates
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6694915B1 (en) * 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US20020137565A1 (en) * 2001-03-09 2002-09-26 Blanco Victor K. Uniform media portal for a gaming system
US7218739B2 (en) 2001-03-09 2007-05-15 Microsoft Corporation Multiple user authentication for online console-based gaming
US20020128061A1 (en) * 2001-03-09 2002-09-12 Blanco Victor Keith Method and apparatus for restricting access to content in a gaming system
US20020128068A1 (en) 2001-03-09 2002-09-12 Randall Whitten Jon Marcus Method and apparatus for managing data in a gaming system
US20020128067A1 (en) * 2001-03-09 2002-09-12 Victor Keith Blanco Method and apparatus for creating and playing soundtracks in a gaming system
US6527912B2 (en) * 2001-03-30 2003-03-04 Lam Research Corporation Stacked RF excitation coil for inductive plasma processor
US20020170677A1 (en) * 2001-04-07 2002-11-21 Tucker Steven D. RF power process apparatus and methods
US7203835B2 (en) * 2001-11-13 2007-04-10 Microsoft Corporation Architecture for manufacturing authenticatable gaming systems
US7428638B1 (en) 2001-11-13 2008-09-23 Microsoft Corporation Architecture for manufacturing authenticatable gaming systems
JP3823069B2 (ja) * 2002-06-12 2006-09-20 株式会社アルバック 磁気中性線放電プラズマ処理装置
US6842147B2 (en) * 2002-07-22 2005-01-11 Lam Research Corporation Method and apparatus for producing uniform processing rates
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
KR100964398B1 (ko) * 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US6973554B2 (en) 2003-04-23 2005-12-06 Microsoft Corporation Systems and methods for multiprocessor scalable write barrier
KR100530596B1 (ko) * 2004-03-30 2005-11-23 어댑티브프라즈마테크놀로지 주식회사 웨이퍼 상에 높은 공정 균일도를 얻기 위한 플라즈마 소스코일을 채용하는 플라즈마 장비
US20080223521A1 (en) * 2004-03-30 2008-09-18 Nam Hun Kim Plasma Source Coil and Plasma Chamber Using the Same
US8131955B2 (en) 2004-04-15 2012-03-06 Microsoft Corporation Ephemeral garbage collection using a tracking mechanism on a card table to determine marked bundles
US7527713B2 (en) * 2004-05-26 2009-05-05 Applied Materials, Inc. Variable quadruple electromagnet array in plasma processing
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
JP2006237479A (ja) * 2005-02-28 2006-09-07 Mitsubishi Heavy Ind Ltd プラズマ処理装置
US8956500B2 (en) * 2007-04-24 2015-02-17 Applied Materials, Inc. Methods to eliminate “M-shape” etch rate profile in inductively coupled plasma reactor
JP2010050188A (ja) * 2008-08-20 2010-03-04 Panasonic Corp プラズマドーピング装置
US8319436B2 (en) * 2009-02-02 2012-11-27 Advanced Energy Industries, Inc. Passive power distribution for multiple electrode inductive plasma source
JP5554047B2 (ja) * 2009-10-27 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置
CN102054649B (zh) 2009-10-27 2014-03-19 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP5592098B2 (ja) 2009-10-27 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8884178B2 (en) * 2010-10-20 2014-11-11 Lam Research Corporation Methods and apparatus for igniting and sustaining plasma
US8659229B2 (en) * 2011-05-16 2014-02-25 Varian Semiconductor Equipment Associates, Inc. Plasma attenuation for uniformity control
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103959920B (zh) 2011-09-16 2016-12-07 细美事有限公司 天线结构和等离子体生成装置
US20140060738A1 (en) * 2012-08-31 2014-03-06 Semes Co., Ltd. Apparatus for treating substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11615943B2 (en) 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN109801824B (zh) * 2017-11-15 2022-07-22 北京北方华创微电子装备有限公司 介质窗组件及反应腔室
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP7134104B2 (ja) * 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220094272A (ko) * 2020-12-28 2022-07-06 세메스 주식회사 기판 처리 장치
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240038557A1 (en) * 2022-07-28 2024-02-01 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5540800A (en) 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
JP3140934B2 (ja) * 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3153743B2 (ja) 1995-08-31 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
JPH0982495A (ja) * 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
DE19711267A1 (de) * 1996-03-18 1997-10-30 Hyundai Electronics Ind Vorrichtung zur chemischen Dampfabscheidung mit induktiv gekoppeltem Plasma
US5669975A (en) 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
CA2207154A1 (en) 1996-06-10 1997-12-10 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
JP2845199B2 (ja) * 1996-06-14 1999-01-13 日本電気株式会社 ドライエッチング装置およびドライエッチング方法
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
JP3940465B2 (ja) * 1997-05-14 2007-07-04 株式会社アルバック 反応性イオンエッチング装置
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US5910221A (en) * 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6028395A (en) 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6229264B1 (en) * 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6302966B1 (en) 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates

Similar Documents

Publication Publication Date Title
JP2003517197A5 (ja)
JP4758046B2 (ja) 均一なプロセス速度を生成するためのプラズマ処理装置及びアンテナ構成
TW503435B (en) Power supply antenna and power supply method
US7777152B2 (en) High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
JP3090615B2 (ja) 誘導プラズマ発生装置および容量結合を与える方法
JP5183213B2 (ja) 真空プラズマプロセッサ
KR102009540B1 (ko) 플라즈마 에지 영역을 제어하기 위한 시스템들 및 방법들
JP2002510841A (ja) 並列アンテナ・トランスフォーマー・カップルド・プラズマ発生システム
JP4856787B1 (ja) 電荷移動ゼロ損失電力及び信号伝送ケーブル
JP2001511945A (ja) 大形加工物用のプラズマ加工機
TW200824506A (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
KR20050103183A (ko) 절연성 진공 용기를 갖는 토로이드형 로필드 반응성 가스와 플라즈마 소스
CN101543141A (zh) 等离子处理装置
TW200534533A (en) Plasma antenna
JP2013524012A5 (ja)
TWI279169B (en) Plasma processing apparatus capable of performing uniform plasma treatment by preventing drift in plasma discharge current
US20240006150A1 (en) Plasma generating apparatus and method for operating same
KR101455245B1 (ko) 플라즈마 처리를 위한 rf 피드 구조물
TW202127505A (zh) 電感耦合裝置和半導體處理設備
KR101364444B1 (ko) 하이브리드 플라즈마 반응기
JPH0850996A (ja) プラズマ処理装置
JPS5944782A (ja) 沿面コロナ放電素子およびその製造方法
KR20210102467A (ko) 유도 결합 플라즈마들을 위한 회귀적 코일들
KR102486653B1 (ko) 대기압 플라즈마 발생 장치
KR100743842B1 (ko) 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기