JP2002506198A5 - 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造体の測定 - Google Patents

回折構造体、広帯域、偏光、エリプソメトリおよび下地構造体の測定 Download PDF

Info

Publication number
JP2002506198A5
JP2002506198A5 JP2000534831A JP2000534831A JP2002506198A5 JP 2002506198 A5 JP2002506198 A5 JP 2002506198A5 JP 2000534831 A JP2000534831 A JP 2000534831A JP 2000534831 A JP2000534831 A JP 2000534831A JP 2002506198 A5 JP2002506198 A5 JP 2002506198A5
Authority
JP
Japan
Prior art keywords
structures
ellipsometry
broadband
polarization
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000534831A
Other languages
English (en)
Other versions
JP2002506198A (ja
JP4633254B2 (ja
Filing date
Publication date
Priority claimed from US09/036,557 external-priority patent/US6483580B1/en
Application filed filed Critical
Publication of JP2002506198A publication Critical patent/JP2002506198A/ja
Publication of JP2002506198A5 publication Critical patent/JP2002506198A5/ja
Application granted granted Critical
Publication of JP4633254B2 publication Critical patent/JP4633254B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

JP2000534831A 1998-03-06 1999-02-25 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定 Expired - Lifetime JP4633254B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/036,557 1998-03-06
US09/036,557 US6483580B1 (en) 1998-03-06 1998-03-06 Spectroscopic scatterometer system
PCT/US1999/004053 WO1999045340A1 (en) 1998-03-06 1999-02-25 Measuring a diffracting structure, broadband, polarized, ellipsometric, and an underlying structure

Related Child Applications (4)

Application Number Title Priority Date Filing Date
JP2009244175A Division JP5563803B2 (ja) 1998-03-06 2009-10-23 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定
JP2009244069A Division JP4643737B2 (ja) 1998-03-06 2009-10-23 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定
JP2009244176A Division JP5249169B2 (ja) 1998-03-06 2009-10-23 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定
JP2010131079A Division JP5102329B2 (ja) 1998-03-06 2010-06-08 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定

Publications (3)

Publication Number Publication Date
JP2002506198A JP2002506198A (ja) 2002-02-26
JP2002506198A5 true JP2002506198A5 (ja) 2006-04-06
JP4633254B2 JP4633254B2 (ja) 2011-02-16

Family

ID=21889260

Family Applications (6)

Application Number Title Priority Date Filing Date
JP2000534831A Expired - Lifetime JP4633254B2 (ja) 1998-03-06 1999-02-25 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定
JP2009244175A Expired - Lifetime JP5563803B2 (ja) 1998-03-06 2009-10-23 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定
JP2009244069A Expired - Lifetime JP4643737B2 (ja) 1998-03-06 2009-10-23 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定
JP2009244176A Expired - Lifetime JP5249169B2 (ja) 1998-03-06 2009-10-23 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定
JP2010131079A Expired - Lifetime JP5102329B2 (ja) 1998-03-06 2010-06-08 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定
JP2012267965A Pending JP2013083659A (ja) 1998-03-06 2012-12-07 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定

Family Applications After (5)

Application Number Title Priority Date Filing Date
JP2009244175A Expired - Lifetime JP5563803B2 (ja) 1998-03-06 2009-10-23 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定
JP2009244069A Expired - Lifetime JP4643737B2 (ja) 1998-03-06 2009-10-23 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定
JP2009244176A Expired - Lifetime JP5249169B2 (ja) 1998-03-06 2009-10-23 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定
JP2010131079A Expired - Lifetime JP5102329B2 (ja) 1998-03-06 2010-06-08 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定
JP2012267965A Pending JP2013083659A (ja) 1998-03-06 2012-12-07 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造の測定

Country Status (6)

Country Link
US (6) US6483580B1 (ja)
EP (2) EP1073876B1 (ja)
JP (6) JP4633254B2 (ja)
AU (1) AU3310999A (ja)
DE (1) DE69922942T2 (ja)
WO (1) WO1999045340A1 (ja)

Families Citing this family (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US20020030813A1 (en) * 1999-03-29 2002-03-14 Norton Adam E. Spectroscopic measurement system using an off-axis spherical mirror and refractive elements
US6690473B1 (en) * 1999-02-01 2004-02-10 Sensys Instruments Corporation Integrated surface metrology
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US8531678B2 (en) 1999-07-09 2013-09-10 Nova Measuring Instruments, Ltd. Method and system for measuring patterned structures
US6432729B1 (en) * 1999-09-29 2002-08-13 Lam Research Corporation Method for characterization of microelectronic feature quality
DE19950559B4 (de) 1999-10-20 2006-08-17 Steag Eta-Optik Gmbh Verfahren zum Bestimmen von geometrischen Strukturen auf oder in einem Substrat sowie von Materialparametern
EP1257781A4 (en) * 2000-01-26 2006-12-13 Timbre Tech Inc USE OF A MEMORY IN IN-LINE LAYER CALCULATIONS FOR QUICK RIGOROUS ANALYSIS OF COUPLED WAVES
US7230699B1 (en) * 2002-10-15 2007-06-12 J.A. Woollam Co., Inc. Sample orientation system and method
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
WO2001084382A1 (en) 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
US6462817B1 (en) 2000-05-12 2002-10-08 Carlos Strocchia-Rivera Method of monitoring ion implants by examination of an overlying masking material
EP1309849A2 (en) 2000-08-10 2003-05-14 Therma-Wave, Inc. Database interpolation method for optical measurement of diffractive microstructures
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
WO2002025708A2 (en) 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US7115858B1 (en) * 2000-09-25 2006-10-03 Nanometrics Incorporated Apparatus and method for the measurement of diffracting structures
US7099005B1 (en) * 2000-09-27 2006-08-29 Kla-Tencor Technologies Corporation System for scatterometric measurements and applications
EP1352415A2 (en) * 2000-10-23 2003-10-15 Applied Materials, Inc. Monitoring substrate processing using reflected radiation
US6831742B1 (en) 2000-10-23 2004-12-14 Applied Materials, Inc Monitoring substrate processing using reflected radiation
US6768983B1 (en) * 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6900892B2 (en) * 2000-12-19 2005-05-31 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6864971B2 (en) * 2001-03-27 2005-03-08 Isoa, Inc. System and method for performing optical inspection utilizing diffracted light
US20020177245A1 (en) * 2001-03-29 2002-11-28 Sonderman Thomas J. Method and apparatus for controlling feature critical dimensions based on scatterometry derived profile
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6898537B1 (en) 2001-04-27 2005-05-24 Nanometrics Incorporated Measurement of diffracting structures using one-half of the non-zero diffracted orders
US7052575B1 (en) * 2001-04-30 2006-05-30 Advanced Micro Devices, Inc. System and method for active control of etch process
US7271901B2 (en) * 2001-05-22 2007-09-18 Horiba, Ltd. Thin-film characteristic measuring method using spectroellipsometer
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6773939B1 (en) * 2001-07-02 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for determining critical dimension variation in a line structure
US6713753B1 (en) * 2001-07-03 2004-03-30 Nanometrics Incorporated Combination of normal and oblique incidence polarimetry for the characterization of gratings
US6704661B1 (en) * 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
US6678046B2 (en) * 2001-08-28 2004-01-13 Therma-Wave, Inc. Detector configurations for optical metrology
US7127098B2 (en) * 2001-09-13 2006-10-24 Hitachi, Ltd. Image detection method and its apparatus and defect detection method and its apparatus
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
CN1303477C (zh) * 2001-10-10 2007-03-07 安格盛光电科技公司 利用截面分析确定聚焦中心
US6898596B2 (en) 2001-10-23 2005-05-24 Therma-Wave, Inc. Evolution of library data sets
JP3839306B2 (ja) * 2001-11-08 2006-11-01 株式会社ルネサステクノロジ 半導体装置の製造方法および製造システム
US6746566B1 (en) 2001-12-11 2004-06-08 Kla-Tencor Technologies Corporation Transverse magnetic field voltage isolator
US6982791B2 (en) * 2001-12-19 2006-01-03 Therma-Wave, Inc. Scatterometry to simultaneously measure critical dimensions and film properties
AU2002360738A1 (en) * 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US7030018B2 (en) 2002-02-04 2006-04-18 Kla-Tencor Technologies Corp. Methods and systems for monitoring a parameter of a measurement device during polishing, damage to a specimen during polishing, or a characteristic of a polishing pad or tool
US6609086B1 (en) * 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
JP3878027B2 (ja) * 2002-02-18 2007-02-07 東京エレクトロン株式会社 偏光解析方法及び光学的膜厚測定装置
US6643008B1 (en) * 2002-02-26 2003-11-04 Advanced Micro Devices, Inc. Method of detecting degradation in photolithography processes based upon scatterometric measurements of grating structures, and a device comprising such structures
US7136796B2 (en) * 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
US6785009B1 (en) * 2002-02-28 2004-08-31 Advanced Micro Devices, Inc. Method of using high yielding spectra scatterometry measurements to control semiconductor manufacturing processes, and systems for accomplishing same
IL148484A (en) * 2002-03-04 2008-11-26 Nova Measuring Instr Ltd Optical measurements of patterned structures
US6791697B1 (en) * 2002-03-21 2004-09-14 Advanced Micro Devices, Inc. Scatterometry structure with embedded ring oscillator, and methods of using same
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US7322250B1 (en) * 2002-04-09 2008-01-29 Rockwell Automation Technologies, Inc. System and method for sensing torque on a rotating shaft
US20030197872A1 (en) * 2002-04-17 2003-10-23 Littau Michael E. Scatterometric measurement of undercut multi-layer diffracting signatures
US6985229B2 (en) * 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US7216045B2 (en) * 2002-06-03 2007-05-08 Timbre Technologies, Inc. Selection of wavelengths for integrated circuit optical metrology
US6819844B2 (en) * 2002-06-20 2004-11-16 The Boeing Company Fiber-optic based surface spectroscopy
US6947135B2 (en) * 2002-07-01 2005-09-20 Therma-Wave, Inc. Reduced multicubic database interpolation method for optical measurement of diffractive microstructures
US6919964B2 (en) * 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
EP1527320A1 (en) * 2002-07-12 2005-05-04 Luka Optoscope ApS Method and apparatus for optically measuring the topography of nearly planar periodic structures
US7046363B2 (en) * 2002-09-06 2006-05-16 Infineon Technologies Ag Optical measurement system and method
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7136162B1 (en) * 2002-10-15 2006-11-14 J.A. Woollam Co., Inc. Alignment of ellipsometer beam to sample surface
US20040090629A1 (en) * 2002-11-08 2004-05-13 Emmanuel Drege Diffraction order selection for optical metrology simulation
US7193715B2 (en) * 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
WO2004046655A2 (en) * 2002-11-20 2004-06-03 Mehrdad Nikoohahad System and method for characterizing three-dimensional structures
US7369233B2 (en) 2002-11-26 2008-05-06 Kla-Tencor Technologies Corporation Optical system for measuring samples using short wavelength radiation
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
AU2003298003A1 (en) 2002-12-05 2004-06-30 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
FR2849181B1 (fr) * 2002-12-23 2005-12-23 Commissariat Energie Atomique Procede d'etude des reliefs d'une structure par voie optique
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
US7126131B2 (en) 2003-01-16 2006-10-24 Metrosol, Inc. Broad band referencing reflectometer
US8564780B2 (en) * 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
DE10302868B4 (de) * 2003-01-25 2008-07-03 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Bestimmung von Strukturparametern einer Oberfläche mit einem lernfähigen System
US7069153B2 (en) * 2003-01-28 2006-06-27 Therma-Wave, Inc. CD metrology method
US7072049B2 (en) * 2003-02-03 2006-07-04 Timbre Technologies, Inc. Model optimization for structures with additional materials
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7106454B2 (en) 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7233390B2 (en) * 2003-03-31 2007-06-19 Therma-Wave, Inc. Scatterometry for samples with non-uniform edges
US7145664B2 (en) * 2003-04-18 2006-12-05 Therma-Wave, Inc. Global shape definition method for scatterometry
US7068363B2 (en) 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7463355B1 (en) * 2003-06-12 2008-12-09 Scientific Computing International Nondestructive optical technique for simultaneously measuring optical constants and thickness of thin films
US6891628B2 (en) * 2003-06-25 2005-05-10 N & K Technology, Inc. Method and apparatus for examining features on semi-transparent and transparent substrates
US7085676B2 (en) * 2003-06-27 2006-08-01 Tokyo Electron Limited Feed forward critical dimension control
DE10333119B3 (de) * 2003-07-21 2005-05-25 Infineon Technologies Ag Nichtinvasives Verfahren zur Charakterisierung und Identifizierung eingebetteter Mikrostrukturen
US7271921B2 (en) * 2003-07-23 2007-09-18 Kla-Tencor Technologies Corporation Method and apparatus for determining surface layer thickness using continuous multi-wavelength surface scanning
US7430898B1 (en) 2003-09-04 2008-10-07 Kla-Tencor Technologies Corp. Methods and systems for analyzing a specimen using atomic force microscopy profiling in combination with an optical technique
TWI334921B (en) * 2003-09-15 2010-12-21 Zygo Corp Surface profiling using an interference pattern matching template
SE526617C2 (sv) * 2003-10-01 2005-10-18 Sick Ivp Ab System och metod för att avbilda ett objekts egenskaper
US7145654B2 (en) * 2003-10-01 2006-12-05 Tokyo Electron Limited Method and apparatus to reduce spotsize in an optical metrology instrument
JP3892843B2 (ja) * 2003-11-04 2007-03-14 株式会社東芝 寸法測定方法、寸法測定装置および測定マーク
US7256879B2 (en) * 2003-12-11 2007-08-14 Corning Incorporated Semiconductor array tester
US7327457B2 (en) * 2003-12-19 2008-02-05 N&K Technology, Inc. Apparatus and method for optical characterization of a sample over a broadband of wavelengths while minimizing polarization changes
WO2005069082A1 (en) 2003-12-19 2005-07-28 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
US7248364B2 (en) * 2003-12-19 2007-07-24 N&K Technology, Inc. Apparatus and method for optical characterization of a sample over a broadband of wavelengths with a small spot size
JP2010286493A (ja) * 2004-01-23 2010-12-24 Horiba Ltd 基板検査装置
US7355709B1 (en) 2004-02-23 2008-04-08 Kla-Tencor Technologies Corp. Methods and systems for optical and non-optical measurements of a substrate
US7349079B2 (en) 2004-05-14 2008-03-25 Kla-Tencor Technologies Corp. Methods for measurement or analysis of a nitrogen concentration of a specimen
JP2007537455A (ja) * 2004-05-14 2007-12-20 ケイエルエイ−テンコー・テクノロジーズ・コーポレーション 試験体の測定または分析のためのシステムおよび方法
US7067819B2 (en) 2004-05-14 2006-06-27 Kla-Tencor Technologies Corp. Systems and methods for measurement or analysis of a specimen using separated spectral peaks in light
US7564552B2 (en) 2004-05-14 2009-07-21 Kla-Tencor Technologies Corp. Systems and methods for measurement of a specimen with vacuum ultraviolet light
US7359052B2 (en) 2004-05-14 2008-04-15 Kla-Tencor Technologies Corp. Systems and methods for measurement of a specimen with vacuum ultraviolet light
IL162199A (en) * 2004-05-27 2008-04-13 Nova Measuring Instr Ltd Optical measurements of articles with periodic patterns
US7212293B1 (en) 2004-06-01 2007-05-01 N&K Technology, Inc. Optical determination of pattern feature parameters using a scalar model having effective optical properties
US7202958B1 (en) * 2004-06-01 2007-04-10 Nanometrics Incorporated Modeling a sample with an underlying complicated structure
US7804059B2 (en) * 2004-08-11 2010-09-28 Jordan Valley Semiconductors Ltd. Method and apparatus for accurate calibration of VUV reflectometer
US20060043291A1 (en) * 2004-08-26 2006-03-02 Peng Gang G Electron spectroscopic metrology system
US7274440B1 (en) * 2004-09-08 2007-09-25 Kla-Tencor Technologies Corp. Systems and methods for measuring stress in a specimen
US7391524B1 (en) 2004-09-13 2008-06-24 N&K Technology, Inc. System and method for efficient characterization of diffracting structures with incident plane parallel to grating lines
US7206070B2 (en) 2004-11-15 2007-04-17 Therma-Wave, Inc. Beam profile ellipsometer with rotating compensator
US7483133B2 (en) * 2004-12-09 2009-01-27 Kla-Tencor Technologies Corporation. Multiple angle of incidence spectroscopic scatterometer system
WO2006069255A2 (en) * 2004-12-22 2006-06-29 Kla-Tencor Technologies Corp. Methods and systems for controlling variation in dimensions of patterned features across a wafer
US20070091325A1 (en) * 2005-01-07 2007-04-26 Mehrdad Nikoonahad Multi-channel optical metrology
US7515253B2 (en) * 2005-01-12 2009-04-07 Kla-Tencor Technologies Corporation System for measuring a sample with a layer containing a periodic diffracting structure
TWI428582B (zh) 2005-01-20 2014-03-01 Zygo Corp 用於檢測物體表面之特性的干涉裝置以及干涉方法
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US7408641B1 (en) 2005-02-14 2008-08-05 Kla-Tencor Technologies Corp. Measurement systems configured to perform measurements of a specimen and illumination subsystems configured to provide illumination for a measurement system
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US7330256B1 (en) 2005-05-16 2008-02-12 N&K Technology, Inc. Spectrophotometric system with reduced angle of incidence
DE102005023736B4 (de) 2005-05-23 2019-08-22 Vistec Semiconductor Systems Jena Gmbh Verfahren zum Bestimmen von Strukturparametern
US7277172B2 (en) * 2005-06-06 2007-10-02 Kla-Tencor Technologies, Corporation Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
US7369235B1 (en) 2005-06-24 2008-05-06 Kla-Tencor Corporation Method and system for measuring deep trenches in silicon
US7636168B2 (en) 2005-10-11 2009-12-22 Zygo Corporation Interferometry method and system including spectral decomposition
US7430051B2 (en) * 2005-10-12 2008-09-30 Sematech Inc. Methods for characterizing semiconductor material using optical metrology
JP4807659B2 (ja) * 2006-01-31 2011-11-02 凸版印刷株式会社 セル内膜厚測定装置
US7747424B2 (en) * 2006-03-17 2010-06-29 Kla-Tencor Corporation Scatterometry multi-structure shape definition with multi-periodicity
US7561282B1 (en) 2006-03-27 2009-07-14 Kla-Tencor Technologies Corporation Techniques for determining overlay and critical dimension using a single metrology tool
JP4990548B2 (ja) * 2006-04-07 2012-08-01 株式会社日立製作所 半導体装置の製造方法
US20090306941A1 (en) * 2006-05-15 2009-12-10 Michael Kotelyanskii Structure Model description and use for scatterometry-based semiconductor manufacturing process metrology
US7623238B1 (en) 2006-06-07 2009-11-24 J.A. Woollam Co., Inc. System for and method of reducing change caused by motor vibrations in ellipsometers, polarimeters or the like
US7469164B2 (en) * 2006-06-26 2008-12-23 Nanometrics Incorporated Method and apparatus for process control with in-die metrology
US7515283B2 (en) * 2006-07-11 2009-04-07 Tokyo Electron, Ltd. Parallel profile determination in optical metrology
US7469192B2 (en) * 2006-07-11 2008-12-23 Tokyo Electron Ltd. Parallel profile determination for an optical metrology system
US7522288B2 (en) 2006-07-21 2009-04-21 Zygo Corporation Compensation of systematic effects in low coherence interferometry
US8139232B2 (en) 2006-07-27 2012-03-20 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
JP5186129B2 (ja) * 2006-08-25 2013-04-17 大日本スクリーン製造株式会社 溝パターンの深さの測定方法および測定装置
JP5145673B2 (ja) * 2006-08-30 2013-02-20 住友電気工業株式会社 レーザ加工方法およびレーザ加工装置
US7630087B2 (en) * 2006-11-22 2009-12-08 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080129986A1 (en) * 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
EP2097713A4 (en) 2006-12-22 2010-09-15 Zygo Corp DEVICE AND METHOD FOR MEASURING SURFACE PROPERTIES
DE102006062036B4 (de) * 2006-12-29 2017-10-05 Globalfoundries Inc. Bewertung von mechanischen Spannungen in Mikrostrukturbauelementen in der Prozesslinie
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
US7596422B2 (en) * 2007-01-12 2009-09-29 Tokyo Electron Limited Determining one or more profile parameters of a structure using optical metrology and a correlation between profile models and key profile shape variables
US7889355B2 (en) 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
TWI416096B (zh) 2007-07-11 2013-11-21 Nova Measuring Instr Ltd 用於監控圖案化結構的性質之方法及系統
US7716003B1 (en) * 2007-07-16 2010-05-11 Kla-Tencor Technologies Corporation Model-based measurement of semiconductor device features with feed forward use of data for dimensionality reduction
US7619746B2 (en) 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
US8699027B2 (en) * 2007-07-27 2014-04-15 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
US7826072B1 (en) 2007-08-16 2010-11-02 Kla-Tencor Technologies Corporation Method for optimizing the configuration of a scatterometry measurement system
JP4950813B2 (ja) * 2007-08-30 2012-06-13 大日本スクリーン製造株式会社 分光エリプソメータ、膜厚測定装置および分光エリプソメータのフォーカス調整方法
US7838309B1 (en) * 2007-09-07 2010-11-23 Kla-Tencor Corporation Measurement and control of strained devices
NL1036018A1 (nl) * 2007-10-09 2009-04-15 Asml Netherlands Bv A method of optimizing a model, a method of measuring a property, a device manufacturing method, a spectrometer and a lithographic apparatus.
US8072611B2 (en) 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
KR101274517B1 (ko) 2007-11-13 2013-06-13 지고 코포레이션 편광 스캐닝을 이용한 간섭계
US8126677B2 (en) 2007-12-14 2012-02-28 Zygo Corporation Analyzing surface structure using scanning interferometry
DE102007063415B4 (de) * 2007-12-18 2014-12-04 BAM Bundesanstalt für Materialforschung und -prüfung Verfahren und Vorrichtung zum Erkennen eines Erzeugnisses
US9006001B2 (en) * 2007-12-24 2015-04-14 Texas Instruments Incorporated Simple scatterometry structure for Si recess etch control
JP2009162494A (ja) * 2007-12-28 2009-07-23 Nec Electronics Corp 計測方法
US20090219537A1 (en) * 2008-02-28 2009-09-03 Phillip Walsh Method and apparatus for using multiple relative reflectance measurements to determine properties of a sample using vacuum ultra violet wavelengths
US8126694B2 (en) 2008-05-02 2012-02-28 Nanometrics Incorporated Modeling conductive patterns using an effective model
US20090279172A1 (en) * 2008-05-12 2009-11-12 Higashi Robert E Microelectromechanical lamellar grating
US8090558B1 (en) 2008-06-09 2012-01-03 Kla-Tencor Corporation Optical parametric model optimization
US20100059657A1 (en) * 2008-09-05 2010-03-11 Nikon Corporation System and Method Producing Data For Correcting Autofocus Error in An Imaging Optical System
US8120781B2 (en) 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
US8195435B2 (en) * 2008-12-19 2012-06-05 Tokyo Electron Limited Hybrid diffraction modeling of diffracting structures
US8125641B2 (en) * 2009-03-27 2012-02-28 N&K Technology, Inc. Method and apparatus for phase-compensated sensitivity-enhanced spectroscopy (PCSES)
US8153987B2 (en) 2009-05-22 2012-04-10 Jordan Valley Semiconductors Ltd. Automated calibration methodology for VUV metrology system
NL2004545A (en) * 2009-06-09 2010-12-13 Asml Netherlands Bv Lithographic method and arrangement
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
WO2011045132A1 (en) * 2009-10-12 2011-04-21 Asml Netherlands B.V. Method, inspection apparatus and substrate for determining an approximate structure of an object on the substrate
US8467056B1 (en) * 2009-11-09 2013-06-18 The United States Of America As Represented By The Secretary Of The Navy Variable angle, fiber optic coupled, light scattering apparatus
US20110276319A1 (en) * 2010-05-06 2011-11-10 Jonathan Michael Madsen Determination of material optical properties for optical metrology of structures
KR101649015B1 (ko) * 2010-08-02 2016-08-17 다이니폰 인사츠 가부시키가이샤 광학 적층체, 편광판 및 화상 표시 장치
US8867041B2 (en) 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
US9442063B2 (en) * 2011-06-27 2016-09-13 Kla-Tencor Corporation Measurement of composition for thin films
JP2013032981A (ja) * 2011-08-02 2013-02-14 Otsuka Denshi Co Ltd 膜厚測定装置
US8468471B2 (en) 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US10346962B2 (en) * 2012-02-10 2019-07-09 Corning Incorporated Nondestructive method to predict isostatic strength in ceramic substrates
WO2013124131A2 (en) * 2012-02-21 2013-08-29 Asml Netherlands B.V. Inspection apparatus and method
US9134807B2 (en) 2012-03-02 2015-09-15 Microsoft Technology Licensing, Llc Pressure sensitive key normalization
US9075566B2 (en) 2012-03-02 2015-07-07 Microsoft Technoogy Licensing, LLC Flexible hinge spine
US20130242303A1 (en) * 2012-03-13 2013-09-19 Nanometrics Incorporated Dual angles of incidence and azimuth angles optical metrology
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
US10354929B2 (en) * 2012-05-08 2019-07-16 Kla-Tencor Corporation Measurement recipe optimization based on spectral sensitivity and process variation
US20130300590A1 (en) 2012-05-14 2013-11-14 Paul Henry Dietz Audio Feedback
WO2013181156A1 (en) * 2012-05-29 2013-12-05 Kla-Tencor Corporation Small spot size spectroscopic ellipsometer
WO2013188602A1 (en) * 2012-06-13 2013-12-19 Kla-Tencor Corporation Optical surface scanning systems and methods
CN103575662B (zh) * 2012-08-09 2016-05-04 北京智朗芯光科技有限公司 光学测量系统
EP2901115A4 (en) * 2012-09-24 2016-07-20 Tornado Spectral Systems Inc MULTIFUNCTION SPECTROMETER ON A CHIP WITH SINGLE DETECTOR ARRAY
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US8912495B2 (en) 2012-11-21 2014-12-16 Kla-Tencor Corp. Multi-spectral defect inspection for 3D wafers
US8994943B2 (en) * 2012-11-30 2015-03-31 Infineon Technologies Ag Selectivity by polarization
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
CN103323403B (zh) * 2013-05-27 2015-04-15 浙江大学 一种低辐射镀膜玻璃的光学参数检测方法
US10481088B2 (en) * 2013-06-04 2019-11-19 Kla-Tencor Corporation Automatic determination of fourier harmonic order for computation of spectral information for diffraction structures
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
KR101830850B1 (ko) * 2013-07-03 2018-02-21 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법, 리소그래피 장치, 리소그래피 처리 셀 및 디바이스 제조 방법
KR20150085956A (ko) 2014-01-17 2015-07-27 삼성전자주식회사 반도체 소자의 계측 방법, 반도체 계측 시스템, 및 이들을 이용한 반도체 소자의 제조방법
US9546962B2 (en) 2014-02-12 2017-01-17 Kla-Tencor Corporation Multi-spot scanning collection optics
JP6267550B2 (ja) * 2014-03-12 2018-01-24 キヤノン株式会社 測定装置および測定方法
EP3132467A4 (en) 2014-04-17 2017-11-01 Femtometrix, Inc. Wafer metrology technologies
US9494535B2 (en) * 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
JP6035279B2 (ja) 2014-05-08 2016-11-30 東京エレクトロン株式会社 膜厚測定装置、膜厚測定方法、プログラム及びコンピュータ記憶媒体
KR20170015984A (ko) 2014-06-30 2017-02-10 에이에스엠엘 네델란즈 비.브이. 선량 결정 방법, 검사 장치, 패터닝 디바이스, 기판, 및 디바이스 제조 방법
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US9304235B2 (en) 2014-07-30 2016-04-05 Microsoft Technology Licensing, Llc Microfabrication
US10324733B2 (en) 2014-07-30 2019-06-18 Microsoft Technology Licensing, Llc Shutdown notifications
US10678412B2 (en) 2014-07-31 2020-06-09 Microsoft Technology Licensing, Llc Dynamic joint dividers for application windows
US10254942B2 (en) 2014-07-31 2019-04-09 Microsoft Technology Licensing, Llc Adaptive sizing and positioning of application windows
US10592080B2 (en) 2014-07-31 2020-03-17 Microsoft Technology Licensing, Llc Assisted presentation of application windows
US9787576B2 (en) 2014-07-31 2017-10-10 Microsoft Technology Licensing, Llc Propagating routing awareness for autonomous networks
EP3218924B1 (en) * 2014-11-12 2020-02-12 Femtometrix, Inc. Systems for parsing material properties from within shg signals
US10151634B2 (en) 2014-11-16 2018-12-11 Ibrahim Abdulhalim Multi-spectral polarimetric variable optical device and imager
KR20160066448A (ko) 2014-12-02 2016-06-10 삼성전자주식회사 표면 검사 방법
US10018844B2 (en) 2015-02-09 2018-07-10 Microsoft Technology Licensing, Llc Wearable image display system
US11086216B2 (en) 2015-02-09 2021-08-10 Microsoft Technology Licensing, Llc Generating electronic components
US9535253B2 (en) 2015-02-09 2017-01-03 Microsoft Technology Licensing, Llc Display system
US10317677B2 (en) 2015-02-09 2019-06-11 Microsoft Technology Licensing, Llc Display system
US9429692B1 (en) 2015-02-09 2016-08-30 Microsoft Technology Licensing, Llc Optical components
US9423360B1 (en) 2015-02-09 2016-08-23 Microsoft Technology Licensing, Llc Optical components
US9827209B2 (en) 2015-02-09 2017-11-28 Microsoft Technology Licensing, Llc Display system
US9513480B2 (en) 2015-02-09 2016-12-06 Microsoft Technology Licensing, Llc Waveguide
US9372347B1 (en) 2015-02-09 2016-06-21 Microsoft Technology Licensing, Llc Display system
US9970863B2 (en) * 2015-02-22 2018-05-15 Kla-Tencor Corporation Optical metrology with reduced focus error sensitivity
US20170045355A1 (en) * 2015-08-12 2017-02-16 Industrial Technology Research Institute Scattering measurement system and method
US10094774B2 (en) * 2015-08-12 2018-10-09 Industrial Technology Research Institute Scattering measurement system and method
US10989664B2 (en) 2015-09-03 2021-04-27 California Institute Of Technology Optical systems and methods of characterizing high-k dielectrics
CN105277500B (zh) * 2015-12-08 2018-05-25 上海老盛昌配送有限公司 一种苏丹红紫外固化检测装置与方法
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US9728470B1 (en) * 2016-05-10 2017-08-08 Infineon Technologies Austria Ag Semiconductor structure and methods
KR20180028787A (ko) * 2016-09-09 2018-03-19 삼성전자주식회사 디펙 검사 시스템과 방법, 및 그 검사 방법을 이용한 반도체 소자 제조방법
TWI716684B (zh) * 2018-05-09 2021-01-21 華邦電子股份有限公司 臨界尺寸量測方法及用於量測臨界尺寸的影像處理裝置
KR20210021308A (ko) 2018-05-15 2021-02-25 펨토매트릭스, 인코포레이티드. 제2고조파 발생(shg) 광학 검사 시스템 설계
IL280200B2 (en) * 2018-07-25 2024-02-01 Nova Ltd Optical technique for material characterization
CN108965735B (zh) * 2018-09-27 2023-11-03 武汉华星光电技术有限公司 对焦补偿的方法及其设备
KR102139995B1 (ko) * 2018-10-24 2020-07-31 한국표준과학연구원 수직입사 및 경사입사 결합형 타원계측기 및 이를 이용한 시편의 광물성 측정 방법
CN109405815B (zh) * 2018-12-05 2024-03-19 长安大学 一种用于隧道内测量周边收敛的快速固定装置及方法
US10804167B2 (en) 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
US11060982B2 (en) * 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
US10921721B1 (en) * 2019-09-13 2021-02-16 Applied Materials, Inc. Measurement system and grating pattern array
KR20210032663A (ko) 2019-09-17 2021-03-25 삼성전자주식회사 웨이퍼 검사 장치
JP7083856B2 (ja) * 2020-01-07 2022-06-13 日本電子株式会社 高さ測定装置、荷電粒子線装置、および高さ測定方法
US11939665B2 (en) * 2020-03-10 2024-03-26 Tokyo Electron Limted Film thickness measuring apparatus and film thickness measuring method, and film forming system and film forming method
US20220290974A1 (en) * 2021-03-11 2022-09-15 Applied Materials Israel Ltd. Optical metrology models for in-line film thickness measurements
CN113310907B (zh) * 2021-06-09 2022-07-05 华中科技大学 一种磁性椭偏测量装置
EP4202409A1 (en) * 2021-12-22 2023-06-28 Munster Technological University Resonant scattering spectroscopy based wafer scale testing

Family Cites Families (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3426201A (en) * 1965-10-12 1969-02-04 Texas Instruments Inc Method and apparatus for measuring the thickness of films by means of elliptical polarization of reflected infrared radiation
US3547074A (en) 1967-04-13 1970-12-15 Block Engineering Apparatus for forming microelements
US3667846A (en) * 1969-07-28 1972-06-06 Charles Nater Optical surface inspection apparatus
US3671126A (en) * 1970-02-19 1972-06-20 Ati Inc Noncontacting optical probe
US4171917A (en) 1974-07-02 1979-10-23 Centre De Recherches Metallurgiques-Centrum Voor Research In De Metallurgie Determining the profile of a surface of an object
US4039370A (en) 1975-06-23 1977-08-02 Rca Corporation Optically monitoring the undercutting of a layer being etched
CA1043463A (en) * 1975-12-05 1978-11-28 Paul A. Mueller Lumber inspection and optimization system
JPS5335567A (en) 1976-09-13 1978-04-03 Shinetsu Chem Ind Co Apparatus for measuring thickness of semiconductor wafer
US4173788A (en) 1976-09-27 1979-11-06 Atmospheric Sciences, Inc. Method and apparatus for measuring dimensions
US4146327A (en) * 1976-12-27 1979-03-27 Autech Optical triangulation gauging system
US4303341A (en) 1977-12-19 1981-12-01 Rca Corporation Optically testing the lateral dimensions of a pattern
US4200396A (en) 1977-12-19 1980-04-29 Rca Corporation Optically testing the lateral dimensions of a pattern
US4141780A (en) 1977-12-19 1979-02-27 Rca Corporation Optically monitoring the thickness of a depositing layer
US5280179A (en) * 1979-04-30 1994-01-18 Sensor Adaptive Machines Incorporated Method and apparatus utilizing an orientation code for automatically guiding a robot
US5164579A (en) 1979-04-30 1992-11-17 Diffracto Ltd. Method and apparatus for electro-optically determining the dimension, location and attitude of objects including light spot centroid determination
US4373804A (en) * 1979-04-30 1983-02-15 Diffracto Ltd. Method and apparatus for electro-optically determining the dimension, location and attitude of objects
HU186726B (en) 1979-06-08 1985-09-30 Energiagazdalkodasi Intezet Hybrid heat pump
US4330213A (en) 1980-02-14 1982-05-18 Rca Corporation Optical line width measuring apparatus and method
US5112131A (en) 1981-02-27 1992-05-12 Diffracto, Ltd. Controlled machining of combustion chambers, gears and other surfaces
EP0061237B1 (en) 1981-03-16 1986-04-16 Energy Conversion Devices, Inc. Optical methods for controlling layer thickness
US4516855A (en) * 1981-04-03 1985-05-14 International Business Machines Corporation Method and apparatus for determining the polarization state of a light wave field
JPS57187604A (en) 1981-05-14 1982-11-18 Toshiba Corp Measurement device of profile
US4408884A (en) 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
JPS58206120A (ja) 1982-05-26 1983-12-01 Hitachi Ltd 半導体形成プロセス制御方式
JPS5999304A (ja) * 1982-11-30 1984-06-08 Asahi Optical Co Ltd 顕微鏡系のレーザ光による比較測長装置
JPS59140420A (ja) * 1983-02-01 1984-08-11 Canon Inc 半導体レ−ザ−を用いた光源装置
SU1146549A1 (ru) 1983-04-08 1985-03-23 Предприятие П/Я В-2892 Способ измерени линейного размера элементов топологического рисунка микросхем
JPH0750664B2 (ja) * 1983-06-23 1995-05-31 富士通株式会社 レチクルの検査方法
JPS6033003A (ja) 1983-08-03 1985-02-20 Hitachi Ltd 形状測定装置
JPS6074528A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd レジストパタ−ン検査装置
JPS6086843A (ja) 1983-10-19 1985-05-16 Hitachi Ltd 偏光解析装置を有する加工装置
JPS60128602A (ja) 1983-12-16 1985-07-09 株式会社日立製作所 多連多回転ボリウム
US4615620A (en) 1983-12-26 1986-10-07 Hitachi, Ltd. Apparatus for measuring the depth of fine engraved patterns
USRE33424E (en) 1983-12-26 1990-11-06 Hitachi, Ltd. Apparatus and method for measuring the depth of fine engraved patterns
US4672196A (en) * 1984-02-02 1987-06-09 Canino Lawrence S Method and apparatus for measuring properties of thin materials using polarized light
JPS60166808A (ja) 1984-02-10 1985-08-30 Toshiba Corp 形状測定装置
JPS60236005A (ja) 1984-05-09 1985-11-22 Nec Corp 線巾測定方法
US4695162A (en) * 1984-05-24 1987-09-22 Victor Company Of Japan, Ltd. Film thickness measuring apparatus
US4653924A (en) * 1984-06-12 1987-03-31 Victor Company Of Japan, Ltd. Rotating analyzer type ellipsometer
JPS614905A (ja) 1984-06-19 1986-01-10 Nec Corp 線幅測定方法
JPS614906A (ja) 1984-06-19 1986-01-10 Nec Corp 線幅測定方法
JPS6139852A (ja) 1984-07-31 1986-02-26 Toshiba Electric Equip Corp 位相制御回路の保護回路
SU1226042A1 (ru) 1984-08-18 1986-04-23 Предприятие П/Я В-2892 Способ измерени толщины пленок на подложках
DE3434575C1 (de) * 1984-09-20 1986-03-13 Sagax Instrument AB, Sundbyberg Ellipsometrische Vorrichtung zur Untersuchung der physikalischen Eigenschaften der Oberflaeche einer Probe
US4687325A (en) * 1985-03-28 1987-08-18 General Electric Company Three-dimensional range camera
US4689491A (en) * 1985-04-19 1987-08-25 Datasonics Corp. Semiconductor wafer scanning system
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4668860A (en) * 1985-10-09 1987-05-26 Optical Coating Laboratory, Inc. Scattermeter using polarized light to distinguish between bulk and surface scatter
JPS62150251A (ja) 1985-12-24 1987-07-04 Nec Corp デ−タベ−ス型フオトマスク検査装置
US5329357A (en) 1986-03-06 1994-07-12 Sopra-Societe De Production Et De Recherches Appliquees Spectroscopic ellipsometry apparatus including an optical fiber
FR2597976B1 (fr) 1986-04-24 1990-11-09 Tissier Annie Procede de mesure de parametres caracteristiques d'une couche mince et appareil pour la mise en oeuvre de ce procede
FR2605100B1 (fr) * 1986-10-10 1988-12-09 Labo Electronique Physique Dispositif optique d'eclairement d'un echantillon pour un ellipsometre spectroscopique a haute resolution laterale
JPH0769154B2 (ja) 1987-06-10 1995-07-26 富士写真フイルム株式会社 レジストパタ−ンの形状計測方法
JPS6428509A (en) 1987-07-23 1989-01-31 Nippon Kokan Kk Apparatus for measuring thickness of film
US4905170A (en) 1987-11-12 1990-02-27 Forouhi Abdul R Method and apparatus of determining optical constants of amorphous semiconductors and dielectrics
US5087121A (en) * 1987-12-01 1992-02-11 Canon Kabushiki Kaisha Depth/height measuring device
JPH0663745B2 (ja) 1988-01-13 1994-08-22 日本電気株式会社 格子周期測定装置
FR2628211B1 (fr) * 1988-03-04 1993-05-14 Vareille Aime Analyseur par ellipsometrie, procede d'analyse ellipsometrique d'un echantillon et application a la mesure de variation d'epaisseur des couches minces
US5007708A (en) * 1988-07-26 1991-04-16 Georgia Tech Research Corporation Technique for producing antireflection grating surfaces on dielectrics, semiconductors and metals
US5393624A (en) * 1988-07-29 1995-02-28 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device
SU1695145A1 (ru) 1988-08-03 1991-11-30 Институт Радиотехники И Электроники Ан Ссср Эллипсометр
US4964726A (en) 1988-09-27 1990-10-23 General Electric Company Apparatus and method for optical dimension measurement using interference of scattered electromagnetic energy
NL8802920A (nl) 1988-11-28 1990-06-18 Hoogovens Groep Bv Laagdiktemeter.
US4991971A (en) * 1989-02-13 1991-02-12 United Technologies Corporation Fiber optic scatterometer for measuring optical surface roughness
US5076696A (en) * 1989-03-16 1991-12-31 The Johns Hopkins University Dynamic imaging microellipsometry
DE3914631A1 (de) 1989-05-03 1990-11-08 Basf Ag Verfahren zur untersuchung der physikalischen eigenschaften duenner schichten
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5042951A (en) * 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
DD289541A5 (de) 1989-08-04 1991-05-02 ��@�K@�������������@�K@��������������@��������k�� Verfahren zur herstellung von 11beta-aryl-16 alpha, 17 alph-cyclohexanoestra-4,9-dienen
JP3187827B2 (ja) 1989-12-20 2001-07-16 株式会社日立製作所 パターン検査方法および装置
US5166752A (en) * 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
DE4105192C2 (de) 1990-02-26 1996-07-04 Stefan Oelckers Verfahren zum Bestimmen von Oberflächenrauhigkeiten und dergleichen
JPH0424541A (ja) 1990-05-21 1992-01-28 Mitsui Mining & Smelting Co Ltd 内部欠陥測定方法および装置
US5091320A (en) * 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5114233A (en) 1990-10-09 1992-05-19 At&T Bell Laboratories Method for inspecting etched workpieces
US5032734A (en) * 1990-10-15 1991-07-16 Vti, Inc. Method and apparatus for nondestructively measuring micro defects in materials
JP2927934B2 (ja) * 1990-11-16 1999-07-28 株式会社リコー 薄膜測定方法および装置
US5411760A (en) * 1990-11-16 1995-05-02 Cal-West Equipment Company, Inc. Protective coating and method of using such coating
IL96483A (en) 1990-11-27 1995-07-31 Orbotech Ltd Optical inspection method and apparatus
EP0527230B1 (en) * 1991-01-30 1996-06-05 Nkk Corporation Ellipsometer
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
US5674652A (en) 1991-02-28 1997-10-07 University Of New Mexico Diffracted light from latent images in photoresist for exposure control
DE4108329C2 (de) 1991-03-14 1993-10-14 Plasmos Gmbh Prozesstechnik Verfahren zum Bestimmen von Materialparametern, nämlich Dicke, Brechungsindex und Absorptionskoeffizient, einzelner Schichten
JP3323537B2 (ja) 1991-07-09 2002-09-09 キヤノン株式会社 微細構造評価装置及び微細構造評価法
US5337146A (en) * 1992-03-30 1994-08-09 University Of New Orleans Diffraction-grating photopolarimeters and spectrophotopolarimeters
US5486919A (en) * 1992-04-27 1996-01-23 Canon Kabushiki Kaisha Inspection method and apparatus for inspecting a particle, if any, on a substrate having a pattern
US5313044A (en) * 1992-04-28 1994-05-17 Duke University Method and apparatus for real-time wafer temperature and thin film growth measurement and control in a lamp-heated rapid thermal processor
US5386317A (en) * 1992-05-13 1995-01-31 Prometrix Corporation Method and apparatus for imaging dense linewidth features using an optical microscope
US5747813A (en) * 1992-06-16 1998-05-05 Kla-Tencop. Corporation Broadband microspectro-reflectometer
US5494829A (en) * 1992-07-31 1996-02-27 Biostar, Inc. Devices and methods for detection of an analyte based upon light interference
US5337150A (en) * 1992-08-04 1994-08-09 Hughes Aircraft Company Apparatus and method for performing thin film layer thickness metrology using a correlation reflectometer
US5361137A (en) 1992-08-31 1994-11-01 Texas Instruments Incorporated Process control for submicron linewidth measurement
US5521706A (en) * 1992-09-18 1996-05-28 J. A. Woollam Co. Inc. System and method for compensating polarization-dependent sensitivity of dispersive optics in a rotating analyzer ellipsometer system
US5504582A (en) * 1992-09-18 1996-04-02 J. A. Woollam Co. Inc. System and method for compensating polarization-dependent sensitivity of dispersive optics in a rotating analyzer ellipsometer system
US5666201A (en) * 1992-09-18 1997-09-09 J.A. Woollam Co. Inc. Multiple order dispersive optics system and method of use
US5519793A (en) * 1992-11-05 1996-05-21 The United States Of America As Represented By The Secretary Of The Interior Apparatus and method for computer vision measurements
US5365340A (en) * 1992-12-10 1994-11-15 Hughes Aircraft Company Apparatus and method for measuring the thickness of thin films
DE4301889A1 (de) * 1993-01-14 1994-07-21 Sentech Instr Gmbh Verfahren zum Bestimmen charakteristischer Größen transparenter Schichten mit Hilfe der Ellipsometrie
IL104708A (en) 1993-02-12 1995-12-31 Orbotech Ltd Device and method for optical inspection of items
US5432607A (en) * 1993-02-22 1995-07-11 International Business Machines Corporation Method and apparatus for inspecting patterned thin films using diffracted beam ellipsometry
US5381233A (en) 1993-03-03 1995-01-10 National Tsing Hua University Polarized-light scatterometer for measuring the thickness of a film coated on the partial of a substrate
EP0622624B1 (en) * 1993-04-23 1999-12-01 Research Development Corporation Of Japan A method for observing film thickness and/or refractive index
US5408322A (en) * 1993-04-26 1995-04-18 Materials Research Corporation Self aligning in-situ ellipsometer and method of using for process monitoring
US5399229A (en) * 1993-05-13 1995-03-21 Texas Instruments Incorporated System and method for monitoring and evaluating semiconductor wafer fabrication
US5412473A (en) * 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US5355212A (en) 1993-07-19 1994-10-11 Tencor Instruments Process for inspecting patterned wafers
US5416594A (en) 1993-07-20 1995-05-16 Tencor Instruments Surface scanner with thin film gauge
US5363171A (en) 1993-07-29 1994-11-08 The United States Of America As Represented By The Director, National Security Agency Photolithography exposure tool and method for in situ photoresist measurments and exposure control
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
US5625453A (en) * 1993-10-26 1997-04-29 Canon Kabushiki Kaisha System and method for detecting the relative positional deviation between diffraction gratings and for measuring the width of a line constituting a diffraction grating
IL107549A (en) * 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US5494697A (en) * 1993-11-15 1996-02-27 At&T Corp. Process for fabricating a device using an ellipsometric technique
US5555472A (en) 1993-12-22 1996-09-10 Integrated Process Equipment Corp. Method and apparatus for measuring film thickness in multilayer thin film stack by comparison to a reference library of theoretical signatures
GB9326247D0 (en) 1993-12-23 1994-02-23 British Petroleum Co Plc Method of determining thickness of coating
JPH07181438A (ja) * 1993-12-24 1995-07-21 Sharp Corp 液晶表示装置及びその欠陥修正方法
US5666199A (en) * 1994-07-11 1997-09-09 Phillips Petroleum Company Apparatus and process for detecting the presence of gel defects in oriented sheets or films based on polarization detection
US5604581A (en) * 1994-10-07 1997-02-18 On-Line Technologies, Inc. Film thickness and free carrier concentration analysis method and apparatus
KR960015001A (ko) * 1994-10-07 1996-05-22 가나이 쓰토무 반도체 기판의 제조방법과 피검사체상의 패턴결함을 검사하기 위한 방법 및 장치
WO1996012941A1 (en) * 1994-10-21 1996-05-02 Therma-Wave, Inc. Spectroscopic ellipsometer
US5555474A (en) * 1994-12-21 1996-09-10 Integrated Process Equipment Corp. Automatic rejection of diffraction effects in thin film metrology
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5607800A (en) 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
FR2731074B1 (fr) 1995-02-27 1997-05-16 Instruments Sa Procede de mesure ellipsometrique, ellipsometre et dispositif de controle d'elaboration de couches les mettant en oeuvre
US6118525A (en) 1995-03-06 2000-09-12 Ade Optical Systems Corporation Wafer inspection system for distinguishing pits and particles
JPH10501072A (ja) * 1995-03-20 1998-01-27 カンサス ステイト ユニバーシティ リサーチ フアウンデーション エリプソメトリー顕微鏡
US5777744A (en) * 1995-05-16 1998-07-07 Canon Kabushiki Kaisha Exposure state detecting system and exposure apparatus using the same
US5625455A (en) * 1995-06-06 1997-04-29 Board Of Regents, The University Of Texas System Rotating analyzer ellipsometer and ellipsometry technique
FR2737572B1 (fr) * 1995-08-03 1997-10-24 Centre Nat Rech Scient Ellipsometre multi-detecteurs et procede de mesure ellipsometrique multi-detecteurs
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5638178A (en) * 1995-09-01 1997-06-10 Phase Metrics Imaging polarimeter detector for measurement of small spacings
US5739909A (en) 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
US5835221A (en) * 1995-10-16 1998-11-10 Lucent Technologies Inc. Process for fabricating a device using polarized light to determine film thickness
US5835220A (en) 1995-10-27 1998-11-10 Nkk Corporation Method and apparatus for detecting surface flaws
US5654903A (en) * 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US6104486A (en) * 1995-12-28 2000-08-15 Fujitsu Limited Fabrication process of a semiconductor device using ellipsometry
JP3712481B2 (ja) * 1995-12-28 2005-11-02 富士通株式会社 半導体装置の製造方法
US5825498A (en) * 1996-02-05 1998-10-20 Micron Technology, Inc. Ultraviolet light reflectance method for evaluating the surface characteristics of opaque materials
US5982496A (en) 1996-03-11 1999-11-09 Vlsi Technology, Inc. Thin film thickness and optimal focus measuring using reflectivity
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
JP3602646B2 (ja) 1996-05-21 2004-12-15 株式会社日立製作所 試料の寸法測定装置
US5880838A (en) * 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US6594012B2 (en) * 1996-07-05 2003-07-15 Canon Kabushiki Kaisha Exposure apparatus
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5956148A (en) * 1996-12-20 1999-09-21 Texas Instruments Incorporated Semiconductor surface measurement system and method
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US6278519B1 (en) * 1998-01-29 2001-08-21 Therma-Wave, Inc. Apparatus for analyzing multi-layer thin film stacks on semiconductors
US6031615A (en) * 1997-09-22 2000-02-29 Candela Instruments System and method for simultaneously measuring lubricant thickness and degradation, thin film thickness and wear, and surface roughness
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6476920B1 (en) 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
IL123727A (en) * 1998-03-18 2002-05-23 Nova Measuring Instr Ltd Method and apparatus for measurement of patterned structures
US6836324B2 (en) 1998-03-18 2004-12-28 Nova Measuring Instruments Ltd. Method and apparatus for measurements of patterned structures
US5917594A (en) * 1998-04-08 1999-06-29 Kla-Tencor Corporation Spectroscopic measurement system using an off-axis spherical mirror and refractive elements
US6271047B1 (en) * 1998-05-21 2001-08-07 Nikon Corporation Layer-thickness detection methods and apparatus for wafers and the like, and polishing apparatus comprising same
IL143478A (en) 1998-12-04 2005-09-25 Fraunhofer Ges Forschung Method and device for optically monitoring processes for manufacturing microstructured surfaces in the production of semiconductors
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US6525818B1 (en) 2000-02-08 2003-02-25 Infineon Technologies Ag Overlay alignment system using polarization schemes
US6982792B1 (en) * 2000-03-21 2006-01-03 J.A. Woollam Co. Inc Spectrophotometer, ellipsometer, polarimeter and the like systems
US6429943B1 (en) * 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6603542B1 (en) * 2000-06-14 2003-08-05 Qc Optics, Inc. High sensitivity optical inspection system and method for detecting flaws on a diffractive surface
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6728663B2 (en) * 2000-09-13 2004-04-27 Accent Optical Technologies, Inc. Structure identification using scattering signatures
US7099005B1 (en) 2000-09-27 2006-08-29 Kla-Tencor Technologies Corporation System for scatterometric measurements and applications
US6678043B1 (en) * 2000-10-31 2004-01-13 Gerard H. Vurens Methods and apparatus for surface analysis
US6900892B2 (en) * 2000-12-19 2005-05-31 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
WO2002065545A2 (en) 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6614540B1 (en) 2001-06-28 2003-09-02 Advanced Micro Devices, Inc. Method and apparatus for determining feature characteristics using scatterometry
AU2002360738A1 (en) * 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
KR100689743B1 (ko) 2004-10-01 2007-03-08 삼성전자주식회사 저잡음 증폭기의 정전기 보호 및 입력 임피던스 정합 회로및 저잡음 증폭기
US7515253B2 (en) * 2005-01-12 2009-04-07 Kla-Tencor Technologies Corporation System for measuring a sample with a layer containing a periodic diffracting structure
US7372579B2 (en) * 2006-04-20 2008-05-13 Infineon Technologies, Ag Apparatus and method for monitoring trench profiles and for spectrometrologic analysis

Similar Documents

Publication Publication Date Title
JP2002506198A5 (ja) 回折構造体、広帯域、偏光、エリプソメトリおよび下地構造体の測定
DE60025791D1 (de) Ellipsometer
DE69922942D1 (de) Messung einer difraktionsstruktur, breitbandig, polarisierend und ellipsometrisch und eine unterliegende struktur
CY2012009I1 (el) Αναστολεις της κυκλοπροπυλ-συντηκωμενης διπεπτυλοπεπτιδασης 4, βασισμενοι σε πυρρολιδινη, και μεθοδοι για την παρασκευη των και την χρηση των
ID30590A (id) Celana sekali pakai jenis-celana pendek
EE05387B1 (et) KinasoliiniÁderivaadid,ÁnendeÁvalmistamismeetodÁjaÁkasutamine
DK1688149T3 (da) Pastaformulering omfattende silica
DK1251848T3 (da) Gyraseinhibitorer og anvendelse deraf
IL140179A0 (en) Combined measurement of patterned structures
PT1326853E (pt) Novos tiadiazoles e oxadiazoles e sua utilizacao como inibidores da fosfodiesterase-7
NO20015542D0 (no) Fremgangsmåte for fremstilling og anvendelse av N- desmetylzopikloner
NO20042612L (no) Preparat for potensiering av et opiatanalgetikum og anvendelse derav for behandling av smerte hos et pattedyr.
DE60115463D1 (de) Spektrometrisches instrument mit kleinem brennfleck und reduzierter polarisation
NO20025510L (no) Dibenzoazulen-derivater samt anvendelse derav
DE60117753D1 (de) Raupenband kaoutchouk
NO20024810D0 (no) Dermatologisk preparat samt anvendelse derav
NO20024806D0 (no) Apomorfinderivater samt anvendelse derav
DE60217989T8 (de) Verwendung eines spektroskopischen Ellipsometers
FI20001715A0 (fi) Rankoihin liittyvät järjestelyt ja menetelmät
DE60125131T8 (de) Spektralellipsometer
NO20024526L (no) Måling av membranfuktighet
FI20000194A0 (fi) Menetelmä kaupankäynnissä
IT1318476B1 (it) Perno endocanalare per uso odontoiatrico e relativo metodo direalizzazione.
NO20014444D0 (no) Topisk appliserbare bioadhesive preparater samt fremgangsmåter for anvendelse og fremstilling derav
NO20006617L (no) Produktformulering og fremgangsmåte for å forbedre effekten av systemiske herbicider