JP2002270608A - 半導体集積回路装置とその製造方法 - Google Patents

半導体集積回路装置とその製造方法

Info

Publication number
JP2002270608A
JP2002270608A JP2001067165A JP2001067165A JP2002270608A JP 2002270608 A JP2002270608 A JP 2002270608A JP 2001067165 A JP2001067165 A JP 2001067165A JP 2001067165 A JP2001067165 A JP 2001067165A JP 2002270608 A JP2002270608 A JP 2002270608A
Authority
JP
Japan
Prior art keywords
layer
wiring
moisture
ring groove
via hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001067165A
Other languages
English (en)
Other versions
JP4118029B2 (ja
Inventor
Daisuke Komada
大輔 駒田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu VLSI Ltd
Fujitsu Ltd
Original Assignee
Fujitsu VLSI Ltd
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu VLSI Ltd, Fujitsu Ltd filed Critical Fujitsu VLSI Ltd
Priority to JP2001067165A priority Critical patent/JP4118029B2/ja
Priority to KR1020010058014A priority patent/KR100757395B1/ko
Priority to US09/955,333 priority patent/US6498089B2/en
Priority to TW090123618A priority patent/TW533485B/zh
Publication of JP2002270608A publication Critical patent/JP2002270608A/ja
Application granted granted Critical
Publication of JP4118029B2 publication Critical patent/JP4118029B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 ビア孔と耐湿リング溝とのエッチングにおい
て、エッチングストッパ膜の膜減りを低減し、下層配線
へのダメージを最小にする。 【解決手段】 半導体集積回路装置は、半導体基板中央
部の回路領域に形成された複数の半導体素子と、半導体
基板上に形成された複数の絶縁層と、絶縁層内に形成さ
れたビア孔と配線パターン溝とを有する複数段の配線層
用空洞と、ビア孔を埋めるビア導電体と配線パターン溝
を埋める配線パターンとを有する複数段の配線層と、回
路領域をループ状に取り囲んで、絶縁層を貫通して形成
され、複数段の配線層用空洞と対応した複数段構成の耐
湿リング溝であって、各ビア孔に対応する耐湿リング溝
の幅は該ビア孔の最小径よりも小さい耐湿リング溝と、
耐湿リング溝を埋める導電耐湿リングとを有する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置及びそ
の製造方法に関し、特にチップ周辺に耐湿リングを有す
る半導体集積回路装置およびその製造方法に関する。
【0002】
【従来の技術】半導体集積回路装置は、半導体チップ内
に多数の素子を形成し、半導体チップ上に多層配線を形
成することによって作成される。多層配線は、多層の配
線層と、配線層間を絶縁する層間絶縁膜によって形成さ
れる。従来、異なる層間の電気的接続を形成するため、
層間絶縁膜上に上層の配線層を形成する前に、層間絶縁
膜を貫通するビア孔が形成される。上層配線を形成する
際に、ビア孔内も配線層で埋められる。
【0003】配線パターンの形成は、層間絶縁膜上に配
線層を形成し、その上にレジストマスクを形成し、レジ
ストマスクをエッチングマスクとして配線層をエッチン
グすることによって行なわれる。配線パターン側壁上の
堆積物等は、アルカリ薬液等によって除去される。その
後、同層内の配線パターン間及び上層及び下層の配線パ
ターン間を絶縁するために、酸化シリコン等で形成され
る層間絶縁膜をプラズマCVD等を用いて形成する。
【0004】従来、配線材料としては、エッチングの可
能なアルミニウム(Al)やタングステン(W)等が用
いられた。配線パターン形成後、レジストマスクを除去
するためのアッシングにおいて、配線パターン表面が酸
化されるのを防止するため、AlやWの主配線層の上
に、TiN等の酸化防止層を形成することも行なわれ
る。
【0005】酸化シリコン等の層間絶縁膜は、大気中の
水分を透過する性質を有する。大気中の水分が半導体素
子に到達すると、半導体素子の特性を損なわせてしま
う。大気中からの水分の侵入を防止するため、最上の絶
縁層の上に、水分遮蔽能を有するSiN等のパッシベー
ション膜を形成すると共に、チップ周縁部に水分の侵入
を遮蔽する導電体の耐湿リングが形成される。
【0006】耐湿リングは、層間絶縁膜のビア孔を形成
するエッチングと同時に回路領域をループ状に囲むリン
グ溝をエッチングで形成し、配線形成工程によってリン
グ溝内を配線層で埋め、パターニングすることによって
行なわれる。
【0007】半導体集積回路装置においては、常に集積
度の向上が求められている。集積度を向上するため、半
導体素子は微細化され、単位面積内により多くの半導体
素子を形成する。半導体素子が微細化されると、その上
に形成される配線の密度も増加する。配線密度が増加す
ると、各配線の幅及び同層内の隣接する配線間の間隔は
減少する。
【0008】配線層の厚さを同一に保つと、配線幅の減
少は抵抗の増加を伴う。また、隣接する配線間の間隔の
減少は、配線間の容量の増加を伴う。配線抵抗の増加を
低減するためには、配線層の厚さを厚くすることが必要
である。配線の断面積を一定に保とうとすれば、配線幅
の減少分を配線厚さの増加により補償しなければならな
い。
【0009】しかしながら、配線層の厚さを増加する
と、隣接する配線間の対向面積が増大し、配線間の容量
をさらに増加させることになる。配線抵抗の増大および
配線間容量の増大は、信号伝達スピードを減少させるこ
とになる。メモリー装置においては、高集積化と低消費
電力化が主な課題であるため、従来通りAl等の配線材
料が用いられている。
【0010】ロジック回路においては演算速度が主な課
題であり、信号伝達スピードの減少は極力防がなければ
ならない。このため、配線の抵抗を低減し、付随容量を
低減することが望まれる。配線の抵抗を低減するために
は、配線材料としてAlよりも抵抗率の低いCu等の高
融点金属を用いることが提案されている。配線の付随容
量を低減するためには、配線間を絶縁する絶縁膜の誘電
率を低減することが提案されている。例えば、低誘電率
の絶縁膜として、弗素を含むシリコン酸化膜(FSG)
等が用いられる。
【0011】Cu配線は、エッチングによってパターニ
ングすることが困難である。このため、Cu層のパター
ンを形成するために、絶縁膜に溝(トレンチ)を形成
し、溝を埋め戻すようにCu層を形成し、絶縁膜上の不
要のCu層を化学機械研磨(CMP)等によって除去す
るダマシンプロセスが用いられる。ダマシンプロセスと
して、シングルダマシンプロセスとデュアルダマシンプ
ロセスとが知られている。
【0012】シングルダマシンプロセスでは、下層絶縁
膜上にビア孔用ホトレジストパターンを形成し、ビア孔
をエッチングし、ホトレジストパターンを除去した後C
u層を形成し、不要のCu層をCMPで除去し、さらに
別の絶縁層を形成し、配線パターン溝用ホトレジストパ
ターンを形成し、上の絶縁層に配線パターン溝をエッチ
ングし、ホトレジストパターンを除去した後Cu層を形
成し、不要のCu層をCMPで除去する。
【0013】デュアルダマシンプロセスでは、絶縁層上
にビア孔用ホトレジストパターンを形成し、ビア孔をエ
ッチングし、同一絶縁層上に配線パターン溝用ホトレジ
ストパターンを形成し、配線パターン溝をエッチング
し、その後同一プロセスでビア孔と配線パターン溝とを
埋め戻す。Cu層を形成し、CMPにより不要Cu層を
除去する。
【0014】なお、ビア孔を形成した後、ホトレジスト
パターンをアッシングで除去する時、下層Cu配線層が
露出していると、露出しているCu配線表面が酸化され
てしまう。Cu配線表面の酸化を防止するために、Cu
配線パターンを形成した後、Cu配線表面を覆ってエッ
チングストッパの機能を有する酸化防止膜を形成する。
このエッチングストッパ兼用酸化防止膜は、例えばSi
N層によって形成される。
【0015】エッチングストッパ兼用酸化防止膜を絶縁
層の下に配置した場合、絶縁層を貫通し、エッチングス
トッパ兼用酸化防止膜を露出するビア孔をエッチングに
より形成し、この段階でホトレジストパターンはアッシ
ングにより除去する。その後ビア孔底に露出したエッチ
ングストッパ兼用酸化防止膜を除去する。簡単のため、
エッチングストッパ兼用酸化防止膜をエッチングストッ
パ膜(層)と呼ぶ。
【0016】なお、Cuは酸化シリコン等の絶縁層中に
拡散し、絶縁層の誘電特性及び絶縁性能を劣化させる性
質を有する。Cuの拡散を防止するために、Cu配線層
形成前にTiN、TaN等のバリア層を形成し、その上
にCu配線層を形成する。
【0017】Cu配線を採用した場合の耐湿リングは、
ビア孔のエッチング及び配線パターン溝用のエッチング
と同時に、チップ周縁部において絶縁層をループ溝状に
エッチングし、その後のバリア層堆積、Cu配線層形成
と同時に耐湿リング用溝内にもバリア層、Cu配線層を
形成することによって作成される。
【0018】微細化されたパターンのエッチングにおい
ては、狭い面積のエッチングレートが、広い面積のエッ
チングレートよりも遅くなるマイクロローディング効果
が生じることが知られている。ビア孔の径は、回路設計
により例えば最小寸法(ルール)に決定される。耐湿リ
ング溝の幅をビア孔径より大きくするとマイクロローデ
ィング効果により耐湿リング溝がオーバーエッチングさ
れる。そこで、耐湿リングの幅も、ビア孔径と同一寸法
に設計する。
【0019】図9(A)〜(C)を参照し、ビア孔のエ
ッチングと耐湿リング溝のエッチングの状況を説明す
る。必要に応じ、回路領域の構成要素には、参照記号に
cを付して表わし、耐湿リング領域の構成要素には、参
照記号にrを付して表わす。
【0020】図9(A)に示すように、下層配線パター
ンにより、回路領域に下層配線121c、耐湿リング領
域に導電リング121rが形成されている。これらの下
層配線パターンを覆うように、SiN等のエッチングス
トッパ層122が形成され、その上に層間絶縁膜123
が形成されている。
【0021】層間絶縁膜123の上に、ビア孔用開口V
O及び耐湿リング溝用開口ROを有するレジストパター
ンPRが形成される。ビア孔用開口VOの径と、耐湿リ
ング溝用開口ROの幅は、同一寸法である。このような
ホトレジストパターンPRをエッチングマスクとし、層
間絶縁膜123をエッチングする。
【0022】図9(A)に示すように、ビア孔用開口V
Oの径と耐湿リング溝用開口ROの幅は同一寸法である
が、図9(B)に示すように、エッチングはビア孔VH
よりも耐湿リング溝RTでより速く進む。このため、ビ
ア孔VHの底面と、耐湿リング溝RTの底面との間に高
さの差dが生じる。
【0023】図9(C)に示すように、層間絶縁膜12
3のエッチングは耐湿リング溝RTにおいて先に終了す
る。その後もエッチングを続けることによって、ビア孔
VHのエッチングも終了する。この間、耐湿リング溝R
Tでは、オーバーエッチングが行なわれる。
【0024】耐湿リング溝RTのエッチングが終了して
から、ビア孔VHのエッチングが終了するまでの間、耐
湿リング溝RT底面に露出したエッチングストッパ膜1
22はオーバーエッチングされる。例えば、シリコン酸
化膜に対するシリコン窒化膜のエッチレート比は、1/
10ないし1/15と比較的小さな値を有するが、エッ
チングストッパ膜122が確実に残るようにするために
は、エッチングストッパ膜122を厚く形成することが
必要となる。
【0025】エッチングストッパ膜のSiN膜は、高い
誘電率を有する。エッチングストッパ膜122を厚くす
ると、同層内配線間の付随容量を増加させてしまう。エ
ッチングストッパ膜122の厚さを最小限とし、かつ耐
湿リング溝の下で確実にエッチングストッパ膜が残るよ
うにするためには、ビア孔のエッチレートと、耐湿リン
グ溝のエッチレートとを実質的に等しいものとすること
が望まれる。
【0026】
【発明が解決しようとする課題】本発明の目的は、耐湿
リングを有する半導体集積回路装置の新規な構成と、そ
の製造方法を提供することである。
【0027】本発明の他の目的は、ダマシンプロセスの
エッチングにおいて、ビア孔のエッチレートと、耐湿リ
ング溝のエッチレートとの差を最小にできる半導体集積
回路装置の構造及び半導体集積回路装置の製造方法を提
供することである。
【0028】本発明のさらに他の目的は、ビア孔と耐湿
リング溝とのエッチングにおいて、エッチングストッパ
膜の膜減りを低減し、下層配線へのダメージを最小にす
ることが可能な半導体装置の製造方法を提供することで
ある。
【0029】
【課題を解決するための手段】本発明の一観点によれ
ば、中央部に画定された回路領域と、その周縁部をルー
プ状に取り囲む耐湿リング領域とを有する半導体基板
と、前記回路領域に形成された複数の半導体素子と、前
記半導体基板上に形成された複数の絶縁層と、前記回路
領域上で前記複数の絶縁層内に形成された複数段の配線
層用空洞であって、各段の配線層用空洞が下側のビア孔
と上側の配線パターン溝とを有する複数段の配線層用空
洞と、前記複数段の配線層用空洞内に形成された複数段
の配線層であって、各配線層が前記ビア孔を埋める下側
のビア導電体と前記配線パターン溝を埋める上側の配線
パターンとを有し、該ビア導電体は異なる配線層の配線
パターン間もしくは配線パターンと前記半導体素子との
間の電気的接続を形成する複数段の配線層と、前記半導
体基板の回路領域をループ状に取り囲んで、前記耐湿リ
ング領域の複数の絶縁層を貫通して形成され、前記複数
段の配線層用空洞と対応した複数段構成の耐湿リング溝
であって、各前記ビア孔に対応する耐湿リング溝の幅は
該ビア孔の最小径よりも小さい耐湿リング溝と、前記ビ
ア導電体および前記配線パターンと同一の層で形成さ
れ、前記耐湿リング溝を埋める導電耐湿リングとを有す
る半導体集積回路装置が提供される。
【0030】耐湿リング溝の幅を小さくすることによ
り、耐湿リング溝のエッチレートが減少する。ビア孔の
エッチレートと、耐湿リング溝のエッチレートとの差を
減少することが可能となる。
【0031】本発明の他の観点によれば、(a)中央部
に画定された回路領域と、前記回路領域をループ状に取
り囲む耐湿リング領域を有する半導体基板の回路領域内
に複数の半導体素子を形成する工程と、(b)前記複数
の半導体素子を覆って前記半導体基板上方にエッチング
ストッパ層と絶縁層をこの順序で形成する工程と、
(c)前記絶縁層上に、前記回路領域で第1の値の最小
径を有する複数のビア孔用開口部と、前記耐湿リング領
域で前記第1の値より小さい第2の値の幅を有する、ル
ープ状のリング溝用開口部とを有するレジストパターン
を形成する工程と、(d)前記レジストパターンをマス
クとして前記絶縁層をエッチングして、前記エッチング
ストッパ層を露出するビア孔とリング溝を形成する工程
と、(e)前記レジストパターンを除去する工程と、
(f)露出しているエッチングストッパ層を除去して、
前記ビア孔とリング溝とを完成する工程と、(g)前記
ビア孔とリング溝とを埋め戻すように、前記絶縁層上に
導電層を形成する工程と、(h)前記導電層の不要部を
除去する工程とを含む半導体集積回路装置の製造方法が
提供される。
【0032】耐湿リング溝は、幅方向においては高いア
スペクト比を有するが、延在方向においてはアスペクト
比が格段に低くなる。これに対し、ビア孔においては、
面内の全方向において高いアスペクト比を有する。
【0033】このため、同一寸法では、マイクロローデ
ィング効果により、ビア孔のエッチレートは耐湿リング
溝のエッチレートよりも小さくなる。耐湿リング溝の幅
をビア孔の径よりも小さくすることにより、エッチレー
トの差を小さくすることが可能となる。エッチレートの
差を小さくすることにより、耐湿リング溝底のエッチン
グストッパ膜のオーバーエッチング量を減少させ、エッ
チングのマージンを広くすることが可能となり、下層配
線へのダメージを少なくすることができる。
【0034】
【発明の実施の形態】本発明の実施例を説明する前に、
従来技術によるビア孔と耐湿リング溝のエッチングを解
析する。図2(A)は、ビア孔の径と耐湿リング溝の幅
を同一の値とした場合のエッチングレートを示すグラフ
である。横軸がビア孔の径及び耐湿リング溝の幅を単位
μmで示し、縦軸がエッチングレートを単位Å/min
で示す。
【0035】曲線tvは、ビア孔のエッチングレートを
示し、曲線trは耐湿リング溝のエッチングレートを示
す。ビア孔のエッチングレーtvは、常に耐湿リング溝
のエッチングレートtrよりも低い値を示す。さらに、
このエッチングレートの差のエッチングレートに対する
比の絶対値は、ビア孔径及び耐湿リング溝幅が小さくな
るほど著しくなる。このため、耐湿リング溝のエッチン
グが終了しても、ビア孔のエッチングは終了せず、オー
バーエッチングが必要となる。
【0036】図2(B)は、エッチングレートが同一と
なるビア孔径と耐湿リング溝幅との関係を示すグラフで
ある。横軸はビア孔径を単位μmで示し、縦軸は耐湿リ
ング溝幅を単位μmで示す。曲線rqは、エッチングレ
ートが同一となるビア孔径と耐湿リング溝幅との関係を
示す。
【0037】グラフから明らかなように、同一のエッチ
ングレートを実現するためには、ビア孔径は耐湿リング
溝幅よりも小さな値としなくてはならない。さらに、同
一のエッチングレートを実現するビア孔径に対する耐湿
リング溝幅の比は、ビア孔径が小さくなるほど小さくな
る。
【0038】ビア孔のエッチングと耐湿リング溝のエッ
チングを同等に進行させるには、曲線rqに従ってビア
孔と耐湿リング溝幅とを選択すれば良い。なお、実際に
エッチングを行なう場合には、エッチング条件を確立し
た上で、図2(B)に示すような定量線を求めることが
好ましい。
【0039】図中、破線r0.7及び破線r0.9は、
ビア孔径に対して耐湿リング溝幅をそれぞれ0.7、
0.9に選択した場合の関係を示す。グラフから容易に
判断できるように、1.0μm以下のビア孔径に対し耐
湿リング溝幅が0.7〜0.9の範囲は、同一エッチン
グレートrqに近い領域である。
【0040】この領域であれば、ビア孔のエッチングレ
ートと耐湿リング溝のエッチングレートとを近い値とす
ることができよう。ビア孔径が約0.15〜約0.7の
範囲では、曲線rqは、ほぼr0.7〜r0.9の範囲
に重なる。特に、ビア孔径が約0.2〜約0.5μmの
領域において、曲線rqは、r0.7〜r0.9の範囲
内にある。この範囲内に選択すれば、ビア孔のエッチン
グと耐湿リング溝のエッチングとをほぼ同等の速さで進
行させることができよう。
【0041】ビア孔径が0.2μm以下の領域において
は、曲線rqは、r0.7〜r0.9の範囲から外れて
くる。しかし、耐湿リング溝の幅をビア孔径より極端に
小さくすると耐湿リング溝のエッチング不良を起こす可
能性も生じる。従って、r0.7〜r0.9の範囲はビ
ア孔径がより小さい領域においても有効な範囲と言え
る。
【0042】図1(A)、(B)は、本発明の実施例に
よる半導体装置の製造方法を説明する概略斜視図であ
る。
【0043】図1(A)に示すように、下層配線パター
ン21c、21rを覆って、薄いエッチングストッパ膜
22を形成する。エッチングストッパ膜22上に、層間
絶縁膜23を形成し、その上にレジストパターン24を
形成する。レジストパターン24は、ビア孔用開口VO
及び耐湿リング溝用開口ROを有する。耐湿リング溝用
開口ROの幅は、ビア孔用開口VOの径よりも小さく選
択されている。
【0044】レジストパターン24をエッチングマスク
とし、層間絶縁膜23のエッチングを行なう。耐湿リン
グ溝用開口ROの幅がビア孔用開口VOの径よりも小さ
く選択されているため、耐湿リング溝用開口RO下のエ
ッチングレートは低下し、ビア孔用開口VO下のエッチ
ングレートに近づく。図示の状態において、層間絶縁膜
23に途中までビア孔25c及び耐湿リング溝25rが
エッチングされている。この時、耐湿リング溝25rの
底面と、ビア孔25cの底面との差dは、従来のものよ
りも減少している。
【0045】図1(B)は、ビア孔25cのエッチング
が終了した状態を示す。耐湿リング溝25rは、ビア孔
25cよりも早くエッチングが終了しているが、その差
が減少しているため、耐湿リング溝25r下のエッチン
グストッパ膜22のエッチング量はわずかなものに留ま
り、十分な厚さのエッチングストッパ膜22が、下層配
線パターン21r上に残る。このため、層間絶縁膜23
上のレジストパターン24を除去する際にも、下層配線
パターン21c、21rが酸化されることが良好に防止
される。
【0046】なお、レジストパターン24の除去の後、
ビア孔25c、耐湿リング溝25rの底面に露出したエ
ッチングストッパ膜22をエッチングで除去し、下層配
線パターン21c、21rの上面を露出する。
【0047】その後、バリア層、Cu層の形成を行な
い、ビア孔25c、耐湿リング溝25rを埋め戻す。耐
湿リング溝25rに形成されたバリア層、Cu層は、下
層導電層21rに接し、気密な耐湿リングを形成する。
【0048】なお、配線パターンの形成工程において
は、配線パターン溝と耐湿リング溝のエッチングに大き
な差は生じにくい。従って、両者の幅を同一としても、
エッチングレートに大きな差は生じ難い。耐湿リング溝
の幅を配線パターン溝の幅と同一としてもよい。必要で
あれば、耐湿リング溝の幅を配線パターン溝の幅より小
さくしてもよい。
【0049】以下、より具体的な実施例を、中央演算装
置(CPU)を例にとって説明する。
【0050】図3(A)は、CPUを作成するウエハの
上面図を示す。ウエハ10の表面上には、多数のチップ
領域11が画定されている。各チップ領域を囲む線12
は、スクライブラインである。
【0051】図3(B)は、単一のチップ11内の平面
構成を示す。チップ11中央部には、デコーダ13、演
算回路14、SRAM15、入出力回路(I/O)16
が配置された回路領域Cが画定され、回路領域を取り囲
むように耐湿リング17を含む耐湿リング用領域Rが画
定されている。
【0052】図3(C)は、演算回路14等のロジック
回路を構成するCMOS半導体素子の典型的例を概略的
に示す上面図である。図中左側に、nチャネルMOSト
ランジスタが形成され、図中右側にpチャネルMOSト
ランジスタが形成されている。nチャネルMOSトラン
ジスタは、基板表面上に形成された絶縁ゲート電極Gn
とその両側に形成されたn型ソース領域Sn、n型ドレ
イン領域Dnを有する。ソース領域、ドレイン領域に
は、コンタクト領域Cが形成されている。
【0053】pチャネルMOSトランジスタは、中央に
配置された絶縁ゲートGpとその両側に配置されたソー
ス領域Sp、ドレイン領域Dpを有する。ソース領域S
p、ドレイン領域Dpには、コンタクト領域Cが形成さ
れている。
【0054】図3(B)の構成において、回路領域Cに
図3(C)に示すような半導体素子を多数形成した後、
ウエハ表面上に多層配線が形成され、多層配線の形成と
共に耐湿リングが形成される。
【0055】図4は、図3に示す半導体集積回路装置の
ロジック回路等の回路領域および耐湿リング領域の部分
的断面図を示す。シリコン基板40の表面には、素子分
離用のトレンチが形成され、トレンチを埋め込む酸化シ
リコン等の絶縁領域によってシャロートレンチアイソレ
ーション(STI)41cが形成され、活性領域が画定
されている。耐湿リング部分においては、STIと同時
に回路領域をループ状に取り囲むリング状絶縁領域41
rが形成されている。
【0056】回路領域においては、各活性領域内にpウ
エルWp及びnウエルWnが形成され、活性領域上に熱
酸化シリコン等のゲート絶縁膜43cが形成される。ゲ
ート絶縁膜43c上に多結晶シリコン、ポリサイド等の
ゲート電極44cが形成され、絶縁ゲート電極が形成さ
れる。絶縁ゲート電極の側壁には、サイドウオールスペ
ーサ45cが酸化シリコン等により形成される。
【0057】絶縁ゲート電極を形成した後、pウエルW
p、nウエルWnにそれぞれ別個のイオン注入を行な
い、pウエルWp内にn型ソース/ドレイン領域42n
を形成し、nウエルWn内にp型ソース/ドレイン領域
42pを形成する。
【0058】耐湿リング部分においても、イオン注入以
外同様の工程が行なわれ、絶縁領域41rの上にリング
状の導電体領域44r及びサイドウオールスペーサ45
rが形成される。
【0059】絶縁ゲート電極44c、導電体領域44r
を覆って、シリコン基板上に窒化シリコン等のエッチン
グストッパ層46が形成される。
【0060】絶縁ゲート電極44c、導電体領域44r
を覆って、シリコン基板上に窒化シリコン等のエッチン
グストッパ層46が形成される。
【0061】エッチングストッパ層46の上に、第一の
層間絶縁膜47が弗素含有酸化シリコン(FSG)等の
低誘電率絶縁体により形成される。回路領域において
は、第一の層間絶縁膜47の表面から、例えば径約0.
25μmのコンタクト用ビア孔が形成される。耐湿リン
グ用領域においては、ビア孔径より小さな値の幅、たと
えば約0.25x0.7μmの幅を有するループ状耐湿
リング溝が導電体領域44r上に形成される。
【0062】コンタクト用ビア孔、耐湿リング溝のエッ
チングは、一旦エッチングストッパ層46表面で停止
し、レジストマスクを除去した後、コンタクト用ビア
孔、耐湿リング溝の底面に露出したエッチングストッパ
層46を除去することにより行なわれる。
【0063】コンタクト用ビア孔、耐湿リング溝形成
後、グルー・バリア層50、導電体プラグおよび導電体
フェンス用の導電層51が堆積され、第一の層間絶縁膜
46表面上のグルー・バリア層及び導電層はCMPによ
り除去される。グルー・バリア層は、TiN、TaN等
の単一の層で形成しても、グルー用Ti層、バリア用T
iN層等の積層で形成しても良い。導電層51は、例え
ばWで形成される。このようにして、半導体表面にコン
タクトする導電体プラグ、絶縁領域41r上の導電性領
域44rにコンタクトする導電体フェンスが形成され
る。
【0064】このようにして、回路部分においてはグル
ー・バリア層50cと導電領域51cで形成された導電
体プラグが形成され、耐湿リング領域においては、グル
ー・バリア層50rと導電領域51rで形成された導電
体フェンスが形成される。
【0065】なお、回路領域において、nチャネルMO
Sトランジスタの一方のソース/ドレイン領域と、pチ
ャネルMOSトランジスタの一方のソース/ドレイン領
域と、pチャネルMOSトランジスタの一方のソース/
ドレインとは、第1層配線により相互に接続されCMO
Sインバータを構成している。
【0066】その後、導電体プラグ、導電体フェンスを
覆って第一層間絶縁膜47表面上に、第2のエッチング
ストッパ層52、第2の層間絶縁膜53が堆積される。
エッチングストッパ層は、例えばSiNで形成され、層
間絶縁膜は例えばFSGで形成される。第2の層間絶縁
膜53表面上にレジストパターンが形成され、第1配線
層の配線パターン用および耐湿リング溝用の開口が画定
される。両開口の幅はたとえば同一である。
【0067】このレジストパターンをエッチングマスク
とし、第2の層間絶縁膜53のエッチングが行なわれ
る。第2のエッチングストッパ層52表面が露出した
後、一旦エッチングを停止し、レジストパターンをアッ
シングで除去する。その後露出した第2のエッチングス
トッパ層52をエッチングにより除去し、バリア層5
4、配線層55の堆積を行なう。その後、第2層間絶縁
膜53表面上の不要なバリア層及び配線層をCMPで除
去する。
【0068】このようにして、回路領域において、バリ
ア層54c、配線層55cで構成された配線パターンが
形成され、耐湿リング領域においてはバリア層54r、
配線層55rで形成された導電体フェンスが形成され
る。このようにして、シングルダマシン構造の第1配線
層の構造が形成される。シングルダマシン構造ではビア
導電体、配線パターン共に側面と底面がバリア層で覆わ
れる。
【0069】第2層間絶縁膜53上に、第3のエッチン
グストッパ層57、第3の層間絶縁膜58、第4のエッ
チングストッパ層59、第4の層間絶縁膜60を堆積す
る。第4の層間絶縁膜膜60表面から第3の層間絶縁膜
58表面に達する配線パターン溝および耐湿リング溝が
形成され、さらに配線パターン溝底面から第2の層間絶
縁膜53内に形成された第1層配線パターン、耐湿リン
グに達するビア孔及び耐湿リング溝が形成される。
【0070】ビア孔径は、たとえば0.3μmである。
対応する耐湿リング溝の幅は、ビア孔径より小さく、例
えば約0.3x0.8μmに選択される。
【0071】これらのビア孔、配線パターン溝、耐湿リ
ング溝を埋め込むように、バリア層62及びCu等の導
電層63の堆積が行なわれる。第4の層間絶縁膜60上
に堆積したバリア層、導電層はCMP等によって除去す
る。このようにして、デュアルダマシン構造の第2層配
線構造および第2層耐湿リング用フェンスが形成され
る。デュアルダマシン構造では、1層の配線構造は、最
上面を除く表面がバリア層で覆われる。
【0072】第2層配線構造を形成した後、第4の層間
絶縁膜表面上に第5のエッチングストッパ層65が形成
される。第5のエッチングストッパ層65の上に、第5
の層間絶縁膜66、第6のエッチングストッパ層67、
第6の層間絶縁膜68が堆積され、上述と同様のプロセ
スにより、バリア層70、配線層71で構成されたデュ
アルダマシン導電構造が形成される。このようにして第
3層配線構造、第3層耐湿リングが形成される。第3配
線層のビア孔径は、たとえば0.45μmである。この
時、対応する耐湿リング溝の幅は、ビア孔径よりも小さ
く、例えば約0.45x0.9μmに選択される。
【0073】第3層配線構造、第3層耐湿リングを覆っ
て、第6層間絶縁膜の上に、第7のエッチングストッパ
層73、第7の層間絶縁膜74、第8のエッチングスト
ッパ層75、第8の層間絶縁膜76が堆積され、配線パ
ターン溝、ビア孔および耐湿リング溝が形成され、バリ
ア層78、配線層79で構成されるデュアルダマシン構
造の第4層配線構造、第4層耐湿リングが形成される。
【0074】第4配線層のビア孔径は、例えば0.9μ
mであり、この時耐湿リング溝の幅は、例えば約0.9
x0.9μmまたはビア孔径と同一の0.9μmに選択
される。
【0075】第4配線層、第4層耐湿リングを覆って、
第8の層間絶縁膜76表面上にSiN等の水分遮蔽能を
有するパッシベーション膜81が形成される。耐湿リン
グ領域においては、基板上にル‐プ状の導電フェンスが
積層され、その上にパッシベーション膜が形成されるこ
とにより、回路領域を封止する水分遮断構造が形成され
る。
【0076】図示の構成においては、第1配線構造をシ
ングルダマシン構造、第2〜第4配線構造をデュアルダ
マシン構造で形成した。シングルダマシン、デュアルダ
マシンは任意に選択することができる。
【0077】図5(A)〜(G)は、シングルダマシン
配線を形成するプロセスを概略的に示す断面図である。
図5(A)に示すように、バリア層b1、配線層w1で
下層配線が形成されている。下層配線表面を覆ってエッ
チングストッパ層s2、層間絶縁膜d2、反射防止膜a
r2が形成されている。エッチングストッパ層s2、反
射防止膜ar2は、それぞれ厚さ約50nmのSiN膜
で形成される。層間絶縁膜d2は、例えば厚さ約600
nmのFSGにより形成される。
【0078】反射防止膜ar2の上に、ビア孔及び耐湿
リング溝をエッチングするためのレジストパターンPR
2を形成する。レジストパターンPR2をエッチングマ
スクとし、反射防止膜ar2、層間絶縁膜d2のエッチ
ングを行なう。その後、レジストパターンPR2は除去
する。なお、ビア孔と耐湿リング溝とは同様の断面構成
であるため、図には1つの開口のみを示す。但し、耐湿
リング溝の幅は、上述のようにビア孔の径よりも小さ
い。以下同様である。
【0079】図5(B)に示すように、露出した反射防
止膜ar2及びエッチングストッパ層s2を除去し、下
層配線w1の表面を露出するビア孔VH2及び耐湿リン
グ溝RT2を形成する。
【0080】図5(C)に示すように、ビア孔VH2及
び耐湿リング溝RT2を埋めるように、例えば厚さ約2
5nmのTaN層で形成されたバリア層b2p、厚さ約
1500nmのCu層で形成された配線層w2pを成膜
する。なお、バリア層b2pをスパッタリングで形成し
た後、厚さ約200nmのCuシード層をスパッタリン
グで形成し、その上にメッキ等によりCu層を約130
0nm成膜して配線層w2pを形成する。
【0081】図5(D)に示すように、層間絶縁膜d2
上のバリア層b2p、配線層w2pをCMPにより除去
し、銅プラグ(銅フェンス)を形成する。
【0082】図5(E)に示すように、銅プラグ(銅フ
ェンス)を覆って層間絶縁膜d2上に厚さ約50nmの
SiN層で形成されたエッチングストッパ層s3、厚さ
約500nmのFSG層で形成された層間絶縁膜d3、
厚さ約50nmのSiN層で形成された反射防止膜ar
3を成膜する。反射防止膜ar3の上に、配線パターン
溝及び耐湿リング溝を形成するための開口を有するレジ
ストパターンPR3を形成する。配線パターン溝の幅と
耐湿リング溝の幅は、たとえば同一である。
【0083】レジストパターンPR3をエッチングマス
クとし、反射防止膜ar3、層間絶縁膜d3のエッチン
グを行なう。その後、レジストパターンPR3を除去
し、露出した反射防止膜ar3、エッチングストッパ層
s3をエッチングで除去する。
【0084】図5(F)に示すように、図5(C)の工
程と同様の工程を行なうことにより、厚さ約20nmの
TaN層で形成されたバリア層b3p、厚さ約1200
nmのCuで形成された配線層w3pを形成する。な
お、配線層w3pは、先ず厚さ約200nmのCu層を
スパッタリングで成膜し、次にメッキ等により厚さ約1
000nmのCu層を成膜することによって形成する。
【0085】図5(G)に示すように、層間絶縁膜d3
上の不要なバリア層及び配線層をCMPで除去し、バリ
ア層b3、配線層w3で形成された配線パターンを作成す
る。以上の工程により、1層分の配線構造を作成するこ
とができる。同様の工程を繰り返すことにより、多層の
配線層をシングルダマシン構造で形成することもでき
る。次に、デュアルダマシン構造の作成について説明す
る。デュアルダマシン配線構造はいくつかの方法で作成
することができる。
【0086】図6(A)〜(F)は、デュアルダマシン
配線構造を作成する方法の一例を示す断面図である。図
6(A)に示すように、バリア層b1、配線層w1が下
層配線を形成し、その表面は厚さ約50nmのSiN層
で形成されたエッチングストッパ層s2で覆われてい
る。エッチングストッパ層s2の上に、厚さ約600n
mのFSG層で形成された層間絶縁膜d2、厚さ約50
nmのSiN層で形成されたエッチングストッパ層s
3、厚さ約500nmのFSG層で形成された層間絶縁
膜d3が積層され、その表面に厚さ約50nmのSiN
層で形成された反射防止膜ar3が形成されている。反
射防止膜ar3の上に、ビア孔及び耐湿リング溝に対応
する開口を有するレジストパターンPR2が形成され
る。上述のように、耐湿リング溝の幅は、ビア孔の径よ
りも小さく選択する。
【0087】図6(B)に示すように、レジストパター
ンPR2をエッチングマスクとし、反射防止膜ar3、
層間絶縁膜d3、エッチングストッパ層s3、層間絶縁
膜d2のエッチングを行ない、ビア孔VH(耐湿リング
溝RT)を形成する。
【0088】図6(C)に示すように、ビア孔(耐湿リ
ング溝)下部に有機物の詰め物ppを装填し、反射防止
膜ar3の上に配線パターン溝又は耐湿リング溝に対応
する開口を有するレジストパターンPR3を形成する。
【0089】図6(D)に示すように、レジストパター
ンPR3をマスクとし、反射防止膜ar3、層間絶縁膜
d3のエッチングを行ない、エッチングストッパ層s3
の表面で停止させる。なお、ビア孔(耐湿リング溝)内
は詰め物ppにより保護されている。その後、レジスト
パターンPR3をアッシングで除去する。
【0090】詰め物ppがレジスト等の有機物で形成さ
れている場合、アッシングによって詰め物も除去され
る。レジストパターンのアッシングで詰め物ppが除去
されない場合は、エッチング等により詰め物ppを除去
する。
【0091】露出した反射防止膜ar3及びエッチング
ストッパ層s3、s2をエッチングで除去する。ビア孔
(耐湿リング溝)底面には、下層配線w1が露出し、配
線パターン溝底面には層間絶縁膜d2が露出する。
【0092】図6(E)に示すように、ビア孔、配線パ
ターン溝、耐湿リング溝が形成された積層絶縁膜上に、
バリア層b2p、配線層w2pを形成する。まず、厚さ約
20nmのTaN層をスパッタリングで堆積して、バリ
ア層を形成する。続いて厚さ約200nmのCu層をス
パッタリングで堆積し、メッキ用シード層とする。次
に、シード層上に厚さ約1300nmのCu層をメッキ
で堆積する。
【0093】図6(F)に示すように、層間絶縁膜d3
上に堆積した不要なバリア層b2p、配線層w2pをCM
Pにより除去し、バリア層b2、配線層w2で構成され
たデュアルダマシン配線構造(耐湿リング構造)を作成
する。このようにして、1層分のデュアルダマシン配線
構造が形成できる。同様の工程を繰り返せば、多層のデ
ュアルダマシン配線構造を形成することができる。
【0094】図7(A)〜(F)は、デュアルダマシン
配線構造を作成する他の方法を示す断面図である。図7
(A)に示すように、バリア層b1、配線層v1で構成
される下層配線の上に、厚さ約50nmのSiN層で形
成されたエッチングストッパ層s2、厚さ約600nm
のFSG層で形成された層間絶縁膜d2、厚さ約50n
mのSiN層で形成されたエッチングストッパ層s3、
厚さ約500nmのFSG層で形成された層間絶縁膜d
4、厚さ約50nmのSiN層で形成された反射防止膜
ar3を積層する。
【0095】反射防止膜ar3の上に、配線パターン溝
および耐湿リング溝に対応する開口を有するレジストパ
ターンPR2を形成する。耐湿リング溝の幅は例えば配
線パターン溝の幅と同一である。レジストパターンPR
2をマスクとし、反射防止膜ar3、層間絶縁膜d3の
エッチングを行ない、エッチングストッパ層s3表面で
エッチングを停止させる。
【0096】図7(B)に示すように、レジストパター
ンPR2をアッシングで除去する。底面にエッチングス
トッパ層s3が残っている配線パターン溝WTが形成さ
れる。
【0097】図7(C)に示すように、新たにビア孔及
び耐湿リング溝に対応する開口を有するレジストパター
ンPR3を作成する。上述のように、耐湿リング溝の幅
は、ビア孔の径よりも小さく設計する。レジストパター
ンPR3をエッチングマスクとし、エッチングストッパ
層s3、層間絶縁膜d2のエッチングを行ない、エッチ
ングストッパ層s2の表面でエッチングを停止させる。
【0098】図7(D)に示すように、レジストパター
ンPR3をアッシングで除去する。続いて、露出してい
る反射防止膜ar3、エッチングストッパ層s3、s2
をエッチングで除去し、配線パターン溝WT及びビア孔
VH(耐湿リング溝RT)を完成させる。
【0099】図7(E)に示すように、ビア孔、配線パ
ターン溝、耐湿リング溝を埋め込んで、バリア層b3
p、配線層w3pを形成する。まず、厚さ約20nmの
TaN層をスパッタリングで堆積し、続いて厚さ約20
0nmのCu層をスパッタリングで堆積する。次に、厚
さ約1300nmのCu層をメッキで形成する。この工
程は、図6(E)に対応する。
【0100】図7(F)に示すように、CMPにより層
間絶縁膜d3上のバリア層b3p、配線層w3pを除去
し、バリア層b3、配線層w3で構成される配線層を完
成する。この工程は図6(F)の工程に対応する。
【0101】図8(A)〜(F)は、デュアルダマシン
配線構造を作成する他の方法を示す断面図である。図8
(A)に示すように、バリア層b1、配線層w1で構成
される下層配線の上に、厚さ約50nmのSiN層で形
成されたエッチングストッパ層s2、厚さ約600nm
のFSG層で形成された層間絶縁膜d2、厚さ約50n
mのSiN層で形成されたエッチングストッパ層s3、
厚さ約500nmのFSG層で形成された層間絶縁膜d
3、厚さ約50nmのSiN層で形成された反射防止膜
ar3を積層する。
【0102】反射防止膜ar3の上に、ビア孔及び耐湿
リング溝に対応する開口を有するレジストパターンPR
2を形成する。上述のように、耐湿リング溝の幅は、ビ
ア孔の径よりも小さく選択する。レジストパターンPR
2をマスクとし、反射防止膜ar3、層間絶縁膜d3の
エッチングを行ない、エッチングストッパ層s3の表面
でエッチングを停止させる。
【0103】図8(B)に示すように、レジストパター
ンPR2を除去する。
【0104】図8(C)に示すように、反射防止膜ar
3の上に、配線パターン及び耐湿リング溝に対応する開
口を有するレジストパターンPR3を形成する。耐湿リ
ング溝の幅は例えば配線パターン溝の幅と同一である。
レジストパターンPR3をエッチングマスクとし、反射
防止膜ar3、層間絶縁膜d3のエッチングを行なう。
この際、先に形成されたビア孔(耐湿リング溝)の底面
に露出しているエッチングストッパ層s3、層間絶縁膜
b2も共にエッチングされ、ビア孔が下側に延びる。こ
れらのエッチングは、それぞれエッチングストッパ層s
3、エッチングストッパ層s2の表面で停止するように
条件が設定される。
【0105】図8(D)に示すように、レジストパター
ンPR3をアッシングで除去する。次に、表面に露出し
た反射防止膜ar3、配線パターン溝底面に露出したエ
ッチングストッパ層s3、ビア孔(耐湿リング溝)底面
に露出したエッチングストッパ層s2をエッチングで除
去する。配線パターン溝WT、ビア孔VH(耐湿リング
溝RT)が形成される。
【0106】図8(E)に示すように、バリア層b3
p、配線層w3pの堆積を行なう。まず、厚さ約20n
mのTaN層をスパッタリングで堆積し、続いて厚さ約
200nmのCu層をスパッタリングで堆積する。次
に、厚さ約1300nmのCu層をメッキで堆積する。
【0107】図8(F)に示すように、層間絶縁膜d3
上の不要なバリア層b3p、配線層w3pをCMPによ
り除去し、バリア層b3、配線層w3で構成されたデュ
アルダマシン配線構造を作成する。
【0108】上述のようなダマシンプロセスを利用する
ことにより、図4に示すような多層配線構造を作成する
と同時に、耐湿リング領域においては配線と同一材料で
形成された導電体(金属)耐湿リングを形成することが
できる。耐湿リング溝の幅は、必要に応じて対応する回
路部のビア孔径よりも狭く選択し、エッチングレートに
大きな差が生じないようにする。
【0109】以上実施例に沿って本発明を説明したが、
本発明はこれらに制限されるものではない。例えば配線
材料としてTaN、Cuを用いる場合を説明したが、
金、銀、白金、銅、アルミニウム、アルミニウム合金、
タングステン、タングステン合金、チタニウム、チタニ
ウム化合物、タンタル、タンタル化合物から成る群から
選択された材料を用いることができる。誘電率の低い絶
縁膜としてFSGを用いる場合を説明したが、水素シル
セスキオキサン(HSQ)、テトラエトキシシラン(T
EOS)、発泡性(多孔質)酸化シリコン等を用いても
よい。誘電率を低くしなくてもよい場合には、酸化シリ
コン、ホスホシリケートガラス(PSG)、ボロホスホ
シリケートガラス(BPSG)等を用いることもでき
る。その他種々の変更、改良、組み合わせが可能なこと
は当業者に自明であろう。
【0110】
【発明の効果】以上説明したように、本発明によれば、
耐湿リングを有する半導体集積回路装置において、耐湿
リングを構成する配線材料層の表面を酸化させず、所望
の性能を有する半導体集積回路装置を作成することがで
きる。
【図面の簡単な説明】
【図1】 本発明の実施例による半導体集積回路装置の
製造方法を概略的に示す斜視図である。
【図2】 ビア孔及び耐湿リングの幅に対するエッチン
グレートの関係を示すグラフ及び同一エッチングレート
となるビア孔径と耐湿リング溝幅との関係を示すグラフ
である。
【図3】 本発明の実施例による半導体集積回路装置の
製造を説明する平面図である。
【図4】 図3に示す半導体集積回路装置の構成を示す
断面図である。
【図5】 本発明の実施例に用いることのできるシング
ルダマシンプロセスの例を概略的に示す断面図である。
【図6】 本発明の実施例に用いることのできるデュア
ルダマシンプロセスの例を示す断面図である。
【図7】 本発明の実施例に用いることのできるデュア
ルダマシンプロセスの例を示す断面図である。
【図8】 本発明の実施例に用いることのできるデュア
ルダマシンプロセスの例を示す断面図である。
【図9】 従来技術によるビア孔及び耐湿リング溝の製
造プロセスを概略的に示す斜視図である。
【符号の説明】
10 ウエハ 11 チップ 12 スクライブライン 13 デコーダ回路 14 演算回路 15 SRAM 16 I/O 21 下層配線パターン 22 エッチングストッパ層 23 層間絶縁膜 25 ビア孔(耐湿リング溝) 40 半導体基板 41 STI 42 ソース/ドレイン領域 43 ゲート絶縁膜 44 ゲート電極 45 サイドスペーサ 46、52、57、59、65、67、73、75 エ
ッチングストッパ層 47、53、58、60、66、68、74、76 層
間絶縁膜 50、54、62、70、78 バリア層 51、55、63、71、79、 配線層 PR ホトレジストパターン VO ビア孔用開口 RO 耐湿リング溝用開口 RT 耐湿リング溝 WT 配線パターン溝 VH ビア孔 b バリア層 w 配線層 s エッチングストッパ層 d 層間絶縁膜 ar 反射防止膜
─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成13年3月28日(2001.3.2
8)
【手続補正1】
【補正対象書類名】図面
【補正対象項目名】図9
【補正方法】変更
【補正内容】
【図9】 ─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成13年8月20日(2001.8.2
0)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】0013
【補正方法】変更
【補正内容】
【0013】デュアルダマシンプロセスでは、絶縁層上
にビア孔用ホトレジストパターンを形成し、ビア孔をエ
ッチングし、同一絶縁層上に配線パターン溝用ホトレジ
ストパターンを形成し、配線パターン溝をエッチング
し、その後同一プロセスでビア孔と配線パターン溝とを
埋め戻すCu層を形成し、CMPにより不要Cu層を除
去する。
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】0037
【補正方法】変更
【補正内容】
【0037】グラフから明らかなように、同一のエッチ
ングレートを実現するためには、ビア孔径は耐湿リング
溝幅よりも大きな値としなくてはならない。さらに、同
一のエッチングレートを実現するビア孔径に対する耐湿
リング溝幅の比は、ビア孔径が小さくなるほど小さくな
る。
【手続補正3】
【補正対象書類名】明細書
【補正対象項目名】0038
【補正方法】変更
【補正内容】
【0038】ビア孔のエッチングと耐湿リング溝のエッ
チングを同等に進行させるには、曲線rqに従ってビア
孔径と耐湿リング溝幅とを選択すれば良い。なお、実際
にエッチングを行なう場合には、エッチング条件を確立
した上で、図2(B)に示すような定量線を求めること
が好ましい。
【手続補正4】
【補正対象書類名】明細書
【補正対象項目名】0060
【補正方法】削除
【手続補正5】
【補正対象書類名】明細書
【補正対象項目名】0063
【補正方法】変更
【補正内容】
【0063】コンタクト用ビア孔、耐湿リング溝形成
後、グルー・バリア層50、導電体プラグおよび導電体
フェンス用の導電層51が堆積され、第一の層間絶縁膜
47表面上のグルー・バリア層及び導電層はCMPによ
り除去される。グルー・バリア層は、TiN、TaN等
の単一の層で形成しても、グルー用Ti層、バリア用T
iN層等の積層で形成しても良い。導電層51は、例え
ばWで形成される。このようにして、半導体表面にコン
タクトする導電体プラグ、絶縁領域41r上の導電性領
域44rにコンタクトする導電体フェンスが形成され
る。
【手続補正6】
【補正対象書類名】明細書
【補正対象項目名】0065
【補正方法】削除
【手続補正7】
【補正対象書類名】明細書
【補正対象項目名】0068
【補正方法】変更
【補正内容】
【0068】このようにして、回路領域において、バリ
ア層54c、配線層55cで構成された配線パターンが
形成され、耐湿リング領域においてはバリア層54r、
配線層55rで形成された導電体フェンスが形成され
る。このようにして、シングルダマシン構造の第1配線
層の構造が形成される。シングルダマシン構造ではビア
導電体、配線パターン共に側面と底面がバリア層で覆わ
れる。なお、回路領域において、nチャネルMOSトラ
ンジスタの一方のソース/ドレイン領域と、pチャネル
MOSトランジスタの一方のソース/ドレイン領域と
は、第1層配線により相互に接続されCMOSインバー
タを構成している。
【手続補正8】
【補正対象書類名】明細書
【補正対象項目名】0088
【補正方法】変更
【補正内容】
【0088】図6(C)に示すように、ビア孔(耐湿リ
ング溝)下部に有機物の詰め物ppを装填し、反射防止
膜ar3の上に配線パターン溝及び耐湿リング溝に対応
する開口を有するレジストパターンPR3を形成する。
【手続補正9】
【補正対象書類名】明細書
【補正対象項目名】0094
【補正方法】変更
【補正内容】
【0094】図7(A)〜(F)は、デュアルダマシン
配線構造を作成する他の方法を示す断面図である。図7
(A)に示すように、バリア層b1、配線層w1で構成
される下層配線の上に、厚さ約50nmのSiN層で形
成されたエッチングストッパ層s2、厚さ約600nm
のFSG層で形成された層間絶縁膜d2、厚さ約50n
mのSiN層で形成されたエッチングストッパ層s3、
厚さ約500nmのFSG層で形成された層間絶縁膜d
3、厚さ約50nmのSiN層で形成された反射防止膜
ar3を積層する。
【手続補正10】
【補正対象書類名】明細書
【補正対象項目名】0104
【補正方法】変更
【補正内容】
【0104】図8(C)に示すように、反射防止膜ar
3の上に、配線パターン及び耐湿リング溝に対応する開
口を有するレジストパターンPR3を形成する。耐湿リ
ング溝の幅は例えば配線パターン溝の幅と同一である。
レジストパターンPR3をエッチングマスクとし、反射
防止膜ar3、層間絶縁膜d3のエッチングを行なう。
この際、先に形成されたビア孔(耐湿リング溝)の底面
に露出しているエッチングストッパ層s3、層間絶縁膜
d2も共にエッチングされ、ビア孔が下側に延びる。こ
れらのエッチングは、それぞれエッチングストッパ層s
3、エッチングストッパ層s2の表面で停止するように
条件が設定される。
【手続補正11】
【補正対象書類名】図面
【補正対象項目名】図3
【補正方法】変更
【補正内容】
【図3】
【手続補正12】
【補正対象書類名】図面
【補正対象項目名】図5
【補正方法】変更
【補正内容】
【図5】
【手続補正13】
【補正対象書類名】図面
【補正対象項目名】図6
【補正方法】変更
【補正内容】
【図6】
【手続補正14】
【補正対象書類名】図面
【補正対象項目名】図8
【補正方法】変更
【補正内容】
【図8】
フロントページの続き Fターム(参考) 5F033 HH04 HH07 HH08 HH09 HH11 HH13 HH14 HH18 HH19 HH32 JJ07 JJ08 JJ11 JJ18 JJ19 JJ32 JJ33 KK00 KK07 KK08 KK09 KK11 KK13 KK14 KK18 KK19 MM01 MM02 MM05 MM07 NN06 NN07 PP15 PP26 QQ04 QQ09 QQ25 QQ35 QQ37 QQ48 RR01 RR04 RR06 RR11 RR14 RR15 VV00 XX18

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 中央部に画定された回路領域と、その周
    縁部をループ状に取り囲む耐湿リング領域とを有する半
    導体基板と、 前記回路領域に形成された複数の半導体素子と、 前記半導体基板上に形成された複数の絶縁層と、 前記回路領域上で前記複数の絶縁層内に形成された複数
    段の配線層用空洞であって、各段の配線層用空洞が下側
    のビア孔と上側の配線パターン溝とを有する複数段の配
    線層用空洞と、 前記複数段の配線層用空洞内に形成された複数段の配線
    層であって、各配線層が前記ビア孔を埋める下側のビア
    導電体と前記配線パターン溝を埋める上側の配線パター
    ンとを有し、該ビア導電体は異なる配線層の配線パター
    ン間もしくは配線パターンと前記半導体素子との間の電
    気的接続を形成する複数段の配線層と、 前記半導体基板の回路領域をループ状に取り囲んで、前
    記耐湿リング領域の複数の絶縁層を貫通して形成され、
    前記複数段の配線層用空洞と対応した複数段構成の耐湿
    リング溝であって、各前記ビア孔に対応する耐湿リング
    溝の幅は該ビア孔の最小径よりも小さい耐湿リング溝
    と、 前記ビア導電体および前記配線パターンと同一の層で形
    成され、前記耐湿リング溝を埋める導電耐湿リングとを
    有する半導体集積回路装置。
  2. 【請求項2】 前記耐湿リング溝の幅は、対応する前記
    ビア孔の最小径の0.7〜0.9の範囲にある請求項1
    記載の半導体集積回路装置。
  3. 【請求項3】 前記複数の絶縁層は、1配線層当り複数
    の絶縁層を含み、各前記ビア孔の最下部を画定する絶縁
    層は下層の酸化防止および上層のエッチングストッパの
    機能を有する層である請求項1または2記載の半導体集
    積回路装置。
  4. 【請求項4】 各前記配線層は、前記配線パターンの最
    上面を除く表面にバリア層を有するか、前記配線パター
    ンの側面および底面と前記ビア導電体の側面および底面
    にバリア層を有する請求項1〜3のいずれか1項に記載
    の半導体集積回路装置。
  5. 【請求項5】 前記配線パターンおよび前記ビア導電体
    は、金、銀、白金、銅、アルミニウム、アルミニウム合
    金、タングステン、タングステン化合物、チタニウム、
    チタニウム化合物、タンタル、タンタル化合物からなる
    群から選択された材料で形成されている請求項1〜4の
    いずれか1項に記載の半導体集積回路装置。
  6. 【請求項6】 前記回路領域の回路が中央演算装置を構
    成する請求項1〜5のいずれか1項記載の半導体集積回
    路装置。
  7. 【請求項7】 (a)中央部に画定された回路領域と、
    前記回路領域をループ状に取り囲む耐湿リング領域を有
    する半導体基板の回路領域内に複数の半導体素子を形成
    する工程と、 (b)前記複数の半導体素子を覆って前記半導体基板上
    方にエッチングストッパ層と絶縁層をこの順序で形成す
    る工程と、 (c)前記絶縁層上に、前記回路領域で第1の値の最小
    径を有する複数のビア孔用開口部と、前記耐湿リング領
    域で前記第1の値より小さい第2の値の幅を有する、ル
    ープ状のリング溝用開口部とを有するレジストパターン
    を形成する工程と、 (d)前記レジストパターンをマスクとして前記絶縁層
    をエッチングして、前記エッチングストッパ層を露出す
    るビア孔とリング溝を形成する工程と、 (e)前記レジストパターンを除去する工程と、 (f)露出しているエッチングストッパ層を除去して、
    前記ビア孔とリング溝とを完成する工程と、 (g)前記ビア孔とリング溝とを埋め戻すように、前記
    絶縁層上に導電層を形成する工程と、 (h)前記導電層の不要部を除去する工程とを含む半導
    体集積回路装置の製造方法。
  8. 【請求項8】 前記工程(d)のビア孔のエッチングと
    リング溝のエッチングとがほぼ同時に終了する請求項7
    記載の半導体集積回路装置の製造方法。
  9. 【請求項9】 さらに、 (i)前記工程(h)の後、前記ビア孔およびリング溝
    を埋めた前記導電層を覆って、前記絶縁層の上に他のエ
    ッチングストッパ層と他の絶縁層とをこの順序で形成す
    る工程と、 (j)前記他の絶縁層上に、前記回路領域で配線パター
    ン溝用開口部と、前記耐湿リング領域で、ループ状の他
    のリング溝用開口部とを有する他のレジストパターンを
    形成する工程と、 (k)前記他のレジストパターンをマスクとして前記他
    の絶縁層をエッチングして、前記他のエッチングストッ
    パ層を露出する配線パターン溝と他のリング溝を形成す
    る工程と、 (l)前記他のレジストパターンを除去する工程と、 (m)露出している他のエッチングストッパ層を除去し
    て、前記配線パターン溝と他のリング溝とを完成する工
    程と、 (n)前記配線パターン溝と他のリング溝とを埋め戻す
    ように、前記他の絶縁層上に他の導電層を形成する工程
    と、 (o)前記他の導電層の不要部を除去する工程とを含む
    請求項7または8記載の半導体集積回路装置の製造方
    法。
  10. 【請求項10】 さらに、前記工程(g)の前に、 (p)前記絶縁層上に配線パターン溝用開口部と他のリ
    ング溝用開口部とを有する他のレジストパターンを形成
    する工程と(q)前記他のレジストパターンをマスクと
    して、前記絶縁層をエッチングして配線用溝と他のリン
    グ溝とを形成する工程と、 (r)前記他のレジストパターンを除去する工程とを含
    み、前記工程(g)は、前記ビア孔、配線パターン溝、
    リング溝、他のリング溝を前記導電層で埋め戻す請求項
    7または8記載の半導体集積回路装置の製造方法。
JP2001067165A 2001-03-09 2001-03-09 半導体集積回路装置とその製造方法 Expired - Fee Related JP4118029B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2001067165A JP4118029B2 (ja) 2001-03-09 2001-03-09 半導体集積回路装置とその製造方法
KR1020010058014A KR100757395B1 (ko) 2001-03-09 2001-09-19 반도체 집적회로 장치와 그 제조방법
US09/955,333 US6498089B2 (en) 2001-03-09 2001-09-19 Semiconductor integrated circuit device with moisture-proof ring and its manufacture method
TW090123618A TW533485B (en) 2001-03-09 2001-09-25 Semicondcutor integrated circuit device with moisture-proof ring and its manufacture method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001067165A JP4118029B2 (ja) 2001-03-09 2001-03-09 半導体集積回路装置とその製造方法

Publications (2)

Publication Number Publication Date
JP2002270608A true JP2002270608A (ja) 2002-09-20
JP4118029B2 JP4118029B2 (ja) 2008-07-16

Family

ID=18925555

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001067165A Expired - Fee Related JP4118029B2 (ja) 2001-03-09 2001-03-09 半導体集積回路装置とその製造方法

Country Status (4)

Country Link
US (1) US6498089B2 (ja)
JP (1) JP4118029B2 (ja)
KR (1) KR100757395B1 (ja)
TW (1) TW533485B (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005328065A (ja) * 2004-05-14 2005-11-24 Sharp Corp デュアルダマシン配線の形成方法
JP2006147668A (ja) * 2004-11-16 2006-06-08 Nec Electronics Corp 半導体装置
JPWO2004097917A1 (ja) * 2003-04-30 2006-07-13 富士通株式会社 半導体装置の製造方法、半導体ウエハおよび半導体装置
WO2006121129A1 (ja) * 2005-05-13 2006-11-16 Nec Corporation 半導体装置及びその製造方法
JP2007019557A (ja) * 2006-10-16 2007-01-25 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2007059449A (ja) * 2005-08-22 2007-03-08 Fujitsu Ltd 半導体装置
US7215028B2 (en) 2003-09-26 2007-05-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
JP2007134747A (ja) * 2003-11-10 2007-05-31 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
WO2008126268A1 (ja) * 2007-03-30 2008-10-23 Fujitsu Microelectronics Limited 半導体装置
JP2008270720A (ja) * 2007-03-22 2008-11-06 Fujitsu Microelectronics Ltd 半導体装置及び半導体装置の製造方法
US7948039B2 (en) 2003-11-10 2011-05-24 Panasonic Corporation Semiconductor device and method for fabricating the same
US7969008B2 (en) 2005-12-27 2011-06-28 Fujitsu Semiconductor Limited Semiconductor device with improved pads
US8008779B2 (en) 2003-03-27 2011-08-30 Kabushiki Kaisha Toshiba Semiconductor device and semiconductor device manufacturing method
US8076780B2 (en) 2005-12-27 2011-12-13 Fujitsu Semiconductor Limited Semiconductor device with pads of enhanced moisture blocking ability

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7271489B2 (en) 2003-10-15 2007-09-18 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7831151B2 (en) 2001-06-29 2010-11-09 John Trezza Redundant optical device array
US6566736B1 (en) * 2001-11-30 2003-05-20 Advanced Micro Devices, Inc. Die seal for semiconductor device moisture protection
JP2003257970A (ja) * 2002-02-27 2003-09-12 Nec Electronics Corp 半導体装置及びその配線構造
JP3813562B2 (ja) * 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
TWI278962B (en) * 2002-04-12 2007-04-11 Hitachi Ltd Semiconductor device
JP4250006B2 (ja) * 2002-06-06 2009-04-08 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
JP3779243B2 (ja) * 2002-07-31 2006-05-24 富士通株式会社 半導体装置及びその製造方法
JP2004071705A (ja) * 2002-08-02 2004-03-04 Fujitsu Ltd 半導体装置及び半導体装置の製造方法
KR100447030B1 (ko) * 2002-08-22 2004-09-07 삼성전자주식회사 웰 바이어스 전압을 인가할 수 있는 반도체 소자 및 그제조방법
JP4025605B2 (ja) * 2002-08-30 2007-12-26 富士通株式会社 半導体装置及びその製造方法
JP2004172169A (ja) * 2002-11-15 2004-06-17 Toshiba Corp 半導体装置
JP4502173B2 (ja) * 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP4360881B2 (ja) * 2003-03-24 2009-11-11 Necエレクトロニクス株式会社 多層配線を含む半導体装置およびその製造方法
US20040219795A1 (en) * 2003-05-01 2004-11-04 Taiwan Semiconductor Manufacturing Co. Method to improve breakdown voltage by H2 plasma treat
US7115997B2 (en) * 2003-11-19 2006-10-03 International Business Machines Corporation Seedless wirebond pad plating
JP3808866B2 (ja) * 2003-12-05 2006-08-16 株式会社東芝 半導体装置
JP4659355B2 (ja) 2003-12-11 2011-03-30 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US20050184388A1 (en) * 2004-02-19 2005-08-25 Taiwan Semiconductor Manufacturing Co. Seal ring design without stop layer punch through during via etch
US9318378B2 (en) * 2004-08-21 2016-04-19 Globalfoundries Singapore Pte. Ltd. Slot designs in wide metal lines
TWI282031B (en) * 2004-08-31 2007-06-01 Univ Tohoku Nat Univ Corp Copper alloy and a liquid crystal display device
US7777338B2 (en) * 2004-09-13 2010-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structure for integrated circuit chips
JP4636839B2 (ja) * 2004-09-24 2011-02-23 パナソニック株式会社 電子デバイス
JP2006190839A (ja) * 2005-01-06 2006-07-20 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP4455356B2 (ja) * 2005-01-28 2010-04-21 Necエレクトロニクス株式会社 半導体装置
WO2006138424A2 (en) * 2005-06-14 2006-12-28 Cubic Wafer, Inc. Through chip connection
US7781886B2 (en) 2005-06-14 2010-08-24 John Trezza Electronic chip contact structure
US7786592B2 (en) 2005-06-14 2010-08-31 John Trezza Chip capacitive coupling
US7687400B2 (en) 2005-06-14 2010-03-30 John Trezza Side stacking apparatus and method
US7560813B2 (en) 2005-06-14 2009-07-14 John Trezza Chip-based thermo-stack
US7767493B2 (en) 2005-06-14 2010-08-03 John Trezza Post & penetration interconnection
US7838997B2 (en) 2005-06-14 2010-11-23 John Trezza Remote chip attachment
US7851348B2 (en) 2005-06-14 2010-12-14 Abhay Misra Routingless chip architecture
US7521806B2 (en) 2005-06-14 2009-04-21 John Trezza Chip spanning connection
US8456015B2 (en) 2005-06-14 2013-06-04 Cufer Asset Ltd. L.L.C. Triaxial through-chip connection
US7969015B2 (en) 2005-06-14 2011-06-28 Cufer Asset Ltd. L.L.C. Inverse chip connector
US7534722B2 (en) 2005-06-14 2009-05-19 John Trezza Back-to-front via process
JP2007019128A (ja) * 2005-07-06 2007-01-25 Sony Corp 半導体装置
KR100673196B1 (ko) * 2005-07-14 2007-01-22 주식회사 하이닉스반도체 플래쉬 메모리 소자의 금속배선 및 콘택플러그 형성방법
JP2007027639A (ja) * 2005-07-21 2007-02-01 Nec Electronics Corp 半導体装置
TWI286783B (en) * 2005-09-06 2007-09-11 Via Tech Inc Integrated circuit chip and manufacturing process thereof
CN100382283C (zh) * 2005-10-14 2008-04-16 威盛电子股份有限公司 集成电路芯片及其制程
JP4699172B2 (ja) * 2005-10-25 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置
US7635650B2 (en) 2006-04-14 2009-12-22 Sony Corporation Prevention of plasma induced damage arising from etching of crack stop trenches in multi-layered low-k semiconductor devices
US7687397B2 (en) 2006-06-06 2010-03-30 John Trezza Front-end processed wafer having through-chip connections
US7557444B2 (en) * 2006-09-20 2009-07-07 Infineon Technologies Ag Power-via structure for integration in advanced logic/smart-power technologies
JP5269799B2 (ja) * 2006-10-17 2013-08-21 キューファー アセット リミテッド. エル.エル.シー. ウエハのバイア形成
US8102057B2 (en) * 2006-12-27 2012-01-24 Hewlett-Packard Development Company, L.P. Via design for flux residue mitigation
US7705613B2 (en) * 2007-01-03 2010-04-27 Abhay Misra Sensitivity capacitive sensor
US7670874B2 (en) 2007-02-16 2010-03-02 John Trezza Plated pillar package formation
US7850060B2 (en) * 2007-04-05 2010-12-14 John Trezza Heat cycle-able connection
US7748116B2 (en) * 2007-04-05 2010-07-06 John Trezza Mobile binding in an electronic connection
US7960210B2 (en) * 2007-04-23 2011-06-14 Cufer Asset Ltd. L.L.C. Ultra-thin chip packaging
KR20090074970A (ko) * 2008-01-03 2009-07-08 삼성전자주식회사 가아드 링을 갖는 반도체 장치
US7968974B2 (en) * 2008-06-27 2011-06-28 Texas Instruments Incorporated Scribe seal connection
JP5407422B2 (ja) * 2009-02-27 2014-02-05 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP5830843B2 (ja) * 2010-03-24 2015-12-09 富士通セミコンダクター株式会社 半導体ウエハとその製造方法、及び半導体チップ
JP2012178496A (ja) * 2011-02-28 2012-09-13 Sony Corp 固体撮像装置、電子機器、半導体装置、固体撮像装置の製造方法
US8871550B2 (en) * 2012-05-24 2014-10-28 Infineon Technologies Ag Method for processing a wafer at unmasked areas and previously masked areas to reduce a wafer thickness
JP6013084B2 (ja) * 2012-08-24 2016-10-25 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
KR102515198B1 (ko) * 2014-12-23 2023-03-29 타호 리서치 리미티드 비아 차단 층
US10157856B2 (en) * 2016-05-31 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring structure and fabrication method therefor
CN107170679B (zh) * 2017-05-19 2020-02-07 京东方科技集团股份有限公司 一种导电图形的制作方法、导电图形及显示基板
CN111696952A (zh) * 2019-03-13 2020-09-22 住友电工光电子器件创新株式会社 微波集成电路
US11456247B2 (en) * 2019-06-13 2022-09-27 Nanya Technology Corporation Semiconductor device and fabrication method for the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5270256A (en) * 1991-11-27 1993-12-14 Intel Corporation Method of forming a guard wall to reduce delamination effects
JP2870402B2 (ja) * 1994-03-10 1999-03-17 株式会社デンソー 絶縁ゲート型電界効果トランジスタ
US5550399A (en) * 1994-11-03 1996-08-27 Kabushiki Kaisha Toshiba Integrated circuit with windowed fuse element and contact pad
US5861658A (en) * 1996-10-03 1999-01-19 International Business Machines Corporation Inorganic seal for encapsulation of an organic layer and method for making the same
TW325576B (en) * 1996-12-12 1998-01-21 Winbond Electronics Corp The manufacturing methods for die seal
JP3788093B2 (ja) * 1998-06-11 2006-06-21 セイコーエプソン株式会社 液晶パネル用基板、液晶パネル及びそれを用いた電子機器並びに液晶パネル用基板の製造方法

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8008779B2 (en) 2003-03-27 2011-08-30 Kabushiki Kaisha Toshiba Semiconductor device and semiconductor device manufacturing method
JP4580867B2 (ja) * 2003-04-30 2010-11-17 富士通セミコンダクター株式会社 半導体装置の製造方法、半導体ウエハおよび半導体装置
US8513776B2 (en) 2003-04-30 2013-08-20 Fujitsu Semiconductor Limited Semiconductor device and method capable of scribing chips with high yield
JPWO2004097917A1 (ja) * 2003-04-30 2006-07-13 富士通株式会社 半導体装置の製造方法、半導体ウエハおよび半導体装置
US9105706B2 (en) 2003-04-30 2015-08-11 Fujitsu Semiconductor Limited Semiconductor device fabrication method capable of scribing chips with high yield
US8329572B2 (en) 2003-09-26 2012-12-11 Panasonic Corporation Semiconductor device and method for fabricating the same
US8648472B2 (en) 2003-09-26 2014-02-11 Panasonic Corporation Semiconductor device
US7215028B2 (en) 2003-09-26 2007-05-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7935623B2 (en) 2003-09-26 2011-05-03 Panasonic Corporation Semiconductor device and method for fabricating the same
US7400045B2 (en) 2003-09-26 2008-07-15 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US8710595B2 (en) 2003-11-10 2014-04-29 Panasonic Corporation Semiconductor device
US7994589B2 (en) 2003-11-10 2011-08-09 Panasonic Corporation Semiconductor device and method for fabricating the same
US9082779B2 (en) 2003-11-10 2015-07-14 Panasonic Corporation Semiconductor device
JP2007134747A (ja) * 2003-11-10 2007-05-31 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US8618618B2 (en) 2003-11-10 2013-12-31 Panasonic Corporation Semiconductor device
US7948039B2 (en) 2003-11-10 2011-05-24 Panasonic Corporation Semiconductor device and method for fabricating the same
US8247876B2 (en) 2003-11-10 2012-08-21 Panasonic Corporation Semiconductor device
US9673154B2 (en) 2003-11-10 2017-06-06 Panasonic Corporation Semiconductor device
JP2005328065A (ja) * 2004-05-14 2005-11-24 Sharp Corp デュアルダマシン配線の形成方法
US7550850B2 (en) 2004-11-16 2009-06-23 Nec Electronics Corporation Semiconductor device
US8106514B2 (en) 2004-11-16 2012-01-31 Renesas Electronics Corporation Semiconductor device having an annular guard ring
US8686532B2 (en) 2004-11-16 2014-04-01 Renesas Electronics Corporation Semiconductor device having an annular guard ring
JP4689244B2 (ja) * 2004-11-16 2011-05-25 ルネサスエレクトロニクス株式会社 半導体装置
JP2006147668A (ja) * 2004-11-16 2006-06-08 Nec Electronics Corp 半導体装置
WO2006121129A1 (ja) * 2005-05-13 2006-11-16 Nec Corporation 半導体装置及びその製造方法
JP5438899B2 (ja) * 2005-05-13 2014-03-12 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP2007059449A (ja) * 2005-08-22 2007-03-08 Fujitsu Ltd 半導体装置
US7969008B2 (en) 2005-12-27 2011-06-28 Fujitsu Semiconductor Limited Semiconductor device with improved pads
US8076780B2 (en) 2005-12-27 2011-12-13 Fujitsu Semiconductor Limited Semiconductor device with pads of enhanced moisture blocking ability
US9059033B2 (en) 2005-12-27 2015-06-16 Fujitsu Semiconductor Limited Semiconductor device with pads of enhanced moisture blocking ability
JP4714659B2 (ja) * 2006-10-16 2011-06-29 パナソニック株式会社 半導体装置の製造方法
JP2007019557A (ja) * 2006-10-16 2007-01-25 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2008270720A (ja) * 2007-03-22 2008-11-06 Fujitsu Microelectronics Ltd 半導体装置及び半導体装置の製造方法
JP5365514B2 (ja) * 2007-03-30 2013-12-11 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US8143153B2 (en) 2007-03-30 2012-03-27 Fujitsu Semiconductor Limited Method for manufacturing semiconductor device
CN101641776B (zh) * 2007-03-30 2011-11-16 富士通半导体股份有限公司 半导体器件
US8937007B2 (en) 2007-03-30 2015-01-20 Fujitsu Semiconductor Limited Semiconductor device
US7939913B2 (en) 2007-03-30 2011-05-10 Fujitsu Semiconductor Limited Semiconductor device
WO2008126268A1 (ja) * 2007-03-30 2008-10-23 Fujitsu Microelectronics Limited 半導体装置

Also Published As

Publication number Publication date
JP4118029B2 (ja) 2008-07-16
KR100757395B1 (ko) 2007-09-11
US20020125577A1 (en) 2002-09-12
KR20020072490A (ko) 2002-09-16
US6498089B2 (en) 2002-12-24
TW533485B (en) 2003-05-21

Similar Documents

Publication Publication Date Title
JP4118029B2 (ja) 半導体集積回路装置とその製造方法
US7119009B2 (en) Semiconductor device with dual damascene wiring
US8586447B2 (en) Semiconductor device and manufacturing method of the same
US7220652B2 (en) Metal-insulator-metal capacitor and interconnecting structure
KR100491458B1 (ko) 반도체 장치
WO2004053948A2 (en) Air gap dual damascene process and structure
US7023091B2 (en) Semiconductor integrated circuit device
JP4050876B2 (ja) 半導体集積回路装置とその製造方法
US8049263B2 (en) Semiconductor device including metal-insulator-metal capacitor and method of manufacturing same
CN113540096B (zh) 静态随机存取存储器元件及其制造方法
US6309958B1 (en) Semiconductor device and method of manufacturing the same
US6858914B2 (en) Semiconductor device with fuses
EP1610376B1 (en) Semiconductor device
US20020192937A1 (en) Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer
US6551920B2 (en) Semiconductor device and fabrication method thereof
KR20050114784A (ko) 반도체 소자의 구리배선 형성방법
JP4211910B2 (ja) 半導体装置の製造方法
US6426263B1 (en) Method for making a merged contact window in a transistor to electrically connect the gate to either the source or the drain
JP2002373893A (ja) パッドを有する半導体装置とその製造方法
JPH11307474A (ja) 半導体装置およびその製造方法
KR100711925B1 (ko) 반도체 장치 및 그 제조 방법
KR100588661B1 (ko) 다층 금속 배선 구조 및 그 제조 방법
JP2004104136A (ja) 半導体集積回路装置の製造方法およびマスクパターンの生成方法
JP2004274082A (ja) 半導体集積回路装置
JP2001156169A (ja) 半導体集積回路装置の製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050830

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050913

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071012

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071023

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20071023

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080129

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080321

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080415

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080422

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4118029

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110502

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110502

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110502

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110502

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120502

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120502

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130502

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140502

Year of fee payment: 6

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees