JP2002161353A - 酸化アルミニウムフィルムの低温での製造方法 - Google Patents

酸化アルミニウムフィルムの低温での製造方法

Info

Publication number
JP2002161353A
JP2002161353A JP2001324382A JP2001324382A JP2002161353A JP 2002161353 A JP2002161353 A JP 2002161353A JP 2001324382 A JP2001324382 A JP 2001324382A JP 2001324382 A JP2001324382 A JP 2001324382A JP 2002161353 A JP2002161353 A JP 2002161353A
Authority
JP
Japan
Prior art keywords
substrate
organic
aluminum oxide
film
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001324382A
Other languages
English (en)
Other versions
JP4232944B2 (ja
Inventor
Jarmo Skarp
スカルプ ヤルモ
Mervi Linnermo
リンネルモ メルヴィ
Timo Asikainen
アスィカイネン ティモ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Microchemistry Oy
Original Assignee
ASM Microchemistry Oy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Microchemistry Oy filed Critical ASM Microchemistry Oy
Publication of JP2002161353A publication Critical patent/JP2002161353A/ja
Application granted granted Critical
Publication of JP4232944B2 publication Critical patent/JP4232944B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • H10K50/844Encapsulations

Abstract

(57)【要約】 【課題】 ALD方法によって基板の上に酸化アルミニ
ウム薄膜を作り出す方法であって、蒸発可能な有機アル
ミニウム化合物を成長基板に結合させ、結合した有機ア
ルミニウム化合物を酸化アルミニウムに変換する工程を
含む方法を提供すること。 【解決手段】 本発明によれば、結合した有機アルミニ
ウム化合物を、水以外の酸素の反応性蒸気発生源と接触
させることによって酸化アルミニウムに変換し、そして
その基板はその成長方法の間190℃未満の温度に保た
れる。本発明によって、品質の良いフィルムを低温度で
作り出すことが可能である。高温に耐えない表面を不動
態化するのに、密な構造を持っている誘電性の薄膜を使
用することができる。そのような表面は、例えば、ポリ
マーフィルムである。さらに、水のない酸素源を使用す
ると、水に敏感な表面をも不動態化することができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、ALD型方法によ
って酸化アルミニウムフィルムを製造する方法に関す
る。本方法によれば、酸化アルミニウムフィルムは基板
の上に金属化合物を接着して、前記金属化合物を金属酸
化物に変換することによって低温で製造される。
【0002】
【従来の技術】高い比誘電率(誘電率)を持っている誘
電性の薄膜は、マイクロエレクトロニックスの分野の様
々な用途で使用される。例えば、ドラム−メモリに現在
使用されているSiOおよびSiは、静電容量
が一定のままでなければならないのに、コンデンサのサ
イズが小さくなっているので、より誘電率の高い材料で
置き換えられなければならないだろう。
【0003】表面を不動態化するのに適しているAl
フィルムは、スパッタリングのような物理的方法に
よって予め調製されている。スパッタリングによって製
造されるフィルムの問題は、形成されたフィルムの凹凸
およびフィルムに残存しているピンホールであり、その
ピンホールがそのフィルムを通る水の拡散経路を形成し
ている。
【0004】DautartasおよびManchan
daは、Al薄膜の炭素汚染を減らす方法を開示
した。その適用された方法はALD型方法であり、有機
アルミニウム前駆物質が水と一緒に使用される。少なく
とも第三サイクル毎に、炭素汚染物を減らすために、オ
ゾンを反応室に導入する。190℃より低い温度で堆積
した酸化アルミニウムフィルムは密でなく、再生できな
かったので、その方法には限界がある。(Mindau
gas F. DautartasおよびLalita
Manchanda、米国特許第6,124,158
号)
【0005】ALD型の方法は、アルミニウム源物質と
してアルミニウムアルコキシド、トリメチルアルミニウ
ム(TMA)またはAlClを、および酸素源物質と
して水、アルコール、H、またはNOを使用す
ることによってAlフィルムを製造するのにも使
用されている。TMAおよび水からのAlフィル
ムを、150から400℃までの範囲の温度で堆積させ
た。例えば、一般的には、その温度は150から300
℃であった。堆積温度範囲の低い方の端では、そのフィ
ルムの密度に問題があったけれども、得られたALDフ
ィルムは、均一な厚さを持っており、少しのピンホール
も含んでいなかった。しかしながら、有機ELディスプ
レイのような有機ポリマーまたは低分子量の有機分子を
使用する用途では、堆積温度は好ましくは150℃未満
である必要がある。使用される基板が水に敏感である場
合、酸素源物質として水を使用することは不可能であ
る。
【0006】
【発明が解決しようとする課題】本発明は、100℃ま
で下げた基板温度でALD型方法によって高品質の酸化
アルミニウム薄膜を成長させることができるという驚く
べき発見に基づいている。もう1つの驚くべき発見は、
有機層を含んだ基板の性質を破壊することなく、堆積方
法でオゾンを使うことができるということであった。周
囲のガス雰囲気に敏感なその表面の下にある材料を保護
している基板表面上に、密なピンホールのない薄膜層を
ALDによって非常に速やかに得ることができる。より
詳細には、本発明の方法は請求項1の特有の部分に述べ
られていることが特徴である。
【0007】多くの重要な利点が本発明によって得られ
る。従って、本発明を使用して、品質の良いフィルムを
低温度で製造することが可能である。密な構造を有する
誘電性の薄膜を、高温に耐えない表面を不動態化するの
に使うことができる。そのような表面とは、例えば、ポ
リマーフィルムである。さらに、もし水のない酸素源を
使うならば、水に敏感な表面も不動態化することができ
る。
【0008】さらに、少なくとも1つの有機フィルムを
含む機能的なフィルムの間の緩衝層として、密な構造を
持つ酸化アルミニウムを含む誘電性のフィルムを使うこ
とができる。それで、その誘電性フィルムは、機能的な
フィルム間の反応または拡散のいずれかを防止する。
【0009】
【課題を解決するための手段】定義 本発明の目的のために、「ALD型方法」は、表面上に
ガス状発生源化学薬品からの薄膜の堆積が、一連の自己
飽和している表面反応に基づく方法を示している。AL
D方法の原理は、例えば、米国特許第6,015,59
0号に開示されている。
【0010】「反応空間」は、ALDによる堆積が可能
であるように、条件を調整することができる反応器、ま
たは反応室を示すために使用される。
【0011】「薄膜」は、発生源から基板までの真空、
気相または液相経由で分離イオン、原子または分子とし
て輸送される元素または化合物から成長させられるフィ
ルムを示すのに使用される。フィルムの厚さは用途に依
存し、広い範囲で、例えば、1分子層から1000n
m、またはそれ以上までも変わる。
【0012】「密な」構造とは、本質的に同じ母材から
成っている2つの薄膜を比較する時、それを通る漏洩電
流がより小さいか、あるいは、イオンまたはガスに対し
てより低い浸透性を持っている薄膜を意味している。
【0013】堆積方法 誘電性層または不動態化層として機能する酸化アルミニ
ウム薄膜は、所望の基板上にALDによって成長する。
その基板を、約100℃から190℃の範囲から選ばれ
るのが好ましい加工温度まで加熱する。
【0014】特に、アルミニウムに結合している少なく
とも1つのアルキル基を含む以下の有機アルミニウム化
合物が本発明で使用される。すなわち、XはH、F、C
l、Br、I、RCHOの群から選ばれ、そのRCHO
はアルコキシ基であり、Lは飽和または不飽和の線状
または分枝炭化水素である、モノアルキルアルミニウム
化合物LAlX、XはH、F、Cl、Br、I、R
CHOの群から選ばれ、そのRCHOはアルコキシ配位
子であり、L、Lは単結合、二重結合および/また
は三重結合を持っている線状または分枝炭化水素であ
る、ジアルキルアルミニウム化合物LAlX、L
、L、およびLは単結合、二重結合および/また
は三重結合を持っている線状または分枝炭化水素であ
る、トリアルキルアルミニウム化合物LAl
である。
【0015】その有機アルミニウム化合物を、気相の反
応室に導入して、基板表面と接触させる。TMAとして
も知られているトリメチルアルミニウム、(CH
Alをアルミニウム源化学薬品として使用するのが最も
好ましい。
【0016】その方法では、酸素を含む強力な酸化性源
化学薬品を使用する。酸素源としては、以下の群、すな
わち、オゾン、有機オゾン化物、不対電子を含む酸素原
子、有機過酸化物および有機過酸から選ばれる1つ、ま
たはいくつかの化学薬品を使用する。
【化1】 過酸は、過酢酸CHCOOOHのように、同じ炭素原
子に結合したOOHおよびOの基を含んでいる。
【化2】 有機オゾン化物は、2つの炭素原子の間にOおよびO−
Oの両方の基を含んでいる。
【0017】過酸化ジメチルおよび過酸化ベンゾイル
が、適当な有機過酸化物の例である。これらの前記化合
物に加えて、過酸化物は次の化合物、すなわち、R
よびR がCH、(CHC、Cまたはベ
ンゾイルのような線状、分枝または環状の有機配位子で
あるR−O−O−R、あるいは、RがCH
(CHCまたはCのような線状、分枝また
は環状の有機配位子であるR−O−O−Hの1つであ
る場合もある。
【0018】酸素源としてオゾンを使用するのが最も好
ましい。その堆積方法では、水を発生源化学薬品として
は使用しない。得られる酸化アルミニウムの成長速度
は、約0.8Å/サイクルと同じように良好である。オ
ゾンと、トリメチルアルミニウムとの、またはジメチル
アルミニウムおよびモノメチルアルミニウムのような表
面に結合したトリメチルアルミニウムまたはトリメチル
アルミニウムの留分との間の表面反応は、その酸化アル
ミニウム表面に、以下のトリメチルアルミニウムパルス
の基板表面との自己飽和している化学吸着反応のために
充分なOH基を提供すると考えられる。
【0019】オゾンは、その方法の酸素発生源であるば
かりでなく、その分子が破壊されるときに放出されるた
くさんの化学エネルギーをも含んでいる。 O(ガス)・・・・・・→3/2O(ガス) ΔH
−142.7 kJ/モル およびΔG =−16
3.2 kJ/モル (N.N.GreenwoodおよびA.Earnsh
aw著、「Chemistry of the Ele
ments」、 Pergamon Press社、オ
ックスフォード、イギリス、1986年。)
【0020】オゾン分子が分解すると、表面の頂部分子
層に追加エネルギーが提供され、それによりある種の表
面反応が促進される場合がある。余剰OH基の除去とA
l−O−Alブリッジの生成を経由してAl表面
の高密度化が進む可能性がある。
【化3】 同じく、有機過酸化物のO−O結合が壊れると、結果と
して生じるRO断片は反応性が高い。
【0021】オゾンを反応室に導入する前に、オゾンを
任意に希釈する。この目的で、酸素ガス、窒素のような
不活性ガスまたはアルゴンのような貴ガスを使用するこ
とができる。本方法に従って調製される酸化アルミニウ
ムフィルムが特に適当である用途の例は、有機発光ダイ
オード(OLED)、有機エレクトロルミネッセンスデ
ィスプレイ(OEL)、有機太陽電池(OSC)、およ
び弾性表面波(SAW)フィルターである。これらの用
途は、一般に、低い堆積温度を必要とし、および/また
は、水分および/または酸素に敏感である。
【0022】
【発明の実施の形態】本発明の第1の好ましい実施形態
によれば、不動態化している層を含む有機ELディスプ
レイが製造される。代表的な有機ELディスプレイは、
一般的にはガラスまたは相当する材料で作られている基
板11(図1参照)にアノード12を配置することによ
って製造される。アノード12の上には、正孔輸送層1
3を堆積させ、前記正孔輸送層13の上に発光層14を
堆積させる。さらに、発光層14の上には、電子を輸送
することが可能な層15を堆積させる。これらの層13
〜15はすべて有機材料から成るのが好ましく、前記有
機材料はポリマーかまたは低分子量の分子のいずれかで
ある。次いで、電子を輸送することが可能な層15の上
に、カソード16を配置する。カソードは金属でできて
おり、一般的には、アルミニウム、マグネシウム、また
はカルシウムで被覆されたアルミニウムである。前記の
金属では、その金属表面上に、金属と有機層の境界面に
対して有害な酸化物層が容易に得られる。次いで、この
ように得られた構造の表面上に、本方法によって、不動
態化している層17が製造される。「表面」によってと
は、全ての可能な表面を意味する、すなわち、垂直表面
も好ましく不動態化されるということに留意する必要が
ある。
【0023】本発明の第2の好ましい実施形態によれ
ば、保護層を含むSAWフィルターが製造される。代表
的なSAWフィルターは、図2に表されている。それ
は、圧電基板の上に置かれた第1の音響吸収器21およ
び第2の音響吸収器22を包含し、前記圧電基板は、一
般的に、石英、ニオブ酸リチウム、またはタンタル酸リ
チウムである。到着信号は入力変換器23に導かれ、発
信信号は出力変換器24から集められる。その入力変換
器は、電気信号を小さな音響波に変換し、前記音響波は
その出力変換器によって電気信号に再変換される。通
常、その構造は密閉してカプセルに包み込まれている。
本発明では、その密閉カプセル封入が、本発明の方法に
よってSAW構造の表面に堆積される薄い保護層にとっ
て代わられる。従って、より安価なカプセル封入方法
を、その保護構造に応用して完成したSAW製品を得る
ことができる。
【0024】単一ウエハ反応器、平面のまたは垂直の基
板ホルダーとバッチプロセス反応器の多数のウエハ、ま
たは他の基板を持つ反応器は、この低温加工発明を利用
することができるALD反応器型の例としての役を果た
す。有機太陽電池は、バッチプロセス反応器では保護層
で好ましく被覆されて、製造コスト/基板を低くしてい
る。
【0025】以下の制限されない実用的な実施例によっ
て、本発明をさらに説明する。 実施例1:酸素源としての水またはオゾンのいずれかを
使用するAl薄膜の堆積 ケースA:酸素源としての水を使用するAl膜の
堆積 フィンランドのASM Microchemistry
Oyによって製造された、流動型ALD反応器、F−
120モデルで、Alの薄膜を堆積させた。アル
ミニウム源化学薬品として、TMAとしても知られてい
るトリメチルアルミニウム(CHAlを使用し
た。酸素源化学薬品として、純水を使用した。その原料
化学薬品を、外部の発生源から反応器に導入した。
【0026】基板を反応空間に取り付けて、その反応器
を機械式真空ポンプで引いて真空にした。次に、窒素ガ
スを流して、反応空間の圧力を約5〜10ミリバールの
範囲に調整した。次いで、その反応空間を堆積温度まで
加熱した。
【0027】薄膜を100℃および300℃で堆積させ
た。ALDの原理にしたがって、原料化学薬品を反応空
間の中にパルス状に送った。すなわち、そのパルスは不
活性ガスによって互いに分離され、反応空間の気相中で
その原料化学薬品の混合を防止した。表面反応だけを起
こすことができた。
【0028】そのパルスのサイクルは以下の通りであっ
た。すなわち、TMAのパルス0.5秒、Nのパージ
1.0秒、HOのパルス0.4秒、Nのパージ1.
5秒である。
【0029】TMAおよびHOからのAlの成
長速度は、300℃で0.8Å/サイクル、100℃で
0.5Å/サイクルであった。屈折率は、300℃で成
長したフィルムの場合は1.64であり、100℃で成
長したフィルムの場合は1.59であった。100℃で
成長したフィルムは、電気測定で直ちに漏れ始め、静電
容量または破壊電圧の正確な値を測定することは不可能
であった。そのフィルムはあまり密ではないようであっ
た。
【0030】ケースB:酸素源としてのオゾンを使用す
るAlの堆積 フィンランドのASM Microchemistry
Oyによって製造された、流動型ALD反応器、F−
120モデルで、Alの薄膜を堆積させた。アル
ミニウム源化学学薬品として、TMAとしても知られて
いるトリメチルアルミニウム(CHAlを使用し
た。その前提で調製されたオゾンを、酸素源化学薬品と
して使用した。その原料化学薬品を、外部の発生源から
反応器に導入した。
【0031】基板を反応空間に取り付けて、反応器を機
械式真空ポンプで引いて真空にした。次に、窒素を入れ
て、反応空間の圧力を約5〜10ミリバールの範囲に調
整した。次いで、反応空間を堆積温度まで加熱した。
【0032】薄膜を100℃と300℃で堆積させた。
原料化学薬品を、ケースAのようにALDの原理にした
がって、反応空間にパルス状に送った。そのパルスのサ
イクルは、以下の通りであった。すなわち、TMAのパ
ルス0.5秒、Nのパージ1.0秒、Oのパルス
4.0秒、Nのパージ1.5秒である。
【0033】要約すると、結果として生じた薄膜は以下
の性質を持っていた。
【表1】 *電気的に非常に漏れやすい薄膜であるため、測定を行
うことができなかった。
【0034】100℃でTMAおよびオゾンから成長さ
せたフィルムをTOF−ERDA分析すると、そのフィ
ルムは炭素6.0%および水素15.8%を含んでいる
ことが明らかになった。ケースAとケースBを比較する
と、水をオゾンで置き換えることが低温での堆積方法に
効果があったことが分かる。
【0035】実施例2:酸素源としてのオゾンを使用す
る有機層上へのAl薄膜の堆積 有機薄膜を持つ基板を、フィンランドのASM Mic
rochemistry Oyによって製造されたF−
450ALD反応器の反応空間に取り付けた。その反応
空間の圧力を機械式真空ポンプで約5〜10ミリバール
に調整して、純度が99.9999%であると言う窒素
ガスを流した。次いで、反応空間の温度を約110℃に
調整した。外部発生源から蒸発されたTMAおよびそう
いう前提で調製されたオゾンを交互に反応空間に導入し
て、その表面と接触させた。そのパルス送りの時間は、
TMAで1秒、Oは4秒であった。その原料化学薬品
のパルスは、窒素ガスで互いに分離された。各原料化学
薬品のパルスの後に、1.0〜1.5秒のパージ時間が
続いた。これら2つの原料化学薬品のパルスおよび2つ
のパージ時間から成るパルスのサイクルを、基板の上に
50nmの酸化アルミニウムの薄膜が得られるまで、繰
り返した。一般的には、その堆積には約600サイクル
のパルス送りが必要であった。その結果、堆積方法でそ
の有機層が悪くなることはなかった。さらに、その不動
態化した構造を有機層の機能性を損なうことなく、普通
の部屋の空気で保存することができた。
【図面の簡単な説明】
【図1】図1は、周囲のガス雰囲気に対して不動態化層
で密封されている有機ELディスプレイの断面を概略的
に示す図である。
【図2】図2はSAW(弾性表面波)フィルターの構造
を示す図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ティモ アスィカイネン フィンランド国,エフアイエヌ−01360 ヴァンター,ラウタカリオンティエ 1 シー 21 Fターム(参考) 4F100 AA19B AH08B AH10A AS00C AT00A BA02 BA03 BA07 BA10A BA10C EA061 EH66C EJ12B EJ132 EJ422 EJ591 EJ601 GB41 JG05 JM02B JN13A 4K022 AA13 AA32 AA41 AA43 BA02 BA15 BA33 DA06 DB24 4K029 BD01 CA01 DB06 EA01 EA08

Claims (14)

    【特許請求の範囲】
  1. 【請求項1】 ALD法を使用して基板上に酸化アルミ
    ニウム薄膜を製造する方法であって、 蒸発可能な有機アルミニウム化合物を成長基板に結合さ
    せ、およびその結合した有機アルミニウム化合物を酸化
    アルミニウムに変換させる方法であり、 結合した有機アルミニウム化合物を、水以外の酸素の反
    応性蒸気発生源と接触させることにより酸化アルミニウ
    ムに変換すること、および基板を成長方法の間190℃
    未満の温度に維持することを特徴とする方法。
  2. 【請求項2】 前記蒸発可能な有機アルミニウム化合物
    がアルミニウムに結合した少なくとも1つのアルキル基
    を含む請求項1に記載の方法。
  3. 【請求項3】 前記蒸発可能な有機アルミニウム化合物
    の式は LAlX (I) または LAlX (II) または LAl (III) であり、式中、XはH、F、Cl、B、I、RCHOの
    グループから選択され、ここでRCHOはアルコキシ基
    であり、およびL、LおよびLは線状または分枝
    の飽和または不飽和炭化水素である請求項1または2に
    記載の方法。
  4. 【請求項4】 酸素の前記反応発生源が、オゾン、有機
    オゾン化物、不対電子を持つ酸素原子、有機過酸化物、
    有機過酸、またはそれらの2つまたはそれ以上の混合物
    または化合物である前記請求項のいずれかに記載の方
    法。
  5. 【請求項5】 酸素の前記反応発生源が以下の式の有機
    過酸化物であって、 R−O−O−R (IV) 式中、Rは線状、分枝または環状の有機配位子であ
    り、およびRは水素であるか、または線状、分枝また
    は環状の有機配位子である、有機過酸化物の1つまたは
    それ以上を含む前記請求項のいずれかに記載の方法。
  6. 【請求項6】 方法の間、前記基板を好ましくは160
    ℃未満の温度に維持する前記請求項のいずれかに記載の
    方法。
  7. 【請求項7】 方法の間、前記基板を最も好ましくは1
    30℃未満の温度に維持する前記請求項のいずれかに記
    載の方法。
  8. 【請求項8】 前記酸化アルミニウムフィルムの厚さが
    約5〜1000nmである請求項1に記載の方法。
  9. 【請求項9】 前記酸化アルミニウムフィルムの厚さが
    25〜75nmである請求項8に記載の方法。
  10. 【請求項10】 前記基板が有機発光層を含む前記請求
    項のいずれかに記載の方法。
  11. 【請求項11】 前記基板が弾性表面波フィルターを含
    む前記請求項のいずれかに記載の方法。
  12. 【請求項12】 前記基板が有機太陽電池層を含む前記
    請求項のいずれかに記載の方法。
  13. 【請求項13】 周囲の雰囲気中の水分または他のガス
    または液体材料に敏感な材料を含み、その上に請求項1
    の方法によって個々の保護層として酸化アルミニウム層
    を形成した基板。
  14. 【請求項14】 周囲の雰囲気中の水分または他のガス
    または液体材料に敏感な材料を含み、CVDまたはPV
    Dのような別の堆積方法によって堆積された同じ種類の
    別の層の上に補足の保護層として請求項1の方法によっ
    て酸化アルミニウム層を形成した基板。
JP2001324382A 2000-10-23 2001-10-23 酸化アルミニウムフィルムの低温での製造方法 Expired - Lifetime JP4232944B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FI20002323A FI118014B (fi) 2000-10-23 2000-10-23 Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
FI20002323 2000-10-23

Publications (2)

Publication Number Publication Date
JP2002161353A true JP2002161353A (ja) 2002-06-04
JP4232944B2 JP4232944B2 (ja) 2009-03-04

Family

ID=8559342

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001324382A Expired - Lifetime JP4232944B2 (ja) 2000-10-23 2001-10-23 酸化アルミニウムフィルムの低温での製造方法

Country Status (4)

Country Link
US (2) US6743475B2 (ja)
JP (1) JP4232944B2 (ja)
FI (1) FI118014B (ja)
TW (1) TW548239B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006165537A (ja) * 2004-11-19 2006-06-22 Asm Internatl Nv 低温での金属酸化物膜の製造方法
JP2009524735A (ja) * 2006-01-26 2009-07-02 オスラム−シルヴァニア インコーポレイテッド 高い初期輝度を有する耐湿性エレクトロルミネッセンス蛍光体及びその製造方法
WO2012020771A1 (ja) 2010-08-13 2012-02-16 旭硝子株式会社 積層体および積層体の製造方法
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
JP5906507B1 (ja) * 2015-02-27 2016-04-20 株式会社昭和真空 多層膜被覆樹脂基板およびその製造方法

Families Citing this family (379)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
TW548239B (en) * 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
US7476420B2 (en) * 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
US7192827B2 (en) * 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6926572B2 (en) * 2002-01-25 2005-08-09 Electronics And Telecommunications Research Institute Flat panel display device and method of forming passivation film in the flat panel display device
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
WO2004011887A2 (en) 2002-03-25 2004-02-05 Fleming And Associates, Inc. Flow stabilizer for flow bench
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6855594B1 (en) * 2003-08-06 2005-02-15 Micron Technology, Inc. Methods of forming capacitors
US8304019B1 (en) * 2004-02-19 2012-11-06 Nanosolar Inc. Roll-to-roll atomic layer deposition method and system
US7115304B2 (en) 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
US7160578B2 (en) 2004-03-10 2007-01-09 Pilkington North America Method for depositing aluminum oxide coatings on flat glass
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7812522B2 (en) * 2004-07-22 2010-10-12 Ifire Ip Corporation Aluminum oxide and aluminum oxynitride layers for use with phosphors for electroluminescent displays
KR100867038B1 (ko) * 2005-03-02 2008-11-04 삼성전기주식회사 커패시터 내장형 인쇄회로기판 및 그 제조방법
WO2006133267A2 (en) 2005-06-06 2006-12-14 Monogram Biosciences, Inc. Methods and compositions for determining altered susceptibility of hiv-1 to anti-hiv drugs
US7767363B2 (en) * 2005-06-24 2010-08-03 Micron Technology, Inc. Methods for photo-processing photo-imageable material
US7582562B2 (en) * 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
US7833437B2 (en) * 2006-01-26 2010-11-16 Global Tungsten & Powders Corp. Moisture-resistant electroluminescent phosphor with high initial brightness and method of making
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080100202A1 (en) * 2006-11-01 2008-05-01 Cok Ronald S Process for forming oled conductive protective layer
US20080138538A1 (en) * 2006-12-06 2008-06-12 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US20080138624A1 (en) * 2006-12-06 2008-06-12 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US7781031B2 (en) * 2006-12-06 2010-08-24 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
DE102007054384A1 (de) * 2007-11-14 2009-05-20 Institut Für Solarenergieforschung Gmbh Verfahren zum Herstellen einer Solarzelle mit einer oberflächenpassivierenden Dielektrikumdoppelschicht und entsprechende Solarzelle
CN101959897A (zh) 2008-02-27 2011-01-26 乔治洛德方法研究和开发液化空气有限公司 使用原子层沉积(ald)法在基底上形成含钛层的方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8561973B2 (en) * 2009-01-20 2013-10-22 Delaware Capital Formation, Inc. Pull action clamp with toggle lock
DE102009024411A1 (de) 2009-03-24 2010-09-30 Osram Opto Semiconductors Gmbh Dünnschichtverkapselung für ein optoelektronisches Bauelement, Verfahren zu dessen Herstellung und optoelektronisches Bauelement
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
FI122616B (fi) 2010-02-02 2012-04-30 Beneq Oy Vahvistettu rakennemoduuli ja sen valmistusmenetelmä
US9012294B2 (en) 2010-07-27 2015-04-21 Panasonic Intellectual Property Management Co., Ltd. Manufacturing method of non-volatile memory device
DE102010036256B4 (de) * 2010-09-03 2018-09-27 Epcos Ag Mikroakustisches Bauelement und Herstellungsverfahren
JP2012160979A (ja) * 2011-02-01 2012-08-23 Taiyo Yuden Co Ltd 弾性波デバイス及びその製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI427180B (zh) * 2011-08-26 2014-02-21 Atomic Energy Council 三氧化二鋁薄膜製備方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9472693B2 (en) 2012-06-07 2016-10-18 Samsung Electronics Co., Ltd. Solar cell and method for manufacturing the same
US8784950B2 (en) 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
WO2014111492A1 (en) * 2013-01-16 2014-07-24 Universiteit Gent Methods for obtaining hydrophilic fluoropolymers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6361327B2 (ja) 2014-07-02 2018-07-25 セイコーエプソン株式会社 電気光学装置、及び電子機器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102592325B1 (ko) 2016-07-14 2023-10-20 삼성전자주식회사 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102627458B1 (ko) 2016-09-13 2024-01-19 삼성전자주식회사 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
FR3059340B1 (fr) * 2016-11-29 2019-07-05 Total Sa Procede de depot de couche de chalcogenure sur un substrat
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR20200127261A (ko) * 2018-03-26 2020-11-10 램 리써치 코포레이션 탄소 막들의 원자 층 증착
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108531891A (zh) * 2018-05-18 2018-09-14 南京工业大学 一种利用分子和原子层沉积技术制备气体过滤膜的方法与应用
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US20200199744A1 (en) * 2018-12-24 2020-06-25 Nanya Technology Corporation Method for preparing multilayer structure
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11932938B2 (en) 2019-08-01 2024-03-19 Applied Materials, Inc. Corrosion resistant film on a chamber component and methods of depositing thereof
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112850639A (zh) * 2021-01-26 2021-05-28 嘉庚创新实验室 一种微纳器件聚合物、制备方法及应用
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP4556282B2 (ja) 2000-03-31 2010-10-06 株式会社デンソー 有機el素子およびその製造方法
US20020003403A1 (en) 2000-04-25 2002-01-10 Ghosh Amalkumar P. Thin film encapsulation of organic light emitting diode devices
US20010052752A1 (en) 2000-04-25 2001-12-20 Ghosh Amalkumar P. Thin film encapsulation of organic light emitting diode devices
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
TW548239B (en) * 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
CA2452656C (en) 2001-07-18 2010-04-13 The Regents Of The University Of Colorado A method of depositing an inorganic film on an organic polymer

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006165537A (ja) * 2004-11-19 2006-06-22 Asm Internatl Nv 低温での金属酸化物膜の製造方法
JP2009524735A (ja) * 2006-01-26 2009-07-02 オスラム−シルヴァニア インコーポレイテッド 高い初期輝度を有する耐湿性エレクトロルミネッセンス蛍光体及びその製造方法
WO2012020771A1 (ja) 2010-08-13 2012-02-16 旭硝子株式会社 積層体および積層体の製造方法
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9803278B2 (en) 2013-06-28 2017-10-31 Sumitomo Electric Industries, Ltd. Vapor phase growth method
JP5906507B1 (ja) * 2015-02-27 2016-04-20 株式会社昭和真空 多層膜被覆樹脂基板およびその製造方法

Also Published As

Publication number Publication date
JP4232944B2 (ja) 2009-03-04
TW548239B (en) 2003-08-21
FI20002323A (fi) 2002-04-24
US6743475B2 (en) 2004-06-01
FI20002323A0 (fi) 2000-10-23
US20040197476A1 (en) 2004-10-07
FI118014B (fi) 2007-05-31
US6884465B2 (en) 2005-04-26
US20020106451A1 (en) 2002-08-08

Similar Documents

Publication Publication Date Title
JP4232944B2 (ja) 酸化アルミニウムフィルムの低温での製造方法
JP5464775B2 (ja) 低温での金属酸化物膜の製造方法
US7476420B2 (en) Process for producing metal oxide films at low temperatures
Park et al. Thin film encapsulation for flexible AM-OLED: a review
TW200924185A (en) Thin film encapsulation containing zinc oxide
JP2011205133A (ja) 可撓性ポリマー基板と原子層蒸着され気体透過バリアとを含む物品。
JP2005537638A5 (ja)
KR20120096084A (ko) 밀봉 필름의 차수 성능 개선 방법 및 장치
WO1996008122A1 (fr) Procede d'enrobage d'un element electroluminescent organique et d'un autre element electroluminescent organique
JP2002260848A (ja) 有機el素子に用いるフィルム及び有機el装置
KR102023206B1 (ko) 소자 및 기판의 봉입을 위한 투과 차단체
Xiao et al. The improvement of thin film barrier performances of organic–inorganic hybrid nanolaminates employing a low-temperature MLD/ALD method
US20170275180A1 (en) Tungsten Sulfide Thin Film and Preparation Method Therefor
Feng-Bo et al. Fabrication of tunable [Al2O3: Alucone] thin-film encapsulations for top-emitting organic light-emitting diodes with high performance optical and barrier properties
EP3398769A1 (en) Laminate and method for manufacturing same, gas barrier film and method for manufacturing same, and organic light-emitting element
US3243363A (en) Method of producing metallic and dielectric deposits by electro-chemical means
JP2005166400A (ja) 表面保護膜
KR100371932B1 (ko) 알루미늄막 또는 산화알루미늄막의 형성방법
CN105810569A (zh) 一种硫化钨薄膜及其制备方法
JP4106931B2 (ja) 透明ガスバリア薄膜被覆フィルム
WO2013157770A1 (ja) 無機膜を用いた水分透過防止膜の製造方法、無機膜を用いた水分透過防止膜及び電気、電子封止素子
CN110010795B (zh) 氮化硅薄膜及其制备方法、封装结构
KR100501906B1 (ko) 유기 발광 소자의 애노드 배리어 층 형성 방법 및 애노드배리어 층이 포함된 유기 발광 소자
JP6903872B2 (ja) ガスバリアフィルム積層体の製造方法
KR20030082781A (ko) 박막형 게터층이 형성된 밀봉형 유기 발광 소자 및 그제조방법

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20031225

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050922

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061225

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20061228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070323

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080407

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080704

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080723

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081021

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081110

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081204

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111219

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4232944

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111219

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121219

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121219

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131219

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term