KR20120096084A - 밀봉 필름의 차수 성능 개선 방법 및 장치 - Google Patents

밀봉 필름의 차수 성능 개선 방법 및 장치 Download PDF

Info

Publication number
KR20120096084A
KR20120096084A KR1020127018613A KR20127018613A KR20120096084A KR 20120096084 A KR20120096084 A KR 20120096084A KR 1020127018613 A KR1020127018613 A KR 1020127018613A KR 20127018613 A KR20127018613 A KR 20127018613A KR 20120096084 A KR20120096084 A KR 20120096084A
Authority
KR
South Korea
Prior art keywords
substrate
layer
depositing
process chamber
layers
Prior art date
Application number
KR1020127018613A
Other languages
English (en)
Inventor
태경 원
산자이 야다브
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120096084A publication Critical patent/KR20120096084A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Electroluminescent Light Sources (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

재료 층을 저온에서 기판 상에 증착하는 방법 및 장치가 기재되어 있다. 재료 층은 하부 재료들의 열 불안정성으로 인해 여러 디스플레이 애플리케이션들에서 필요로 하는 저온 공정들을 위한 밀봉 층으로서 이용될 수 있다. 밀봉 층은 다수의 기판 형태들 및 여러 기판 크기들에 있어서 표면 조도를 감소시키며, 차수 성능을 개선하고, 열 응력을 감소시키며, 양호한 스텝 커버리지를 제공하기 위해서 하나 이상의 배리어 층 재료들 및 하나 이상의 저 유전체 상수의 재료들을 갖는 하나 이상의 재료 층들(다층)을 포함한다. 따라서, 이와 같이 증착된 밀봉 층은 OLED 소자들과 같은 다양한 디스플레이 소자들을 위해서 양호한 소자 수명을 제공한다. 게다가, 무정형 탄소 재료를 저온에서 기판 상에 증착하는 방법이 제공된다. 무정형 탄소 재료는 열 응력을 감소시키며, 증착된 박막이 기판으로부터 필링되는 것을 방지하는데 이용될 수 있다.

Description

밀봉 필름의 차수 성능 개선 방법 및 장치 {IMPROVING WATER-BARRIER PERFORMANCE OF AN ENCAPSULATING FILM}
본 발명의 실시예들은 일반적으로 화학 기상 증착 처리를 이용하는 박막들의 증착에 관한 것이다. 보다 구체적으로, 본 발명은 대면적(large area) 기판들 상에 박막들을 증착하기 위한 공정에 관한 것이다.
유기 발광 다이오드(OLED) 디스플레이들은 액정 디스플레이(LCD)들에 비해, 보다 신속한 응답 시간들, 보다 큰 가시 각도들, 보다 큰 명암 대비, 보다 가벼운 중량, 보다 낮은 전력 및 가요성 기판들에 대한 유연성(amenability)의 관점에서 디스플레이 애플리케이션들에서 최근 상당한 관심을 얻고 있다. 1987년 씨.더블류. 탕(C.W. Tang) 및 에스. 에이. 반 슬리케(S.A. Van Slyke)에 의해 효율적인 전자발광(EL)이 보고된 이후, 빛을 방출하기 위해, 두 개의 전극들 사이에 끼워진 유기 재료들의 2개의 층들을 이용함으로써 OLED의 실제 애플리케이션이 가능해진다. 이전의(old) 단일 유기 층과 대비하여, 두 개의 유기 층들은 단극성(홀) 수송이 가능한 하나의 층 및 전자발광을 위한 다른 층을 포함하여, OLED 디스플레이를 위해 필요한 작동 전압을 떨어뜨린다.
OLED에 이용되는 유기 재료들 이외에도, 다수의 폴리머 재료들이 작은 분자, 가요성 유기 발광 다이오드(FOLED) 및 폴리머 발광 다이오드(PLED) 디스플레이들을 위해 또한 개발된다. 다수의 이러한 유기 및 폴리머 재료들은 기판의 영역 상에 복합, 다층 소자들의 제조를 위해 가요성이 있어서, 이러한 재료들을 얇은 평면 패널 디스플레이(FPD), 전기적 펌핑되는 유기 레이저 및 유기 광학 증폭기와 같은 다양한 투명 멀티 컬러 디스플레이 애플리케이션들을 위해 이상적이다.
해가 지나면서, 디스플레이 소자들의 층들은 상이한 기능으로 동작하는 각각의 층을 갖춘 다수 층들로 발전해 왔다. 도 1은 기판(101) 상에 형성된 OLED 소자 구조물의 예를 도시하고 있다. 인듐 주석 산화물(ITO) 층과 같은 투명 양극 층(102)이 기판(101) 상에 증착된 후에, 유기 층들의 스택(stack)이 양극 층(102)에 증착된다. 유기 층들은 홀 주입 층(103), 홀 수송 층(104), 방사 층(105), 전자 수송 층(106) 및 전자 주입 층(107)을 포함할 수 있다. 유기 층들 중 다섯 개의 층들 모두가 OLED 셀을 형성하는데 필요한 것은 아님을 주목해야 한다. 예를 들어, 몇몇의 경우에는, 홀 수송 층(104) 및 방사 층(105)만이 필요하다. 유기 층 증착 다음에, 금속 음극(108)이 유기 층들의 스택 최상부에 증착된다. 적합한 전압(110)(통상적으로 수 볼트)이 셀에 인가되는 경우에, 주입되는 양전하 및 음전하는 방사 층에서 재결합하여 빛(120)(전자발광)을 생성한다. 유기 층들의 구조물 및 양극과 음극의 선택은 방사 층에서 재결합 공정을 최대화하도록 디자인되어, OLED 소자로부터의 빛 출력을 최대화시킨다.
디스플레이 소자들의 수명은, 유기 또는 폴리머 재료들의 열화, 비 방사성 흑점들의 형성, 및 약 55℃ 또는 이를 초과하는 높은 온도에서의 유기 층들의 결정화로 인해, EL 효율의 저하 및 구동 전압의 증가를 특징으로 제한될 수 있으며, 예를 들어, 홀 수송 재료들의 결정화는 실온에서 발생할 수 있다. 따라서, 약 100℃ 또는 그 미만에서와 같이, 이러한 재료들에 대한 저온 증착 공정이 필요하다. 게다가, 재료 열화 및 흑점 문제점들의 주 이유는 수분 및 산소의 유입이다. 예를 들어, 습한 대기에 대한 노출은 방사 층으로서 종종 이용되는 8-하이드록시퀴놀린 알루미늄(Alq3)의 결정 구조들의 형성을 유도하여, 음극 박리현상을 야기하고, 그에 따라 시간이 지나면서 증대되는 비 방사성 흑점들을 생성하는 것으로 알려져 있다. 게다가, 공기 또는 산소에 대한 노출은 음극 산화를 야기할 수 있으며, 유기 재료가 일단 물 또는 산소와 반응하면, 유기 재료는 더 이상 사용할 수 없다(dead).
현재, 대부분의 디스플레이 제조자들은 물(H2O) 또는 산소(O2) 공격으로부터 소자의 유기 재료들을 보호하기 위해서 밀봉 층(encapsulation layer)으로서 금속 캔 또는 유리 캔 재료들을 이용한다. 도 2는 유리 또는 금속 밀봉 재료들(205)을 갖추는 기판(201) 상의 OLED 소자(200)의 종래의 패키징을 도시하고 있다. 소자(200)는 다층의 유기 재료(203)와 함께 음극 층(204) 및 양극 층(202)을 포함한다. 금속 또는 유리 재료들(205)은 UV 곡선형 에폭시(206)의 비드(bead)를 이용하여 리드(lid)처럼 기판(201)에 부착된다. 그러나, 수분은 에폭시(206)를 통해 쉽게 침투하여 소자(200)를 손상시킬 수 있다.
플라즈마 강화 화학 기상 증착(PECVD)에 의해 준비되는, 무기 재료들, 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON) 및 실리콘 산화물(SiO)과 같은 다른 재료들이 또한 이러한 소자들을 위해 수분, 공기 및 부식성 이온들에 대한 효과적인 밀봉/배리어 층으로서 이용될 수도 있다. 그러나, 최종 필름이 보다 덜 조밀하고 높은 결함 핀홀 구조들을 갖기 때문에, 저온 증착 공정을 이용하여 차수(water-barrier) 무기 밀봉 재료들을 생성하는 것은 매우 어렵다. 유기 층에서 잔여 수분의 존재는 밀봉된 소자들에서조차 Alq3 결정화 공정을 촉진할 수도 있다는 점을 유념하는 것이 중요하다. 게다가, 밀봉 중에 트래핑(trapping)되어 음극 및 유기 재료들과 접촉될 OLED 소자로 침투하는 산소 및 습도는 일반적으로, 빈번한 OLED 파괴 결함인 흑점 형성을 야기한다. 따라서, 양호한 밀봉/배리어 필름은 또한 낮은 수증기 투과율(WVTR)도 필요로 한다.
밀봉/배리어 층으로서의 박막 무기 실리콘 질화물(SiN) 관련 재료들이 갖는 부가적인 문제점이 발생한다. 밀봉 층이 양호한 산소 및 물 배리어로서의 역할을 하도록 두껍다면, 밀봉 층은 일반적으로 기판 표면에 잘 부착되기에는 단단하고 부러지기 쉽고, 너무 두꺼워서, 특히 고온 및 습도 응력을 받는 조건에서 균열(cracking) 또는 기판 표면으로부터의 필링(peeling)을 야기한다. 밀봉 층이 부착성 및 열 안정성을 개선하도록 얇게 형성된다면, 이는 수분 배리어로서 충분히 두껍지 않다. 따라서, 부가적인 층들 또는 다른 처리(manipulation)가 필요할 수 있다.
따라서, 소자들의 하부를 보호하기 위해서 개선된 차수 및 열 응력 성능을 갖추어 대면적 기판 상에 저온 밀봉/배리어 필름들을 증착하는 방법들이 여전히 필요하다.
본 발명의 실시예는 일반적으로, 기판 상에 밀봉 필름을 증착하는 방법 및 장치를 제공한다. 일 실시예에서, 기판 상에 재료 층을 증착하는 방법은 공정 챔버 내에 기판을 위치시키는 단계, 재료 층을 위한 전구체 혼합물을 전달하며, 재료 층의 차수 성능을 개선하기 위해 공정 챔버 내측으로 수소 가스를 전달하는 단계, 기판의 온도를 약 100℃ 또는 그 미만의 온도로 제어하는 단계, 공정 챔버 내부에서 플라즈마를 생성하는 단계, 및 재료 층을 기판 상에 증착하는 단계를 포함한다.
다른 실시예에서, 본 발명은 기판을 공정 챔버 내에 위치시키는 단계, 밀봉 층을 위해 전구체 혼합물을 전달하며 공정 챔버 내측으로 수소 가스를 전달하는 단계, 및 기판의 온도를 약 100℃ 또는 그 미만의 온도로 제어하는 단계를 포함하여, 기판 상에 밀봉 층을 증착하는 방법을 제공한다. 본 발명의 방법은 공정 챔버 내부에서 플라즈마를 생성하는 단계 및 약 38℃ 및 약 90%의 습도 조건에서 하루에 약 1×10-2 g/m2 또는 그 미만의 수증기 투과율의 차수 성능을 갖는 밀봉 층을 기판 상에 증착하는 단계를 더 포함한다.
다른 실시예에서, 기판 상에 재료 층을 증착하는 방법은 기판을 공정 챔버 내에 위치시키는 단계, 공정 챔버 내에서 플라즈마를 생성하는 단계, 재료 층을 위해 전구체 혼합물로부터 기판 상에 재료 층을 약 100℃ 또는 그 미만의 기판 온도에서 증착하는 단계, 및 증착 중에 공정 챔버 내측으로 수소 가스를 전달함으로써 증착된 재료 층의 표면 조도를 약 40Å 또는 그 미만의 조도 측정치로 감소시키는 단계를 포함한다.
또 다른 실시예에서, 기판 처리 시스템 내에 위치되는 기판 상에 다층 밀봉 필름을 형성하는 방법은 약 200℃ 또는 그 미만의 기판 온도에서 기판의 표면 상에 하나 이상의 실리콘 함유 무기 배리어 층들을 증착하는 단계, 및 하나 이상의 실리콘 함유 무기 배리어 층들과 교대로 하나 이상의 저 유전체 상수의(low-dielectric constant) 재료 층들을 증착하는 단계를 포함한다. 하나 이상의 실리콘 함유 무기 배리어 층들은 밀봉 층의 차수 성능을 개선하기 위해서 기판 처리 시스템 내측으로 수소 가스와 제 1 전구체 혼합물을 전달함으로써 증착된다. 하나 이상의 저 유전체 상수의 재료 층들은 기판 처리 시스템 내측으로 제 2 전구체 혼합물을 전달함으로써 증착된다.
다른 실시예에서, 기판 처리 시스템 내에 위치되는 기판 상에 다층 밀봉 필름을 형성하는 방법은 기판 처리 시스템 내측으로 실리콘 함유 화합물을 전달함으로써 기판의 표면 상에 복수의 실리콘 함유 무기 배리어 층들을 증착하는 단계, 및 기판 처리 시스템 내측으로 수소 가스 및 탄소 함유 화합물을 전달함으로써 약 200℃ 또는 그 미만의 기판 온도로 하나 이상의 실리콘 함유 무기 배리어 층들 사이에 하나 이상의 저 유전체 상수의 재료 층들을 증착하는 단계를 포함한다. 따라서, 복수의 실리콘 함유 무기 배리어 층들 및 하나 이상의 저 유전체 상수의 재료 층들을 갖는 다층 밀봉 필름이 기판의 표면 상에서 생성된다.
또 다른 실시예에서, 기판 상에 저 유전체 상수의 재료 층을 낮은 온도에서 증착하는 방법이 제공된다. 본 발명의 방법은 공정 챔버 내에 기판을 위치시키는 단계, 공정 챔버 내에서 플라즈마를 생성하는 단계, 공정 챔버 내측으로 수소 가스와 탄소 함유 화합물의 혼합물로부터 약 200℃ 또는 그 미만의 기판 온도에서 저 유전체 상수의 재료 층을 기판 상에 증착하는 단계를 포함한다. 따라서, 증착된 저 유전체 상수의 재료 층의 필름 균일성은 약 +/- 10% 또는 그 미만으로 개선된다.
또 다른 실시예에서, 기판 상에, 실리콘 함유 무기 배리어 재료들 및 저 유전체 상수의 재료들의 하나 이상의 층들을 갖는 밀봉 층을 증착하는 방법이 제공된다. 본 발명의 방법은 기판 처리 시스템 내측으로 실리콘 함유 무기 배리어 층을 위한 제 1 전구체 혼합물을 전달하며 기판 처리 시스템 내측으로 수소 가스를 전달하는 단계, 및 기판의 온도를 약 150℃ 또는 그 미만의 온도로 제어하여 기판의 표면 상에 실리콘 함유 무기 배리어 층을 증착하기 위한 플라즈마를 생성하는 단계를 포함한다. 본 발명의 방법은 기판 처리 시스템 내측으로 저 유전체 상수의 재료 층을 위한 제 2 전구체 혼합물을 전달하며 기판 처리 시스템 내측으로 수소 가스를 전달하는 단계, 및 기판의 온도를 약 150℃ 또는 그 미만의 온도로 제어하여 실리콘 함유 무기 배리어 층의 표면 상에 저 유전체 상수의 재료 층을 증착하기 위한 플라즈마를 생성하는 단계를 포함한다. 본 발명의 방법은 약 15,000 Å 또는 이를 초과하는 밀봉 층 두께가 획득될 때까지 전술된 단계들을 반복함으로써 기판 상에 밀봉 층을 증착하는 단계를 더 포함한다.
또 다른 실시예에서, 기판 상에 저온 재료 층을 증착하는 장치도 제공된다. 본 발명의 장치는 대면적 기판과 같은 기판을 지지하기 위해서 공정 챔버 내에 배치되는 기판 지지부, 공정 챔버 내에 플라즈마를 제공하기 위해서 공정 챔버에 연결되는 RF 소오스, 공정 챔버에 연결되는 실리콘 함유 화합물 공급 소오스, 공정 챔버에 연결되는 수소 가스 공급 소오스, 공정 챔버에 연결되는 탄소 함유 화합물 공급 소오스, 및 기판 처리 중에 기판의 온도를 약 200℃ 또는 그 미만으로 제어하며, 하나 이상의 실리콘 함유 무기 배리어 층들 사이에 하나 이상의 저 유전체 상수의 재료 층들을 갖는 밀봉 층을 증착하도록 적응되는 공정 챔버에 연결되는 제어기를 포함한다.
본 발명의 전술된 특징들을 상세히 이해할 수 있는 방식으로, 상기에 간단하게 요약된 본 발명의 보다 구체적인 설명은 몇몇의 실시예가 첨부 도면에 도시되어 있는 실시예들을 참조로 이루어질 수 있다. 그러나, 본 발명이 다른 동일한 효과의 실시예들을 허용할 수 있으므로, 첨부 도면은 본 발명의 전형적인 실시예만을 설명하며 따라서 본 발명의 범위를 제한하는 것으로 고려되는 것은 아니라는 것을 주목해야 한다.
도 1은 OLED 소자의 개략적 단면도를 도시한다.
도 2는 최상부에 부착되는 밀봉 재료(유리 또는 금속)를 갖춘 OLED 소자의 개략적 단면도를 도시한다.
도 3은 본 발명의 일 실시예에 따라 최상부에 증착되는 밀봉 층을 갖춘 OLED 소자의 개략적 단면도를 도시한다.
도 4는 본 발명에 따른 공정 챔버의 일 실시예의 개략적 단면도이다.
도 5는 본 발명의 일 방법에 따라서 증착되는 밀봉 층의 일 실시예의 개략적 단면도를 도시한다.
도 6은 본 발명의 실시예들에 따라서 기판 처리 시스템 내부의 기판 상에 다층 밀봉 필름을 형성하는 일 방법의 흐름도이다.
도 7은 본 발명의 실시예들에 따라서 공정 챔버 내부의 기판 상에 저 유전체 상수의 재료를 증착하는 일 방법의 흐름도이다.
도 8은 본 발명의 실시예들에 따라서 기판 처리 시스템 내부의 기판 상에 다층 밀봉 필름을 형성하는 다른 방법의 흐름도이다.
도 9는 본 발명의 방법에 의해 증착되는 일 예시적인 배리어 층 및 예시적인 저 유전체 상수의 재료 층의 광학 특성을 도시한다.
도 10은 본 발명의 일 방법에 의해 증착되는 실리콘 질화물 무기 배리어 필름들의 4개의 층들 및 무정형 탄소 저 유전체 상수 필름들의 3개의 층들을 갖는 일 예시적인 다층 밀봉 필름을 도시한다.
본 발명은 일반적으로, 기판과 그 상부에 증착되는 필름/층 사이의 차수 및 열 안정성 성능을 개선하는 방법에 관한 것이다. 본 발명은 수소 가스를 이용하여 필름 표면 조도를 감소시킴으로써 평탄한 필름 표면을 야기하는 것을 기재하고 있다. 따라서, 기판 표면 상에 증착되는 필름의 높은 수준의 균일성이 획득될 수 있다. 증착되는 필름의 평탄한 표면은 물 및 산소가 대기로부터 필름으로 침투하는 것을 추가로 방지하며, 매우 낮은 WVTR(수증기 투과율) 값을 나타낸다. WVTR은 평면 패널 디스플레이(FPD) 산업에서 차수 성능을 표시하는 중요 매개변수이다. 또한, 본 발명은 디스플레이 소자와 같은 기판의 표면 상에 밀봉/배리어 층을 증착하여, 소자의 수명을 크게 강화/연장하는 방법 및 장치를 제공한다.
게다가, 본 발명은 대면적 기판 표면 상에, 약 200℃ 또는 그 미만과 같이 저온에서 저 유전체 상수의 재료 층을 증착하는 방법을 기재하고 있다. 저 유전체 상수의 재료 층은 특히, 무정형 탄소 재료, 다이아몬드형 탄소 재료, 탄소 도핑된 실리콘 함유 재료일 수 있다. 저 유전체 상수의 재료 및/또는 무정형 탄소 재료는 밀봉 층의 열 안정성, 필름 균일성 및 필름 부착성을 개선하기 위해서 밀봉 층의 부분들로서 이용될 수 있다. 따라서, 저 유전체 상수의 재료들 또는 무정형 탄소 재료들의 하나 이상의 층들은 특히, OLED 소자들과 같은 디스플레이 소자들의 차수 성능을 개선하기 위해서, 부착성 강화 층들 또는 열 응력 완화 층들로서 기능하도록 기판 표면 상에 증착될 수 있다.
본 발명은 기판의 표면 상에 물 및 산소가 확산하는 것을 방지하는데 이용될 수 있는 단일 층 또는 다층 밀봉 필름을 추가로 제공한다. 단일 층 밀봉 필름은 특히, 실리콘 질화물, 실리콘 산질화물, 실리콘 산화물, 실리콘 탄화물과 같은 실리콘 함유 무기 배리어 재료일 수 있다. 다층 밀봉 필름은 하나 이상의 배리어 층들 및 하나 이상의 저 유전체 상수의 재료 층들을 포함할 수 있다. 하나 이상의 저 유전체 상수의 재료 층들은 밀봉 층 및/또는 하나 이상의 배리어 층들의 부착성 및 열 안정성을 강화시키는 기능을 한다.
일 실시예에서, 하나 이상의 저 유전체 상수의 재료 층들은 하나 이상의 배리어 층들 사이에 증착된다. 예를 들어, 적어도 하나의 저 유전체 상수의 재료 층 및 적어도 하나의 배리어 층의 교대하는 층들이 디스플레이 소자와 같은, 기판의 표면 상에 증착된다.
다른 실시예에서, 제 1 배리어 층은 제 1 저 유전체 상수의 재료 층 전에 양호한 차수 성능을 제공하기 위해서 기판의 표면 상에 증착된다. 또 다른 실시예에서, 다층 밀봉 필름은 기판 표면 최상부에 증착되어 실리콘 함유 무기 배리어 재료의 최종 층이 다층 밀봉 필름의 양호한 차수 성능을 제공하도록 증착된다.
본 발명의 기판들은 반도체 웨이퍼 제조 및 평면 패널 디스플레이 제조를 위해 원형 또는 다각형일 수 있다. 평면 패널 디스플레이용 직사각형 기판의 표면적은 통상적으로 넓은데, 예를 들어, 적어도 약 300 mm × 약 400 mm, 예를 들어, 약 120,000 ㎟ 또는 그 위와 같이 약 500 ㎟ 또는 이를 초과하는 직사각형이다. 게다가, 본 발명은 OLED, FOLED, PLED, 유기 TFT, 액티브 매트릭스(active matrix), 패시브 메트릭스(passive matrix), 최상부 방사성 소자(top emission device), 하부 방사성 소자(bottom emission device), 태양 전지 등과 같은 임의의 소자에 적용되며, 특히, 실리콘 웨이퍼들, 유리 기판들, 금속 기판들, 플라스틱 필름들(예를 들어, 폴리에틸렌 테레프탈레이트(PET), 폴리에틸렌 나프탈레이트(PEN) 등), 플라스틱 에폭시 필름들 중 임의의 것에 대해 이루어질 수 있다.
도 3은 본 발명의 방법들을 이용하여, 디스플레이 소자(300)의 기판(301) 상에 증착되는 밀봉 층(305)의 예시적 실시예를 도시하고 있다. 예를 들어, 투명 양극 층(302)은 폴리에틸렌테레프탈레이트(PET) 또는 폴리에틸렌 나프탈레이트(PEN)와 같은 유리 또는 플라스틱으로 제조될 수 있는 기판(301) 상에 증착된다. 투명 양극 층(302)의 예는 약 200 Å 내지 약 2000 Å 범위의 두께를 갖는 인듐-주석-산화물(ITO)이다.
다층의 유기 또는 폴리머 재료들(303)이 양극 층(302)의 최상부 상에 증착될 수 있다. 예를 들어, 재료 층(303)은 양극 층의 최상부 상에 증착되는 홀 수송 층을 포함할 수 있다. 홀 수송 층의 예들은 약 200 Å 내지 약 1000 Å 두께에 대해, 나프틸-치환제 벤지딘(NPB) 유도체와 같은 디아민, 또는 N, N'-디페닐-N, N'-비스(3-메틸페닐)-(1,1'-바이페닐)-4,4'-디아민(TPD)을 포함한다. 홀 수송 층 증착 다음에, 방사 층이 증착될 수 있다. 방사 층을 위한 재료들은 통상적으로, 형광 금속 킬레이트 복합체들의 종류에 속한다. 일례는 8-하이드록시퀴놀린 알루미늄(Alq3)이다. 방사 층의 두께는 통상적으로 약 200 Å 내지 약 1500 Å의 범위를 갖는다. 방사 층이 증착된 후에, 이러한 유기 층들은 패턴화된다. OLED 디스플레이들은 통상적으로, 잉크 젯 프린팅 또는 증발 방법에 의해 기판의 사전-패턴화된 표면 상에 증착된다. 유기 재료들(303)의 패턴화 후, 다음에 음극 층과 같은 최상부 전극 층(304)이 증착되어 패턴화된다. 최상부 전극 층(304)은 금속, 금속들의 혼합물 또는 금속들의 합금일 수 있다. 최상부 전극 재료의 예는 약 1000 Å 내지 약 3000 Å의 두께 범위의 마그네슘(Mg), 은(Ag) 및 알루미늄(Al)의 합금이다.
밀봉 층(305)은 OLED 소자와 같은 디스플레이 소자(300)의 구성이 완료된 후에 기판 표면의 최상부 상에 증착된다. 본 발명의 밀봉 층(305)의 예시적 재료들은 약 2,000Å 내지 약 50,000Å과 같은 약 500Å 내지 약 500,000Å의 두께 범위로 증착되는, 무기 질화물 필름, 무기 산화물 필름, 및 폴리머 형태 유기 필름의 박층을 포함한다. 예를 들어, 특히, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO), 및 실리콘 탄화물(SiC)이 밀봉 재료로서 이용될 수 있다.
본 발명의 일 실시예는 기판(301) 상에 증착되는 밀봉 층(305)이 무기 질화물, 무기 산화물 필름 및 폴리머 형태 유기 재료와 같은 배리어/밀봉 재료들의 하나 이상의 층들을 포함하는 것을 제공한다. 게다가, 본 발명은 여러 가지 탄소 함유 재료들 및 폴리머 형태 유기 재료들 및 저 유전체 상수의 재료들, 예를 들어, 무정형 탄소, 다이아몬드형 탄소, 탄소 도핑된 실리콘 함유 재료 등과 같은 하나 이상의 추가 재료 층을 밀봉 층(305)에 사용하여 부착성을 강화시키고 밀봉 층(305)을 연화시키는 것을 추가로 제공한다.
기판 처리 시스템
본 발명은 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼즈(Applied Materials)의 자회사인, AKT로부터 이용 가능한, 다양한 기판 크기들에 대한, AKT 1600, AKT 3500, AKT 4300, AKT 5500, AKT 10K, AKT 15K, 및 AKT 25K를 포함하는 여러 가지 평행 판 무선 주파수(RF) 플라즈마 강화 화학 기상 증착(PECVD) 시스템들과 같은 대면적 기판들을 처리하도록 구성된 플라즈마 강화 화학 기상 증착 시스템을 참조하여 하기에서 예시적으로 설명된다. 그러나, 본 발명은 원형 기판들을 처리하도록 구성되는 이러한 시스템들을 포함하여, 다른 화학 기상 증착 시스템 및 임의의 다른 필름 증착 시스템들과 같은, 다른 시스템 구성들에서 유용하다는 점을 이해해야 한다.
본 발명은 기판 표면 상에 단일 층 및 다층 밀봉 필름을 증착하기 위해서 하나 이상의 공정 챔버들을 구비한 기판 처리 시스템을 제공한다. 본 발명의 다층 밀봉 필름은 동일하거나 상이한 기판 처리 시스템 내에서, 기판 처리 시스템의 동일하거나 상이한 공정 챔버들 내에서 증착될 수 있다. 일 실시예에서, 다층 밀봉 필름은, 시간을 절약하며, 처리 수율을 개선하기 위해서 동일한 진공 기판 처리 시스템 내에서 증착된다. 다른 실시예에서, 본 발명의 다층 밀봉 필름은 멀티 챔버형(multi-chambered) 기판 처리 시스템 내부의 동일하거나 상이한 공정 챔버들 내에서 기판 표면 상에 증착될 수 있다. 예를 들어, 하나 이상의 실리콘 함유 무기 배리어 층들 및 하나 이상의 저 유전체 상수의 재료 층들을 갖는 다층 밀봉 필름은 화학 기상 증착(CVD) 시스템으로부터 기판을 꺼내는 일 없이 CVD 시스템 내에서 효율적으로 증착될 수 있어서, 기판 표면 상에서 물 및 산소가 확산할 가능성을 감소시킨다.
도 4는 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼즈사의 자회사인 AKT로부터 이용 가능한, 하나 이상의 플라즈마 강화 화학 기상 증착 챔버들을 구비한 기판 처리 시스템(400)의 일 실시예의 개략적 단면도이다. 기판 처리 시스템(400)은 일반적으로 하나 이상의 공정 챔버들(402), 기판 입력/출력 챔버들, 기판 입력/출력 챔버들과 공정 챔버들(402) 사이에 기판을 전달하기 위한 주 전달 로봇, 및 자동 기판 처리 제어용 메인프레임 제어기를 포함한다.
공정 챔버(402)는 보통, 하나 이상의 소오스 화합물들 및/또는 전구체들을 전달하기 위한 하나 이상의 공급 소오스(404)에 연결된다. 하나 이상의 공급 소오스들(404)은 특히, 실리콘 함유 화합물 공급 소오스, 수소 가스 공급 소오스, 탄소 함유 화합물 공급 소오스를 포함할 수 있다. 공정 챔버(402)는 공정 체적(412)을 부분적으로 정의하는 벽(406) 및 바닥부(408)를 갖는다. 공정 체적(412)은 통상적으로, 대면적 유리 기판과 같은 기판(440)의 공정 챔버(402) 내부 및 외부로의 이동을 용이하게 하기 위해서 포트 및 밸브(도시되지 않음)를 통해 액세스된다. 벽(406)은, 공정 챔버(402)로부터 임의의 가스들 및 처리 부산물들을 배출하기 위해서 공정 체적(412)을 배출 포트(여러 가지 펌핑 컴포넌트들을 포함, 도시되지 않음)에 연결시키는 펌핑 플레넘(414)을 포함하는 리드 조립체(410)(assembly)를 지지한다.
온도 제어된 기판 지지 조립체(438)는 공정 챔버(402) 내에서 중앙에 배치된다. 기판 지지 조립체(438)는 처리 중에 기판(440)을 지지한다. 기판 지지 조립체(438)는 적어도 하나의 삽입형 히터(432)를 밀봉시키는 알루미늄 바디(424)를 포함한다. 기판 지지 조립체(438) 내에 배치되는, 저항성 엘리먼트(element)와 같은 히터(432)는 선택적 전력 소오스(474)에 연결되어 지지 조립체(438) 및 그 상부에 위치되는 기판(440)을 미리 결정된 온도로 제어가능하게 가열한다.
일 실시예에서, 히터(432)의 온도는 증착되는 재료 층에 대한 증착/처리 매개변수들에 따라 150℃ 또는 그 미만과 같은 약 200℃ 또는 그 미만으로, 또는 약 20 ℃ 내지 약 100℃로 설정될 수 있다. 예를 들어, 히터는 저온 증착 공정을 위해, 약 70℃와 같은 약 60℃ 내지 약 80℃ 사이로 설정될 수 있다.
다른 실시예에서, 약 20℃ 내지 약 100℃ 사이와 같은, 200℃ 또는 그 미만의 균일한 온도로 기판(440)을 유지시키기 위해서 내부에서 온수가 흐르는 포트가 기판 지지 조립체(438) 내에 배치된다. 대안적으로, 증착 중에 기판의 온도를 제어하기 위해 히터(432)는 꺼지고 기판 지지 조립체(438) 내부에 온수만 흐르게 함으로써, 저온 증착 공정을 위한 기판 온도가 약 100℃ 또는 그 미만이 되게 할 수 있다.
지지 조립체(438)는 일반적으로, 리드 조립체(410)와 기판 지지 조립체(438)(또는 챔버의 리드 조립체 내부 또는 근처에 위치되는 다른 전극) 사이에 위치되는 가스 분배 판 조립체(418)로 전력 소오스(422)에 의해 공급되는 RF 전력이 지지 조립체(438)와 가스 분배 판 조립체(418) 사이의 공정 체적(412) 내에 존재하는 가스를 여기시킬 수 있도록 접지된다. 전력 소오스(422)로부터의 RF 전력은 일반적으로, 화학 기상 증착 공정을 실행하기 위해서 기판의 크기에 상응하도록 선택된다.
일 실시예에서, 약 400 W 내지 약 5000 W 사이와 같이, 약 10 W 또는 이를 초과하는 RF 전력이 공정 체적(412) 내에서 전기장을 생성하기 위해서 전력 소오스(422)에 인가된다. 예를 들어, 약 0.2 watts/㎠ 내지 약 0.8 watts/㎠ 사이 또는 약 0.45 watts/㎠와 같이 약 0.2 watts/㎠ 또는 이를 초과하는 전력 밀도가 본 발명의 저온 기판 증착 방법과 양립할 수 있도록 이용될 수 있다. 전력 소오스(422) 및 매칭 네트워크(matching network)(도시되지 않음)는 공정 체적(412) 내의 전구체 가스들로부터 공정 가스들의 플라즈마를 생성시켜 유지시킨다. 바람직하게, 13.56 ㎒의 고 주파수 RF 전력이 이용될 수 있지만, 이는 중요하지 않으며, 보다 낮은 주파수들이 이용될 수도 있다. 게다가, 챔버의 벽들은 세라믹 재료 또는 양극 산화 처리된(anodized) 알루미늄 재료로 덮음으로써 보호될 수 있다.
일반적으로, 지지 조립체(438)는 하부 측면(426) 및 상부 측면(434)을 구비하여 기판(440)을 지지한다. 하부 측면(426)은, 이 하부 측면에 결합되며 상승된 처리 위치(도시된 바와 같음)와 하강된 기판 전달 위치 사이에서 지지 조립체(438)를 이동시키기 위한 리프트 시스템(도시되지 않음)에 연결되는 스템(442)을 갖는다. 스템(442)은 부가적으로, 시스템(400)의 다른 컴포넌트들과 지지 조립체(438) 사이에 전기 및 열전대 리드용 도관을 제공한다. 벨로스(bellows)(446)가 기판 지지 조립체(438)에 연결되어 공정 체적(412)과 공정 챔버(402) 외부 대기 사이의 진공 시일(seal)을 제공하여 지지 조립체(438)의 수직 이동을 용이하게 한다.
일 실시예에서, 리프트 시스템은 처리 중에, 기판과 가스 분배 판 조립체(418) 사이의 간격이 약 400 밀(mil) 내지 약 1600 밀, 예를 들어, 약 900 밀과 같이 약 400 밀 또는 이를 초과하도록 조절된다. 간격을 조절하는 능력은, 큰 기판의 영역에 걸쳐서 필요한 필름 균일성을 유지하면서, 공정이 광범위한 증착 조건들에 대해 최적화될 수 있게 한다. 접지되는 기판 지지 조립체, 세라믹 라이너, 높은 압력들 및 가까운 간격의 조합은 가스 분배 판 조립체(418)와 기판 지지 조립체(438) 사이에 고도의 플라즈마 제한을 부여함으로써 주요 박막들의 증착률 및 반응 종들의 농도를 증가시킨다.
지지 조립체(438)는 부가적으로, 외접하는(circumscribing) 쉐도우 프레임(448)을 지지한다. 일반적으로, 쉐도우 프레임(448)은, 기판이 지지 조립체(438)에 고착되지 않도록 기판(440)과 지지 조립체(438)의 에지에서의 증착을 방지시킨다. 리드 조립체(410)는 통상적으로, 엔트리 포트(480)를 포함하며, 이를 통해 가스 소오스(404)에 의해 제공되는 공정 가스들이 공정 챔버(402) 내측으로 유입된다. 엔트리 포트(480)는 세정 소오스(482)에도 연결된다. 세정 소오스(482)는 통상적으로, 해리된(dissociated) 불소와 같은 세정제를 제공하며, 이는 공정 챔버(402) 내측으로 유입되어 가스 분배 판 조립체(418)를 포함하는, 공정 챔버 하드웨어로부터 증착 부산물들 및 필름들을 제거한다.
가스 분배 판 조립체(418)는 통상적으로, 기판(440)의 프로파일, 예를 들어, 대면적 기판들에 대해 다각형 그리고 웨이퍼에 대해 원형을 실질적으로 따르도록 구성된다. 가스 분배 판 조립체(418)는 다공 영역(416)을 포함하며, 가스 소오스(404)로부터 공급되는 전구체들 및 수소 가스와 같은 다른 가스들이 다공 영역(416)을 통해 공정 체적(412)에 전달된다. 다공 영역(416)은 가스 분배 판 조립체(418)를 통과하여 공정 챔버(402)로 유입되는 가스들의 균일한 분배를 제공하도록 구성된다. 가스 분배 판 조립체(418)는 통상적으로 행거 판(460)으로부터 현수되는(suspended) 확산기 판(458)을 포함한다. 복수의 가스 통로들(462)이 확산기 판(458)을 관통하여 형성되어, 가스 분배 판 조립체(418)를 통과하여 그리고 공정 체적(412)으로 유입되는 미리 결정된 가스의 분배를 가능하게 한다.
본 발명으로부터 이점이 되도록 적응될 수 있는 가스 분배 판은 켈러(Keller) 등에 의해 2001년 8월 8일 출원되며 공동 양도된 미국 특허 출원 일련번호 제 09/922,219 호; 2002년 5월 6일 출원된 제 10/140,324 호; 및 블로니건(Blonigan) 등에 의해 2003년 1월 7일 출원된 제 10/337,483 호; 화이트 등에게 2002년 11월 12일 허여된 미국 특허 번호 제 6,477,980 호; 및 최 등에 의해 2003년 4월 16일 출원된 미국 특허 출원 일련 번호 제 10/417,592 호에 기재되어 있으며, 이들은 본원에 그 전체가 참조로서 통합된다. 본 발명은 특정 실시예들 및 예시들에 따라서 기재되었지만, 본 발명은 이에 제한되는 것을 의미하는 것이 아니다. 본 발명의 CVD 공정은 실제 증착 속도들로 고 품질의 필름들을 획득하기 위해서 가스 유량들, 압력, 플라즈마 밀도, 및 온도를 조절하는 다른 CVD 챔버들을 이용하여 수행될 수 있다.
밀봉 필름의 증착
도 5는 본 발명의 실시예들에 따라서 본 발명의 방법들을 이용하여 제조되는 예시적 디스플레이 소자(500)를 도시하고 있다. 디스플레이 소자(500)는 기판(501) 및 소자(502)를 포함할 수 있으며, 이들은 밀봉될 필요가 있는 임의의 형태의 디스플레이 소자일 수 있다. 예를 들어, 소자(502)는 특히, OLED, FOLED, PLED, 유기 TFT, 태양 전지, 최상부 방사성 소자(top emissive device), 하부 방사성 소자(bottom device)일 수 있다. 다음에, 약 1,000Å 또는 이를 초과하는 두께를 갖는 밀봉 층이 본 발명의 방법을 이용하여 증착되어 물/수분 및 공기가 기판(501) 및 소자(502) 내측으로 침투하는 것을 방지한다.
일 실시예에서, 적어도 하나의 배리어 층 및 적어도 하나의 저 유전체 상수의 재료 층을 갖는 다층 밀봉 필름은 다층 밀봉 필름이 불량 부착성 및 열 불안정성으로 인해 소자(502)의 표면으로부터 떨어지거나 깨지지 않으면서, 물 및 다른 가스들 또는 액체들이 소자(502) 내측으로 확산되고 소자(502)를 단락(short-circuit)시키는 것을 방지하도록 소자(502)의 최상부 상에 증착된다. 도 5에 도시된 바와 같이, 다층 밀봉 필름은 하나 이상의 배리어 층들(511, 512, 513 등), 및 하나 이상의 저 유전체 상수의 재료 층들(521, 522 등)의 교대하는 층들을 포함한다.
일 양상에서, 본 발명은 하나 이상의 배리어 층들(511, 512, 513) 사이에 증착되는 하나 이상의 저 유전체 상수의 재료 층들(521, 522)을 제공한다. 다른 양상에서, 기판 표면의 최상부에 증착되는 다층 밀봉 필름의 최종 층은 배리어 층(513)과 같은 배리어 층이다. 최종 층은 특히, 예시적 디스플레이 소자(500)의 최종 표면에 대한 양호한 물 및 산소 배리어로서 작용하도록 본 발명의 방법을 이용하여 증착되는, 실리콘 질화물, 실리콘 산질화물, 실리콘 산화물, 및 실리콘 탄화물과 같은 배리어 재료를 포함한다.
소자(502)의 최상부 상의 제 1 층은 저 유전체 상수의 재료 층 또는 배리어 층일 수 있다. 바람직한 실시예에서, 본 발명은 소자(502)의 최상부 상에 증착되는 제 1 층을 제공하며, 상기 제 1 층은 예시적 디스플레이 소자(500)를 위한 차수 성능을 강화시키기 위한 배리어 층이다. 예를 들어, 배리어 층(511)과 같은 제 1 배리어 층은 저 유전체 상수의 재료 층(521)과 같은 저 유전체 상수의 재료 층 및/또는 부착성 강화 층 이전에 증착될 수 있다. 따라서, 저 유전체 상수의 재료 층들은 인접한 배리어 층들 사이의 부착성을 증진시키기 위해서 배리어 층들의 최상부 상에 증착되어, 다층 밀봉 필름은 약 8,000Å 또는 그 위와 같이 충분한 두께로 증착될 수 있다.
도 6은 본 발명의 일 실시예에 따른 증착 방법(600)의 흐름도를 도시하고 있다. 우선, 기판은 밀봉 층(305)과 같은 재료 층을 기판 상에 증착하기 위한 기판 처리 시스템의 공정 챔버 내에 위치된다. 방법(600)은 선택적으로, 기판 상에 소자를 형성하는 단계를 포함한다. 예시적 소자들은 특히, OLED, PLED, 및 FOLED를 포함하지만, 이에 제한되는 것을 아니다.
단계(602)에서, 실리콘 함유 배리어 층과 같은 배리어 층을 위한 제 1 전구체 혼합물은 기판 처리 시스템으로 전달된다. 제 1 전구체 혼합물은 특히, 실란(SiH4), SiF4, 및 Si2H6과 같은 하나 이상의 실리콘 함유 가스들을 포함할 수 있다. 제 1 전구체 혼합물은 특히, 암모니아(NH3), 아산화 질소(N2O), 일산화 질소(NO), 및 질소 가스(N2)와 같은 하나 이상의 질소 함유 가스들을 더 포함할 수 있다. 제 1 전구체 혼합물은 또한 탄소 함유 가스 및/또는 산소 함유 가스를 포함할 수도 있다.
예를 들어, 실리콘 질화물 배리어 층은 실란, 암모니아, 및/또는 질소 가스의 혼합물과 같은, 실리콘 함유 가스와 질소 함유 가스의 혼합물로부터 증착될 수 있다. 다른 예로서, 실리콘 산질화물 배리어 층은 실란, 아산화 질소, 및/또는 질소 가스의 혼합물과 같은, 실리콘 함유 가스, 산소 함유 가스, 및 질소 함유 가스의 혼합물로부터 증착될 수 있다.
단계(604)에서, 수소 가스는 기판 처리 시스템으로 전달되며, 실리콘 함유 무기 배리어 층은 단계(606)에서 약 200℃ 또는 그 미만의 기판 온도로 기판의 표면 상에 증착된다. OLED 소자(300)와 같은 디스플레이 소자를 위한 기판 처리 중의 기판의 온도는, 다층의 유기 재료(303)와 같은 OLED 소자 내 유기 층의 열적 불안정성으로 인해 저온에서 유지될 필요가 있다. 일반적으로, 약 100℃ 또는 그 미만, 약 80℃ 또는 그 미만, 또는 약 20℃ 내지 약 80℃와 같은 약 150℃ 또는 그 미만의 온도가 바람직하다.
수소 가스의 존재는 증착된 실리콘 함유 무기 배리어 층의 표면 조도를 감소시켜, 약 40Å 내지 약 70Å의 표면 조도 측정치(RMS)가 약 15Å 또는 그 미만, 바람직하게는 약 10Å 또는 그 미만과 같이 약 40Å 또는 그 미만으로 감소하는 것으로 알려진다. 본원의 발명자들은 또한 감소한 표면 조도(평탄한 표면)를 갖는 배리어 층은 배리어 층 내측으로의 물 침투를 현저하게 방지하여, 임의의 재료들의 하부(예를 들어, 디스플레이 소자들에 이용되는 유기 및/또는 폴리머 재료들)에 대해 양호한 밀봉 층을 형성한다는 것을 발견하였다. 수소 가스의 도입은 약 38℃에서 90%의 상대 습도로 측정되는 바와 같이 하루에 약 1×10-3 g/m2 내지 하루에 약 1×10-4 g/m2 와 같이, 하루에 약 1×10-2 g/m2 미만의 수증기 투과율로 물 침투를 방지한다.
단계(608)에서, 저 유전체 상수의 재료 층을 위한 제 2 전구체 혼합물은 동일하거나 상이한 기판 처리 시스템으로 전달된다. 바람직하게, 저 유전체 상수의 재료 층은 기판 처리의 수율을 증가시키기 위해서 배리어 층 증착 시스템과 동일한 기판 처리 시스템 내에서 처리된다. 게다가, 기판은 작동의 용이함을 위해서 그리고 기판 처리 시스템 내측 및 외측으로 기판을 이동시키는(take) 경우에 공기 및 수분 노출의 가능성을 감소시키기 위해서 저 유전체 상수의 재료 및/또는 배리어 층을 증착하도록 기판 처리 시스템의 동일하거나 상이한 공정 챔버 내에 위치될 수 있다.
제 2 전구체 혼합물은 특히, 아세틸렌(C2H2), 에탄(C2H6), 에텐(C2H4), 메탄(CH4), 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 벤젠(C6H6), 및 톨루엔(C7H8)과 같은 하나 이상의 탄소 함유 화합물들을 포함할 수 있다.
저 유전체 상수의 재료 층은 특히, 무정형 탄소 재료, 다이아몬드형 탄소 재료, 및 탄소 도핑된 실리콘 함유 재료일 수 있다. 예를 들어, 무정형 탄소 층은 아세틸렌(C2H2)과 같은, 탄소 함유 화합물의 혼합물로부터 증착될 수 있다.
단계(610)에서, 수소 가스는 기판 처리 시스템으로 전달되며, 저 유전체 상수의 재료 층은 단계(612)에서 약 200℃ 또는 그 미만의 기판 온도로 기판의 표면 상에 증착된다. 바람직하게, 약 100℃ 또는 그 미만, 약 80℃ 또는 그 미만, 또는 약 20℃ 내지 약 80℃와 같은 약 150℃ 또는 그 미만의 기판 온도가 이용된다.
수소 가스의 존재는 증착된 저 유전체 상수의 재료 층의 필름 균일성을 개선하여, 약 +/- 15% 내지 약 +/- 35%의 필름 균일성 측정치가 약 +/- 5% 또는 그 미만 또는 약 +/- 3% 또는 그 미만과 같은, 약 +/- 10% 또는 그 미만으로 개선되게 하는 것으로 알려진다. 본원의 발명자들은 또한 개선된 필름 균일성을 갖는 저 유전체 상수의 재료 층은 증착된 저 유전체 상수의 재료 층의 스텝 커버리지를 상당히 개선하여, 추가의 다층이 양호한 스텝 커버리지로 증착될 수 있다는 점을 발견하였다. 예를 들어, 밀봉 필름의 층을 위해, 약 80% 또는 그 위, 예를 들어, 약 95% 또는 이를 초과하는 스텝 커버리지가 관측된다.
단계(614)에서, 실리콘 함유 무기 배리어 층 및 저 유전체 상수의 재료 층을 갖는 미리 결정된 두께의 밀봉 필름이 획득된다면, 증착 공정은 단계(616)에서 종결될 수 있다. 그러나, 미리 결정된 두께의 밀봉 층이 획득되지 않는다면, 단계들(602, 604, 606, 608, 610, 612)의 임의의 조합들이 반복될 수 있다. 예를 들어, 일단 하나 이상의 실리콘 함유 무기 배리어 층 및 하나 이상의 저 유전체 상수의 재료 층들이 증착된 후에 바람직한 두께가 획득되면, 실리콘 함유 무기 배리어 층 또는 저 유전체 상수의 재료 층들이 최종 증착되는 경우에 방법(600)이 끝날 수 있다.
밀봉 층의 두께는 다양할 수 있다. 예를 들어, 약 1,000Å 또는 그 위, 예를 들어, 약 20,000Å 내지 약 60,000Å과 같은 약 10,000 Å 또는 이를 초과하는 두께가 바람직할 수 있다. 본원의 발명자들은 소자(502)에 대한 밀봉 필름의 두께는 그것의 공기 및 수분 배리어 성능에 서로 관련되어 소자(502)의 수명을 연장시킨다는 것을 밝혀냈다. 본 발명의 방법들을 이용하여, 소자(502)에 대해 약 45일 또는 그 위, 또는 약 60일 또는 그 위와 같은, 약 40일 또는 이를 초과하는 수명이 획득될 수 있다.
일 양상에서, 본 발명의 방법들을 이용하여 증착되는 단일 배리어 층은 본 발명의 디스플레이 소자를 위한 밀봉 필름으로서 이용될 수 있다. 예를 들어, 약 10,000Å의 두께를 갖는 단일 실리콘 질화물 배리어 층은 밀봉 필름으로서 이용될 수 있다. 다른 양상에서, 본 발명은 적어도 하나의 실리콘 함유 무기 배리어 층 및 적어도 하나의 저 유전체 상수의 재료 층을 갖는 다층 밀봉 필름을 제공한다. 실리콘 함유 무기 배리어 층은 약 2,000Å 내지 약 8,000Å 사이와 같은, 약 1,000Å 내지 약 10,000Å 사이의 두께를 가질 수 있다. 저 유전체 상수의 재료 층은 약 1,000Å 내지 약 10,000Å의 두께를 가질 수 있다. 저 유전체 상수의 재료의 존재는 개선된 열적 안정성을 갖는 인접한 배리어 층들 사이의 부착성을 증가시켜, 충분한 두께의 다층의 실리콘 함유 무기 배리어 층의 형성을 가능하게 한다.
본 발명의 일 예시적 밀봉 필름은 약 3,000Å 내지 약 30,000Å의 전체 두께에 있어서 두 개의 실리콘 질화물 층들, 및 실리콘 질화물 층 사이의 무정형 탄소 재료 층을 포함할 수 있다. 본 발명의 다른 예시적 밀봉 필름은 약 9,000Å 내지 약 90,000Å의 전체 두께에 있어서 다섯 개의 실리콘 질화물 층들, 및 다섯 개의 실리콘 질화물 층들 사이의 네 개의 무정형 탄소 재료 층들을 포함할 수 있다.
기판의 표면은 각각의 층이 증착되기 전 또는 후에 플라즈마로 세정될 수 있다. 예를 들어, 하나 이상의 세정 가스들이 공정 챔버에 공급될 수 있으며, RF 전력 소오스 또는 마이크로웨이브 전력 소오스로부터의 전기장이 세정 플라즈마를 생성하기 위해서 인가될 수 있다. 세정 가스들은 특히, 산소 함유 가스(예를 들어, 산소, 이산화탄소), 수소 함유 가스(예를 들어, 수소 가스), 질소 함유 가스(예를 들어, 암모니아, 아산화 질소), 비활성 가스(예를 들어, 헬륨, 아르곤)를 포함할 수 있지만, 이에 제한되는 것은 아니다. 수소 함유 가스의 예들은 특히, 수소 가스(H2) 및 암모니아(NH3)를 포함하지만, 이에 제한되는 것은 아니다. 게다가, 챔버가 세정 가스로부터 생성되는 플라즈마에 의해 세정되는 경우에, 세정 가스는 선택적으로 캐리어 가스를 이용하여 전달될 수 있으며 챔버로 공급될 수 있다. 예시적 캐리어 가스는 특히, 헬륨 및 아르곤과 같은 비활성 가스들을 포함한다. 예를 들어, 이전 기판 처리 및 기판 제거 후에 인-시튜(in-situ) 산소 플라즈마가 생성되어 챔버 벽들, 가스 분배 판 상 도처의 재료들과 같이, 공정 챔버 내부의 임의의 재료를 씻어낼(clean away) 수 있다.
본 발명의 실시예들은 본 발명에 기재된 바와 같은 순서대로 단계들이 수행될 것을 요구하지 않음에 주목한다. 예를 들어, 수소 가스는 전구체 혼합물이 챔버에 전달되기 전에 공정 챔버로 전달될 수 있으며, 몇몇의 경우에, 단계들(602, 604)은 동시에 수행될 수 있다. 유사하게, 단계(608, 610)가 동시에 수행될 수 있다.
적어도 하나의 실리콘 함유 배리어 층의 증착
하나 이상의 실리콘 함유 무기 배리어 층들은 공정 챔버로 전달되는 전구체 혼합물로부터 증착된다. 전구체들은 밀봉 층으로서, 특히, 실리콘 질화물(SiN), 실리콘 산질화물(SiON) 또는 실리콘 산화물(SiO), 실리콘 탄화물(SiC)의 층을 기판 상에 증착하기 위해서, 특히, 실란(SiH4), Si2H6, SiF4와 같은 실리콘 함유 전구체를 포함할 수 있다. 실리콘 함유 전구체는 예를 들어, 약 400mm × 약 500mm의 기판 크기에 대해, 약 100sccm 내지 약 500sccm과 같은, 10sccm 또는 이를 초과하는 유량으로 전달될 수 있다. 질소 함유 전구체는 다양한 질소 함유 전구체들에 대해, 약 100sccm 내지 약 6000sccm과 같은, 약 5sccm 또는 이를 초과하는 유량으로 전달될 수 있다.
예를 들어, 전구체 혼합물은 실리콘 산질화물 필름을 증착하기 위해서 특히, 실란, 아산화 질소, 및 질소를 포함할 수 있다. 대안적으로, 특히, 실란, 암모니아, 및 질소는 실리콘 질화물 필름을 증착하기 위해서 이용된다. 또한, 전구체들은 실리콘 산화물 필름을 증착하기 위해서 실란, 및 아산화 질소를 포함할 수 있다. 게다가, 각각의 전구체는 요구되는 다양한 증착 매개변수들에 따라, 동일하거나 상이한 유량으로 전달될 수 있다. 본 발명의 실시예들은 특히, 기판 크기들, 챔버 조건들 등에 따라서 본 발명에 기재된 바와 같은 임의의 공정 매개변수/변수들을 확대(scaling up) 또는 축소(scaling down)시키는 단계를 포함하는 것으로 이해된다.
하나 이상의 실리콘 함유 무기 배리어 층들의 증착 중에, 수소 가스는 본 발명의 밀봉 층의 차수 성능을 개선하기 위해서 공정 챔버로 전달된다. 게다가, 수소 가스의 도입은 하나 이상의 실리콘 함유 무기 배리어 층들의 표면 조도를 감소시켜 양호한 밀봉 층을 형성하는 것으로 알려진다.
하나 이상의 실리콘 함유 무기 배리어 층들은 전기장을 인가하고 공정 챔버 내부에 플라즈마를 생성함으로써 기판 상에 증착된다. 전기장은 무선 주파수 전력, 마이크로웨이브 주파수 전력과 같은 전력 소오스를 공정 챔버에 인가함으로써 생성될 수 있다. 전력 소오스는 유도 또는 전기 용량적으로 공정 챔버에 연결될 수 있다. 게다가, 공정 챔버의 압력은 약 0.5 토르 내지 약 10 토르로 유지된다.
결과적으로, 하나 이상의 실리콘 함유 무기 배리어 층들은 약 1000Å/분 내지 약 3000Å/분 사이와 같은, 약 500 Å/분 또는 이를 초과하는 증착률로 증착된다. 하나 이상의 실리콘 함유 무기 배리어 층들의 두께는 약 1,000Å 내지 약 30,000Å 범위에서 변할 수 있다. 일반적으로, 물 침투를 방지하기 위해 보다 얇은 배리어 층보다는 보다 두꺼운 배리어 층이 더 양호하다.
통상적인 저온 무기 필름 증착 공정들은 밀봉 층 내에 원하지 않는 특성들을 생성하였다. 예를 들어, 필름은 덜 조밀하며, 필름의 표면은 물 테스트 후의 높은 굴절률 변화, 물 테스트 후에 고 수증기 투과율(WVTR), 높은 투과 퓨리에 변환 적외선 스펙트럼(fourier transform infrared spectra; FTIR) 변화와 같은, 결함 구조 및 불량한 필름 특성으로 거칠어진다. 예로서, 양호한 수분 배리어/필름으로서 이용될 양호한 차수 성능을 갖춘 실리콘 질화물 박막의 증착은 본원에서 이후에 더 설명될 것이지만, 본 발명은 본원에 기재된 세부사항들로 제한되도록 의도되지는 않는다.
기판들(400 mm×500 mm 크기)은 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼즈사로부터 이용가능한, 약 900밀의 간격으로 통상적인 평행 판 무선 주파수(RF) 플라즈마 강화 화학 기상 증착(PECVD) 시스템, AKT 1600 PECVD의 챔버 내부에서 진공 상태 하에 놓이게 되었다. 기판 지지부(서셉터)의 온도는 저온 증착 공정을 위해서 약 60℃로 설정되었다. 수소 가스(H2)의 존재 하에서 실란(SiH4), 암모니아(NH3), 질소(N2)의 혼합물들은 수분 및 산소 배리어로서 실리콘 질화물 필름을 증착하기 위해 소오스 전구체 가스들로서 챔버에 전달되었다. 이에 비해, 실리콘 질화물을 증착하기 위해서 실란(SiH4), 암모니아(NH3), 및 질소(N2)를 이용하는 종래 기술 방법들이 동일한 공정 조건들 하에서 동시에(in parallel) 준비되었다. 챔버 내부의 압력은 약 2.1 토르이다. 플라즈마는 약 13.56 ㎒ 및 약 900 W로 설정된 RF 전력 발생기를 이용하여 유지되었다.
양 공정 조건들로부터 준비되는 필름들에 대해 기본 필름 특성들이 비교되었다. 상기 결과들은, 수소 소오스 가스의 존재 및 부재 하에서 증착되는 실리콘 질화물 필름들은 초기에, 약 1.7 내지 약 1.9의 굴절률(RI) 및 0 내지 약 2×109 dynes/cm2의 필름 응력과 유사한 기본 필름 특성들을 나타낸다는 점을 보여줬다. 증착률은 양 필름들에서 약 1000Å/분 내지 약 1500Å/분으로 비슷하다. 따라서, 수소 가스의 존재는 기본 필름 특성들 또는 증착률에 영향을 미치지 않는다.
그러나, 두 개의 필름들에 대한 증착 후 표면 조도(평균제곱근 단위, RMS)는 급격하게 변화하였다. 두 개의 필름들은 현미경으로 비교되었으며, 3차원 표면 조도 상(image)들이 비교되었으며 표면 조도가 측정되었다. 수소 소오스 가스 없이 증착되는 SiN 필름에 대한 평균 표면 조도는 거친 표면을 나타내는 약 40Å 내지 약 70Å이었다. 수소 소오스 가스의 존재하에서 증착되는 SiN 필름의 평균 표면 조도는 평탄한 표면을 나타내는 약 9Å 내지 약 12Å이었다.
필름 특성에 대하여 물/수분의 영향을 측정하기 위한 물 테스트 후에 두 개의 필름들이 비교되었을 때 비교는 더욱 현저하였다. 주요 차수 성능의 비교에 대한 표 1에 따르면, H2 소오스 가스는 필름 표면 조도를 평탄한 표면으로 감소시키는 중요한 역할을 하고, 평탄한 표면은 대기로부터 필름 내부로 물/산소 침투를 방지하여 수분/물에 대한 저항성을 나타내기 위해 평면 패널 디스플레이 산업에서의 주요 매개변수인, 훨씬 더 낮은 WVTR(수증기 투과율) 값을 야기하는 것으로 알려진다. WVTR을 측정하기 위한 물 테스트는 특정 양의 시간(수 시간 또는 수일 등) 동안 약 40% 내지 약 100%의 상대 습도(RH) 및 약 25℃ 내지 약 100℃의 온도 범위에서 작동하는 습도 챔버 내에 테스트 구조물을 위치시킴으로써 일반적으로 실행되는 고-습도 테스트이다. 테스트 시간당 테스트되는 구조물의 특정 크기에서 유지되는 물의 양은 테스트되는 온도 및 테스트되는 상대 습도에서 수증기 투과율(WVTR)을 부여하도록 계산되었다.
Figure pat00001
수소 소오스 가스로 증착되는 SiN 필름에 대한 물 처리 이전 및 이후 투과 퓨리에 변환 적외선 스펙트럼(FTIR)이 또한 수행되고 비교되었다. FTIR 및 굴절률(RI)에서의 변화의 비교를 위한 물 처리가 특정 시간량, 예를 들어, 약 100시간동안 약 100℃와 같은 온수에서 상이한 증착된 필름들을 담금으로써 또한 수행되었다. FTIR 스펙트럼들은 1500cm-1 내지 4000cm-1 범위로 기록되었다. Si-H, N-H, 및 O-H 결합들은 스펙트럼들로 표시되었다. 물 처리 이전과 이후에 상당한 차이는 없으며, 이는 수소 소오스 가스로 증착되는 SiN 필름으로부터의 물 처리 후 어떠한 결합들에도 변화가 없음을 표시한다. 표 1에 도시된 바와 같은 결과들은 약 100시간(고온 및 습함) 동안 약 100℃의 물에서 SiN 필름의 처리 후에, 전구체 소오스 가스들 중 하나로서 수소 가스가 존재하는 증착 조건들 하에서 증착되는 SiN 필름에 대한 굴절률 변화가 없음을 또한 표시하였다. 물 테스트 후에 측정되는 낮은 수증기 투과율(WVTR)의 결과들과 함께, 이들 모든 결과는 고 품질 실리콘 질화물이 소오스 가스 혼합물들의 일부로서 수소 가스를 이용하여 양호한 차수 성능으로 증착되었음을 표시한다.
비교해볼 때, 수소 소오스 가스 없이 종래 기술 방법을 이용하여 증착되는 SiN 필름들에 대한 물 처리 이전과 이후에, 투과 퓨리에 변환 적외선 스펙트럼(FTIR) 변화가 또한 수행되어 비교되었다. 이러한 결과들은 Si-H 결합에서의 큰 감소, N-H 결합에서의 작은 감소, 및 O-H 결합에서의 작은 피크 증가를 보여주었다. 표 1에 또한 도시된 바와 같은 결과들은 수소 소오스 가스 없이 증착되는 SiN 필름에 대한 약 15%의 굴절률의 변화가 있음을 나타내었다. 게다가, 보다 높은 수증기 투과율(WVTR)이 물 테스트 후 측정되었다. 이들 모두는 수소 소오스 가스의 부재 하에 증착되는 실리콘 질화물 필름이 불량한 차수 성능을 나타냄을 표시하고 있다.
적어도 하나의 저 유전체 상수의 재료 층의 증착
본 발명의 양상들은 저 유전체 상수의 재료 층 및 실리콘 함유 무기 배리어 층을 교대로 증착하는 단계를 제공한다. 약 4 미만의 유전체 상수(k)를 갖는 하나의 예시적 저 유전체 상수의 재료 층은 무정형 탄소 재료이다. 저 유전체 상수의 재료들의 다른 예들은 특히 탄소 함유 저 유전체 상수의 재료들, 탄소 도핑된 실리콘 재료, 다이아몬드형 탄소 재료를 포함한다.
도 7은 본 발명의 일 실시예에 따른 증착 방법(700)의 흐름도를 도시한다. 단계(702)에서, 기판은 기판 상에 무정형 탄소 재료 층과 같은 저 유전체 상수의 재료를 증착하기 위해서 증착 공정 챔버 내에 위치된다.
단계(704)에서, 무정형 탄소 재료에 대한 전구체 혼합물은 공정 챔버 내측으로 전달된다. 광범위한 가스 혼합물들은 저 유전체 상수의 재료를 증착하는데 이용될 수 있으며, 이러한 가스 혼합물들의 비제한적인 예시들이 하기에 제공된다. 일반적으로, 가스 혼합물은 하나 이상의 탄소 함유 화합물들 및/또는 탄화수소 화합물들을 포함할 수 있다. 적합한 유기 탄소 함유 화합물들은 지방족 유기 화합물들, 환식(cyclic) 유기 화합물들, 또는 이들의 조합물들을 포함한다. 지방족 유기 화합물들은 하나 이상의 탄소 원자들을 포함하는 선형 또는 가지형 구조들을 갖는다. 유기 탄소 함유 화합물들은 유기 그룹들 내의 탄소 원자들을 포함한다. 유기 그룹들은 알킬, 알케닐, 알키닐, 사이클로헥세닐(cyclohexenyl) 및 아릴 그룹들 외에도 이들의 기능적 유도체들을 포함할 수 있다. 탄소 함유 전구체/화합물은 예를 들어, 약 400mm×약 500mm 기판 크기에 대한 약 100sccm 내지 약 500sccm과 같은 10sccm 또는 이를 초과하는 유량으로 전달될 수 있다.
예를 들어, 탄소 함유 화합물은 CxHy 식을 가질 수 있으며, 여기서 x는 1 내지 8의 범위를 가지며, y는 2 내지 18의 범위를 가지며, 이에 제한되는 것은 아니지만, 아세틸렌(C2H2), 에탄(C2H6), 에텐(C2H4), 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 메탄(CH4), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 벤젠(C6H6), 톨루엔(C7H8), 및 이들의 조합물들을 포함한다. 대안적으로, 탄소 함유 화합물들의 부분적 또는 완전한 플루오르화 유도체들, 예를 들어, C3F8 또는 C4F8은 무정형 플루오르화탄소 층으로서 기재될 수 있는, 플루오르화 무정형 탄소 층을 증착하는데 이용될 수 있다. 탄화수소 화합물들의 플루오르화 유도체들 및 탄화수소 화합물들의 조합물은 무정형 탄소 층 또는 무정형 플루오르화탄소 층을 증착하는데 이용될 수 있다.
다양한 가스들이 무정형 탄소 재료의 특성들을 변경시키기 위해서 가스 혼합물에 첨가될 수 있다. 약 100sccm 내지 약 6000sccm 사이와 같은, 약 5sccm 또는 이를 초과하는 유량으로 전달되는, 특히 비활성 가스(예를 들어, 헬륨, 아르곤, 네온, 크세논, 크립톤 등), 질소(N2), 암모니아(NH3), 아산화 질소(N2O), 일산화 질소(NO), 또는 이들의 조합물들은 저 유전체 상수 무정형 탄소 층의 증착률 및 밀도를 제어하도록 이용된다. 또한, H2 및/또는 NH3의 추가는 반사율과 같은, 층 특성들을 제어하기 위해서 무정형 탄소 층의 수소 비율을 제어하도록 이용될 수 있다.
단계(706)에서, 수소 가스는 필름 균일성을 강화(% 균일성 측정치에서의 감소)하기 위해서 공정 챔버 내측으로 전달된다. 수소 가스가 소오스 가스로서 첨가될 때, 약 +/- 5% 또는 그 미만 또는 약 +/- 3% 또는 그 미만과 같은 약 +/- 10% 또는 그 미만의 필름 균일성이 획득된다. 이와는 다르게, 수소 가스를 첨가하지 않고, 증착된 저 유전체 상수의 무정형 탄소 재료는 약 +/- 15% 내지 약 +/- 35%의 필름 균일성 측정치를 가지며 매우 거칠고 비균일하다. 필름 균일성을 개선하는 수소 가스가 없다면, 다수의 층들이 증착될 때 스텝 커버리지 상에 훨씬 더 큰 격렬한 충격이 존재한다. 강화된 필름 균일성(평탄하며 균일한 필름 표면)을 갖는 저 유전체 상수의 무정형 탄소 재료 층은 약 80% 또는 그 위 또는 심지어 95% 또는 그 위로 스텝 커버리지를 상당히 개선시키며, 다층 필름 스택에서의 실리콘 함유 무기 배리어 층들 사이에 잘 부착되기도 한다.
단계(708)에서, 전기장이 가해지며 플라즈마가 공정 챔버 내부에 생성된다. 전기장은 무선 주파수 전력, 마이크로웨이브 주파수 전력과 같은 전력 소오스를 공정 챔버에 가함으로써 생성될 수 있다. 전력 소오스는 유도적으로 또는 전기 용량적으로 공정 챔버에 연결될 수 있다. 단일 13.56 ㎒ RF 전력 소오스로부터의 전력이 약 0.14 와트/㎠ 내지 약 8.6 와트/㎠의 전력 밀도, 또는 약 100 와트 내지 약 6000 와트의 전력 레벨에서 플라즈마를 형성시키기 위해서 공정 챔버에 공급될 수 있다. 약 0.25 와트/㎠ 내지 약 0.6 와트/㎠의 전력 밀도는 바람직하게는, 플라즈마를 생성하기 위해서 공정 챔버에 공급된다. RF 전력은 약 0.01㎒ 내지 300 ㎒의 주파수로 제공될 수 있다. RF 전력은 계속해서 또는 짧은 기간 주기들로 제공될 수 있다. RF 전력은 화합물들의 해리를 증가시키기 위해서 공정 챔버에 연결된다. 화합물들은 증착 챔버에 유입되기 이전에 마이크로웨이브 챔버 내에서 해리될 수도 있다. 그러나, 각각의 매개변수들이 다양한 챔버에서 그리고 상이한 기판 크기들에 대해 플라즈마 공정을 수행하기 위해서 변형될 수 있다는 점을 주목해야 한다.
탄소 함유 화합물 및 수소 가스는 가스 분배 시스템을 통해 탄소 함유 화합물 공급 소오스 및 수소 가스 공급 소오스로부터 공정 챔버에 그리고 공정 챔버 내측으로 도입된다. 가스 분배 시스템은 일반적으로, 저 유전체 상수 무정형 탄소 층이 상부에 증착되고 있는 기판으로부터, 약 900 밀과 같이, 약 180 밀 내지 약 2000 밀로 이격되어 있다. 게다가, 공정 챔버의 압력은 약 100 밀리토르 내지 약 20 토르로 유지된다.
단계(710)에서, 무정형 탄소 재료는 무정형 탄소 층을 약 -20℃ 내지 약 100℃로 유지되는, 그리고 바람직하게는 약 20℃ 내지 약 80℃의 온도로 유지되는 기판 온도와 같이, 약 100℃ 또는 그 미만의 기판 온도로 적용함으로써 기판 상에 증착된다. 바람직한 무정형 탄소 층은 일 실시예에서, 약 200sccm과 같은, 약 100sccm(standard cubic centimeters per minute) 내지 약 5,000sccm의 유량으로 플라즈마 공정 챔버에 아세틸렌을 공급함으로써 증착된다. 수소 가스는 약 200sccm 내지 약 600sccm과 같은, 약 100sccm 내지 약 2,500sccm의 유량으로 공정 챔버에 첨가되기도 한다.
전술된 공정 매개변수들은 약 1,500Å/분 내지 약 2,500 Å/분 사이와 같은, 약 500 Å/분 또는 이를 초과하는 범위로 저 유전체 상수의 무정형 탄소 층에 대한 통상적인 증착률을 제공하며, 편의를 위해서, 실리콘 함유 무기 배리어 층을 증착하기 위한 시스템으로서, 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼즈사로부터 이용가능한 통상적인 평행 판 무선 주파수(RF) 플라즈마 강화 화학 기상 증착(PECVD) 시스템 내의 동일하거나 상이한 화학 기상 증착 챔버 상에서 구현될 수 있다. 본 발명에 제공되는 무정형 탄소 증착 값들은 예시적이며, 본 발명의 범위를 제한하는 것으로 해석되지 않아야 한다.
증착되는 저 유전체 상수의 무정형 탄소 재료는 약 10% 수소 내지 약 60% 수소의 범위를 갖는, 조절가능한 탄소 대 수소의 비율일 수 있는 탄소 및 수소 원자들을 포함한다. 무정형 탄소 층의 수소 비율 제어는 그 각각의 광학 특성들, 식각 선택성, 및 화학 기계식 내 연마성(polishing resistance property)들을 조정하기 위해서 바람직할 수 있다. 특히, 수소 함량이 감소함에 따라서, 증착된 그대로의 층(as-deposited layer)의 광학 특성들, 예를 들어, 굴절률(n) 및 흡수 계수(k)가 증가한다. 유사하게, 수소 함량이 감소함에 따라서, 무정형 탄소 층의 식각 저항성(etch resistance)은 증가한다. 본 발명의 실시예들은 특히, 기판 크기들, 챔버 조건들 등에 따라서 본 발명에 기재된 바와 같이, 임의의 공정 매개변수/변수들을 확대하거나 축소하는 단계를 포함하는 것으로 이해한다. 본 발명의 실시예들은 또한, 단계들이 본원에 기재된 바와 같은 순서대로 수행될 필요가 없음을 주목한다. 예를 들어, 수소 가스는 전구체 혼합물이 챔버에 전달되기 전에 공정 챔버로 전달될 수 있으며, 몇몇의 경우에, 단계(704, 706)는 동시에 수행될 수 있다. 선택적으로, 질소 가스와 같은 질소 함유 가스는 약 1,000sccm 내지 약 2,000sccm과 같은, 약 200sccm 내지 약 5,000sccm의 유량으로 가스 혼합물 내측으로 공급된다.
예시들
도 8은 본 발명의 일 실시예에 따라서, 일 예시적 증착 방법(800)의 흐름도를 도시하고 있다. 단계(802)에서, 하나 이상의 실리콘 함유 무기 배리어 층들은 실리콘 함유 화합물 및 수소 가스를 이용하여 기판 처리 시스템 내의 기판 표면 상에 증착된다. 단계(804)에서, 하나 이상의 무정형 탄소 층들은 탄소 함유 화합물 및 수소 가스를 이용하여 동일하거나 상이한 기판 처리 시스템 내의 하나 이상의 실리콘 함유 무기 배리어 층들 사이에 증착된다. 바람직하게, 실리콘 질화물 층과 같은, 실리콘 함유 무기 배리어 재료의 초기 층은 실리콘 질화물 층 아래와 기판 상의 임의의 층들에 대해 양호한 물 및 산소 배리어로서 제공되도록 우선 증착된다.
도 9는 일 예시적 배리어 층 및 예시적 저 유전체 상수의 재료 층의 광 투과성을 보여주고 있다. 예시적 배리어 층은 약 150sccm, 약 400sccm, 약 1,500sccm, 및 약 4,000sccm으로 각각 PECVD 공정 챔버에 전달되는, 실란, 암모니아, 질소 가스 및 수소 가스의 혼합물에 의해 증착되는 실리콘 질화물 층이다. 기판은 약 900 밀의 간격으로 PECVD 공정 챔버 내로 위치되었으며 약 2.1 토르의 압력이 유지되었다. 플라즈마는 기판 바이어스의 존재하에서, 약 390 초의 증착 시간 기간 동안 약 0.45 와트/㎠의 RF 전력 밀도로 가해졌다. 증착 중에 약 70℃의 기판 온도가 유지되어 약 1,700Å/분의 증착률을 야기한다.
예시적인 저 유전체 상수의 재료 층은 약 200sccm, 약 1,000sccm, 및 약 500sccm으로 각각 동일한 PECVD 공정 챔버로 전달되는 아세틸렌, 질소 가스, 및 수소 가스의 혼합물에 의해 증착되는 무정형 탄소 층이다. 기판은 약 900밀의 간격으로 PECVD 공정 챔버 내에 위치되었으며, 약 1.5 토르의 압력이 유지되었다. 플라즈마는 기판 바이어스의 존재하에서, 약 500 초의 증착 시간 기간 동안 약 0.25와트/㎠의 RF 전력 밀도로 가해졌다. 증착 중에 약 70℃의 기판 온도가 유지되어 약 1,200 Å/분의 증착률을 야기한다.
증착된 실리콘 질화물 필름(910) 및 증착된 무정형 탄소 필름(920)의 광 투과성 측정치가 도 9에 도시되어 있다. 서로 다른 파장들에서 두 개의 필름들의 투과성은 매우 높으며, 평균하여 약 65% 내지 약 100%이다. 약 500 nm 또는 이를 초과하는 높은 파장들에서, 투과성은 더욱 양호하여, 약 90% 내지 약 100% 사이의 광 투과성을 갖는다. 이러한 결과들은 본 발명의 실리콘 질화물 및 무정형 탄소 필름들이 최상부 또는 바닥 방사 디스플레이 소자들을 포함하는 다양한 애플리케이션들에서 이용될 수도 있다는 점을 제시하고 있다.
도 8을 다시 참조하여, 단계(806)에서, 실리콘 함유 무기 배리어 층은 최종 층으로서 선택적으로 증착된다. 따라서, 하나 이상의 실리콘 함유 무기 배리어 층들 및 하나 이상의 무정형 탄소 층들을 갖는 밀봉 층은 단계(808)에서 기판의 표면 상에 증착된다. 따라서, 배리어 재료의 한 개 층, 두 개 층들, 세 개 층들, 네 개 층들, 또는 다섯 개 층들을 갖는 다양한 밀봉 필름들이 증착될 수 있다. 유사하게, 저 유전체 상수의 재료의 한 개 층, 두 개 층들, 세 개 층들, 네 개 층들, 또는 다섯 개 층들을 갖는 다양한 밀봉 필름들이 증착될 수 있다.
예를 들어, 실리콘 질화물 재료의 각각 두 개 층들, 세 개 층들, 네 개 층들, 다섯 개 층들 또는 여섯 개 층들 사이에 무정형 탄소 재료의 한 개 층, 두 개 층들, 세 개 층들, 네 개 층들, 또는 다섯 개 층들을 갖는 다양한 밀봉 필름들이 증착되어 비교/테스트되었다. 게다가, 다양한 두께로 증착되거나 수소 소오스 가스의 존재 및 부재 하에서 증착되는 실리콘 함유 무기 배리어 층들 및 무정형 탄소 층들이 또한 테스트되었다.
실리콘 함유 무기 배리어 층들 및 무정형 탄소 층들을 갖는 본 발명의 밀봉 필름들은 스카치 테잎 필링 테스트들 및 칼슘 테스트들을 이용하여 테스트되었다. 결과들은 매우 양호하며, 기판으로부터 다양한 다층 밀봉 필름들의 필링을 보이지 않았으며, 없거나 낮은 수준의 물 및 산소 부식(칼슘 테스트에서의 없거나 낮은 수준의 투명한 칼슘 염 형성)을 보였다. 본 발명의 밀봉 필름들은 또한 소자들의 표면으로부터의 필링 없이 바람직한 두께로 증착되며 물 및 산소가 소자들 내로 침투되는 것을 방지하고 소자 수명을 연장시키기 위한 이들의 능력에 대하여, OLED 소자와 같은 소자들 상에서 테스트되었다. 약 60℃ 및 약 85%의 고습도 하에서 테스트될 때, 본 발명의 밀봉 필름들은 소자들의 수명을 약 1440 시간을 초과하도록 연장시킬 수 있다.
본 발명의 방법들을 이용하여 증착되는 일 예시적 다층 밀봉 필름은 도 10에 도시되어 있으며, 최상부 상에 다층 밀봉 필름(1020)이 증착되는 기판(1010)의 단면의 주사 전자 현미경의 현미경 사진으로 도시하고 있다. 본 발명의 다층 밀봉 필름(1020)은 실리콘 질화물 배리어 재료의 네 개 층들(1011, 1012, 1013, 1014) 및 무정형 탄소 재료의 세 개 층들(1021, 1022, 1023)을 실리콘 질화물 재료의 부착을 촉진시키기 위해서 실리콘 질화물 재료 사이에 포함시켜 다층 밀봉 필름(1020)의 최종 두께를 약 35,000Å이 되게 한다. 총 아홉 개의 증착된 재료 층들을 갖춘 다층 밀봉 필름(1020)의 전반적인 스텝 커버리지는 매우 양호하며, 약 95%의 스텝 커버리지가 획득된다.
전술된 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가 실시예들이 본 발명의 기본 범위를 벗어나지 않고 고안될 수 있으며, 본 발명의 범위는 후속하는 청구항들에 의해 결정된다.

Claims (14)

  1. 기판 상에 재료 층을 증착하기 위한 방법으로서,
    상기 기판을 공정 챔버 내에 위치시키는 단계;
    상기 재료 층을 위한 전구체들의 혼합물을 전달하고, 상기 재료 층의 차수(water-barrier) 성능을 개선하기 위해서 상기 공정 챔버로 수소 가스를 전달하는 단계;
    상기 기판의 온도를 약 100℃ 이하의 온도로 제어하는 단계;
    상기 공정 챔버 내부에서 플라즈마를 생성하는 단계; 및
    상기 재료 층을 상기 기판 상에 증착시키는 단계를 포함하는,
    기판 상에 재료 층을 증착하기 위한 방법.
  2. 제 1 항에 있어서,
    개선된 차수 성능을 갖는 상기 증착된 재료 층은 약 38℃ 및 약 90% 습도의 상태에서 하루에 약 1×10-2g/㎡ 이하의 수증기 투과율을 갖는,
    기판 상에 재료 층을 증착하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 기판의 온도는 약 20℃ 내지 약 80℃로 유지되는,
    기판 상에 재료 층을 증착하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 재료 층을 위한 전구체들은 실리콘 함유 화합물을 포함하는,
    기판 상에 재료 층을 증착하기 위한 방법.
  5. 제 4 항에 있어서,
    상기 실리콘 함유 화합물은 실란, SiF4, Si2H6, 및 이들의 조합물로 구성된 그룹으로부터 선택되는,
    기판 상에 재료 층을 증착하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 재료 층을 위한 전구체들은 질소 함유 가스, 탄소 함유 가스, 산소 함유 가스, 및 이들의 조합물로 구성되는 그룹으로부터 선택되는 재료를 포함하는,
    기판 상에 재료 층을 증착하기 위한 방법.
  7. 기판 상에 밀봉 층을 증착하기 위한 방법으로서,
    상기 기판을 공정 챔버 내에 위치시키는 단계;
    상기 밀봉 층을 위한 전구체들의 혼합물을 전달하고 상기 공정 챔버로 수소 가스를 전달하는 단계;
    상기 기판의 온도를 약 100℃ 이하의 온도로 제어하는 단계;
    상기 공정 챔버 내부에서 플라즈마를 생성하는 단계; 및
    약 38℃ 및 약 90% 습도의 상태에서 하루에 약 1×10-2g/㎡ 이하의 수증기 투과율의 차수 성능을 갖는 상기 밀봉 층을 상기 기판 상에 증착시키는 단계를 포함하는,
    기판 상에 밀봉 층을 증착하기 위한 방법.
  8. 기판 상에 재료 층을 증착하기 위한 방법으로서,
    상기 기판을 공정 챔버 내에 위치시키는 단계;
    상기 공정 챔버 내부에서 플라즈마를 생성하는 단계;
    약 100℃ 이하의 기판 온도에서 상기 재료 층을 위한 전구체들의 혼합물로부터 상기 재료 층을 상기 기판 상에 증착시키는 단계; 및
    증착 중에 수소 가스를 상기 공정 챔버로 전달함으로써 상기 증착된 재료 층의 표면 조도를 약 40Å 이하의 조도 치로 감소시키는 단계를 포함하는,
    기판 상에 재료 층을 증착하기 위한 방법.
  9. 제 7 항 또는 제 8 항에 있어서,
    상기 기판의 온도는 약 20℃ 내지 약 80℃로 유지되는,
    기판 상에 재료 층을 증착하기 위한 방법.
  10. 제 7 항 또는 제 8 항에 있어서,
    상기 재료 층을 위한 전구체들은 실란, SiF4, Si2H6, 및 이들의 조합물로 구성되는 그룹으로부터 선택되는 화합물을 포함하는,
    기판 상에 재료 층을 증착하기 위한 방법.
  11. 제 7 항 또는 제 8 항에 있어서,
    상기 재료 층을 위한 전구체들은 질소 함유 화합물, 탄소 함유 가스, 산소 함유 가스, 암모늄, 아산화 질소(N2O), 일산화 질소(NO), 질소(N2) 및 이들의 조합물로 구성된 그룹으로부터 선택되는 화합물을 포함하는,
    기판 상에 재료 층을 증착하기 위한 방법.
  12. 기판 상에 밀봉 층을 증착하기 위한 장치로서,
    공정 챔버;
    상부에 상기 기판을 지지하도록 상기 공정 챔버 내에 배치되는 기판 지지부;
    상기 공정 챔버 내부에 플라즈마를 제공하기 위해서 상기 공정 챔버에 결합되는 RF 소오스(source);
    상기 공정 챔버에 결합되는 실리콘 함유 화합물 공급 소오스;
    상기 공정 챔버에 결합되는 수소 가스 공급 소오스;
    상기 공정 챔버에 결합되는 탄소 함유 화합물 공급 소오스; 및
    기판 처리 중에 상기 기판의 온도를 약 200℃ 이하로 제어하도록 상기 공정 챔버에 결합되며, 동일한 공정 챔버 내의 하나 또는 그 초과의 실리콘 함유 무기 배리어 층들 사이에 하나 또는 그 초과의 저 유전체 상수 재료 층들을 갖는 상기 밀봉 층을 증착시키도록 적응되는 제어기를 포함하는,
    기판 상에 밀봉 층을 증착하기 위한 장치.
  13. 제 12 항에 있어서,
    상기 기판은 약 120,000 ㎟의 대면적 기판인,
    기판 상에 밀봉 층을 증착하기 위한 장치.
  14. 제 12 항에 있어서,
    상기 기판은 반도체 웨이퍼, 실리콘 함유 재료, 금속, 유리, 플라스틱 필름, 플라스틱 에폭시, 폴리에틸렌 테레프탈레이트(PET), 폴리에틸렌 나프탈레이트(PEN)로 구성되는 그룹으로부터 선택되는 재료를 포함하는,
    기판 상에 밀봉 층을 증착하기 위한 장치.
KR1020127018613A 2004-06-25 2005-06-06 밀봉 필름의 차수 성능 개선 방법 및 장치 KR20120096084A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/876,440 US7220687B2 (en) 2004-06-25 2004-06-25 Method to improve water-barrier performance by changing film surface morphology
US10/876,440 2004-06-25
US11/133,130 US7183197B2 (en) 2004-06-25 2005-05-18 Water-barrier performance of an encapsulating film
US11/133,130 2005-05-18

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020077001742A Division KR101279914B1 (ko) 2004-06-25 2005-06-06 밀봉 필름의 차수 성능 개선 방법 및 장치

Publications (1)

Publication Number Publication Date
KR20120096084A true KR20120096084A (ko) 2012-08-29

Family

ID=35506371

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127018613A KR20120096084A (ko) 2004-06-25 2005-06-06 밀봉 필름의 차수 성능 개선 방법 및 장치

Country Status (4)

Country Link
US (2) US7220687B2 (ko)
KR (1) KR20120096084A (ko)
CN (4) CN101649450B (ko)
TW (1) TWI354032B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160000438A (ko) * 2014-06-24 2016-01-04 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
KR20190099890A (ko) * 2018-02-20 2019-08-28 에이피시스템 주식회사 유기발광표시장치 및 봉지막 제조방법
CN110408909A (zh) * 2018-04-26 2019-11-05 Spts科技有限公司 沉积SiN膜的方法
KR20200010610A (ko) * 2017-07-25 2020-01-30 어플라이드 머티어리얼스, 인코포레이티드 개선된 박막 캡슐화

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100330748A1 (en) 1999-10-25 2010-12-30 Xi Chu Method of encapsulating an environmentally sensitive device
US6866901B2 (en) * 1999-10-25 2005-03-15 Vitex Systems, Inc. Method for edge sealing barrier films
US7198832B2 (en) * 1999-10-25 2007-04-03 Vitex Systems, Inc. Method for edge sealing barrier films
US8808457B2 (en) 2002-04-15 2014-08-19 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US8900366B2 (en) * 2002-04-15 2014-12-02 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US7648925B2 (en) 2003-04-11 2010-01-19 Vitex Systems, Inc. Multilayer barrier stacks and methods of making multilayer barrier stacks
KR101279914B1 (ko) * 2004-06-25 2013-07-01 어플라이드 머티어리얼스, 인코포레이티드 밀봉 필름의 차수 성능 개선 방법 및 장치
US20070048456A1 (en) * 2004-09-14 2007-03-01 Keshner Marvin S Plasma enhanced chemical vapor deposition apparatus and method
US7504154B2 (en) * 2005-03-23 2009-03-17 Lockheed Martin Corporation Moisture barrier coatings for infrared salt optics
US20070012661A1 (en) * 2005-07-13 2007-01-18 Vasilyeva Irina V Silicon nitride passivation layers having oxidized interface
US20070020451A1 (en) * 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7767498B2 (en) 2005-08-25 2010-08-03 Vitex Systems, Inc. Encapsulated devices and method of making
US20070099417A1 (en) * 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
JP4939176B2 (ja) * 2005-12-22 2012-05-23 キヤノン株式会社 有機el素子
US7872292B2 (en) * 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
US20080006819A1 (en) * 2006-06-19 2008-01-10 3M Innovative Properties Company Moisture barrier coatings for organic light emitting diode devices
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
KR100867925B1 (ko) * 2007-03-08 2008-11-10 삼성에스디아이 주식회사 유기전계발광표시장치 및 그 제조방법
US20080241355A1 (en) * 2007-03-30 2008-10-02 Applied Materials, Inc. Thin film transistor devices having high electron mobility and stability
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
TW200930135A (en) * 2007-08-31 2009-07-01 Tokyo Electron Ltd Organic electronic device, organic electronic device manufacturing method, organic electronic device manufacturing apparatus, substrate processing system, protection film structure and storage medium with control program stored therein
JP2009211877A (ja) * 2008-03-03 2009-09-17 Sony Corp 表示装置および電子機器
US7951620B2 (en) * 2008-03-13 2011-05-31 Applied Materials, Inc. Water-barrier encapsulation method
KR20110040616A (ko) * 2008-07-24 2011-04-20 후지 덴키 홀딩스 가부시키가이샤 유기 el 디바이스 및 그 제조 방법
US9545360B2 (en) 2009-05-13 2017-01-17 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
DK2251453T3 (da) 2009-05-13 2014-07-07 Sio2 Medical Products Inc Beholderholder
KR20100125674A (ko) * 2009-05-21 2010-12-01 삼성모바일디스플레이주식회사 유기 발광 소자 및 이의 제조 방법
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
TWI466243B (zh) * 2010-09-10 2014-12-21 Ind Tech Res Inst 環境敏感電子元件之封裝體及其封裝方法
US9935289B2 (en) 2010-09-10 2018-04-03 Industrial Technology Research Institute Institute Environmental sensitive element package and encapsulation method thereof
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101844557B1 (ko) 2011-02-08 2018-04-02 어플라이드 머티어리얼스, 인코포레이티드 유기 발광 다이오드의 하이브리드 캡슐화를 위한 방법
JP5648523B2 (ja) * 2011-02-16 2015-01-07 富士通株式会社 半導体装置、電源装置、増幅器及び半導体装置の製造方法
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US8773013B2 (en) * 2011-05-12 2014-07-08 Universal Display Corporation Three dimensional OLED lamps
WO2012173692A1 (en) * 2011-06-17 2012-12-20 Applied Materials, Inc. Cvd mask alignment for oled processing
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
CN103930595A (zh) 2011-11-11 2014-07-16 Sio2医药产品公司 用于药物包装的钝化、pH保护性或润滑性涂层、涂布方法以及设备
US8901015B2 (en) * 2012-02-15 2014-12-02 Applied Materials, Inc. Method for depositing an inorganic encapsulating film
US20150027541A1 (en) * 2012-03-16 2015-01-29 Osram Opto Semiconductors Gmbh Electronic component with moisture barrier layer
KR101889013B1 (ko) * 2012-05-17 2018-08-21 삼성디스플레이 주식회사 평판 표시 장치의 박막 봉지 및 그 제조방법
US9449809B2 (en) * 2012-07-20 2016-09-20 Applied Materials, Inc. Interface adhesion improvement method
US9397318B2 (en) 2012-09-04 2016-07-19 Applied Materials, Inc. Method for hybrid encapsulation of an organic light emitting diode
US8963421B2 (en) 2012-09-14 2015-02-24 National Chiao Tung University Electroluminescent device including moisture barrier layer
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140117511A1 (en) * 2012-10-30 2014-05-01 Infineon Technologies Ag Passivation Layer and Method of Making a Passivation Layer
CA2890066C (en) 2012-11-01 2021-11-09 Sio2 Medical Products, Inc. Coating inspection method
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
JP6382830B2 (ja) 2012-11-30 2018-08-29 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 医療シリンジ、カートリッジ等上でのpecvd堆積の均一性制御
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9662450B2 (en) 2013-03-01 2017-05-30 Sio2 Medical Products, Inc. Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus
KR102139211B1 (ko) * 2013-03-04 2020-07-29 어플라이드 머티어리얼스, 인코포레이티드 Oled 박막 인캡슐레이션을 위한 불소-함유 플라즈마 중합 hmdso
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US9431631B2 (en) * 2013-03-11 2016-08-30 Applied Materials, Inc. Plasma curing of PECVD HMDSO film for OLED applications
CN110074968B (zh) 2013-03-11 2021-12-21 Sio2医药产品公司 涂布包装材料
TWI578592B (zh) * 2013-03-12 2017-04-11 應用材料股份有限公司 有機發光二極體元件及包括其之封裝結構的沉積方法
US9863042B2 (en) 2013-03-15 2018-01-09 Sio2 Medical Products, Inc. PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases
US9287522B2 (en) 2013-07-30 2016-03-15 Global Oled Technology Llc Local seal for encapsulation of electro-optical element on a flexible substrate
US9385342B2 (en) 2013-07-30 2016-07-05 Global Oled Technology Llc Local seal for encapsulation of electro-optical element on a flexible substrate
US9494792B2 (en) 2013-07-30 2016-11-15 Global Oled Technology Llc Local seal for encapsulation of electro-optical element on a flexible substrate
EP3122917B1 (en) 2014-03-28 2020-05-06 SiO2 Medical Products, Inc. Antistatic coatings for plastic vessels
CN105097866B (zh) * 2014-05-23 2018-03-13 群创光电股份有限公司 有机发光二极管显示面板
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102298757B1 (ko) * 2014-10-24 2021-09-07 삼성디스플레이 주식회사 유기발광 표시장치
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9847509B2 (en) 2015-01-22 2017-12-19 Industrial Technology Research Institute Package of flexible environmental sensitive electronic device and sealing member
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
JP2018523538A (ja) 2015-08-18 2018-08-23 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 低酸素透過速度を有する薬剤包装及び他の包装
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102381344B1 (ko) 2015-09-18 2022-03-31 삼성전자주식회사 캠형 가스 혼합부 및 이것을 포함하는 반도체 소자 제조 장치들
CN106908990A (zh) * 2016-03-16 2017-06-30 宁波长阳科技股份有限公司 一种量子点膜及其制备方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102322016B1 (ko) * 2016-06-01 2021-11-09 삼성디스플레이 주식회사 디스플레이 장치 및 그 제조방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN106654045B (zh) * 2016-12-19 2019-12-24 武汉华星光电技术有限公司 Oled封装方法与oled封装结构
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
TWI675502B (zh) * 2017-01-09 2019-10-21 美商應用材料股份有限公司 於有機發光二極體結構上形成薄膜封裝結構的方法與對應之於基板上製造有機發光二極體裝置的方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
WO2018157274A1 (zh) * 2017-02-28 2018-09-07 万魔声学科技有限公司 一种类钻碳振动膜的制作方法及一种扬声器
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009020A (ko) * 2017-07-17 2019-01-28 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190097175A1 (en) * 2017-09-28 2019-03-28 Applied Materials, Inc. Thin film encapsulation scattering layer by pecvd
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108511629A (zh) * 2018-05-31 2018-09-07 京东方科技集团股份有限公司 Oled显示基板及其制作方法、显示装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11038153B2 (en) * 2019-01-15 2021-06-15 Applied Materials, Inc. Methods for HMDSO thermal stability
JP2022547125A (ja) * 2019-09-10 2022-11-10 アプライド マテリアルズ インコーポレイテッド 表示用高密度プラズマcvdの封入適用例

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61218135A (ja) 1985-03-23 1986-09-27 Oki Electric Ind Co Ltd シリコン酸化膜の形成方法
DE68922244T2 (de) 1988-06-06 1995-09-14 Japan Res Dev Corp Verfahren zur Durchführung einer Plasmareaktion bei Atmosphärendruck.
US5438222A (en) 1989-08-28 1995-08-01 Semiconductor Energy Laboratory Co., Ltd. Electronic device with plural pad connection of semiconductor chip to leads
US5339387A (en) 1991-10-24 1994-08-16 Abekas Video Systems, Inc. Planar color gradients constructed as an arbitrary function of a distance function from an arbitrary 2-D curvilinear function
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
JP2875945B2 (ja) 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6352593B1 (en) 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6337102B1 (en) 1997-11-17 2002-01-08 The Trustees Of Princeton University Low pressure vapor phase deposition of organic thin films
WO2000015869A1 (fr) 1998-09-11 2000-03-23 Commissariat A L'energie Atomique Piece a base d'aluminium revetue de carbone dur amorphe
US6645884B1 (en) 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
TW495812B (en) 2000-03-06 2002-07-21 Semiconductor Energy Lab Thin film forming device, method of forming a thin film, and self-light-emitting device
TW531901B (en) 2000-04-27 2003-05-11 Semiconductor Energy Lab Light emitting device
US7074640B2 (en) 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US6770562B2 (en) 2000-10-26 2004-08-03 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
JP2003197636A (ja) 2001-12-27 2003-07-11 Casio Comput Co Ltd 薄膜半導体素子およびその製造方法
JP2003346317A (ja) 2002-05-23 2003-12-05 Fuji Photo Film Co Ltd 垂直磁気記録媒体
US6710542B2 (en) 2002-08-03 2004-03-23 Agilent Technologies, Inc. Organic light emitting device with improved moisture seal
CN1259710C (zh) * 2002-09-11 2006-06-14 联华电子股份有限公司 在低介电材料层与内连线间形成阻障层的方法
US7086918B2 (en) * 2002-12-11 2006-08-08 Applied Materials, Inc. Low temperature process for passivation applications
KR20050115268A (ko) 2003-03-04 2005-12-07 다우 코닝 코포레이션 유기 발광 다이오드
US6991859B2 (en) 2003-03-18 2006-01-31 Eastman Kodak Company Cascaded organic electroluminescent devices
US7274038B2 (en) * 2003-06-30 2007-09-25 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160000438A (ko) * 2014-06-24 2016-01-04 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
KR20200010610A (ko) * 2017-07-25 2020-01-30 어플라이드 머티어리얼스, 인코포레이티드 개선된 박막 캡슐화
US10991916B2 (en) 2017-07-25 2021-04-27 Applied Materials, Inc. Thin-film encapsulation
KR20210147105A (ko) * 2017-07-25 2021-12-06 어플라이드 머티어리얼스, 인코포레이티드 개선된 박막 캡슐화
US11770964B2 (en) 2017-07-25 2023-09-26 Applied Materials, Inc. Thin-film encapsulation
KR20190099890A (ko) * 2018-02-20 2019-08-28 에이피시스템 주식회사 유기발광표시장치 및 봉지막 제조방법
CN110408909A (zh) * 2018-04-26 2019-11-05 Spts科技有限公司 沉积SiN膜的方法

Also Published As

Publication number Publication date
TWI354032B (en) 2011-12-11
US20050287688A1 (en) 2005-12-29
CN101649450A (zh) 2010-02-17
US7220687B2 (en) 2007-05-22
TW200602515A (en) 2006-01-16
US7183197B2 (en) 2007-02-27
US20050287686A1 (en) 2005-12-29
CN102828164A (zh) 2012-12-19
CN101649450B (zh) 2013-01-16
CN102828164B (zh) 2016-09-21
CN1973061A (zh) 2007-05-30
CN104372305A (zh) 2015-02-25

Similar Documents

Publication Publication Date Title
KR101279914B1 (ko) 밀봉 필름의 차수 성능 개선 방법 및 장치
US7214600B2 (en) Method to improve transmittance of an encapsulating film
KR20120096084A (ko) 밀봉 필름의 차수 성능 개선 방법 및 장치
JP6082032B2 (ja) 封止膜を堆積するための方法
US9449809B2 (en) Interface adhesion improvement method
TWI303667B (en) Method and apparatus of depositing low temperature inorganic films on plastic substrates
JP2005166400A (ja) 表面保護膜
KR20140087470A (ko) 발광소자의 보호막 증착방법
JPWO2015008708A1 (ja) 電子デバイス

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20130701

Effective date: 20141127