JP2001326305A - 半導体装置用インターポーザー、その製造方法および半導体装置 - Google Patents

半導体装置用インターポーザー、その製造方法および半導体装置

Info

Publication number
JP2001326305A
JP2001326305A JP2000140836A JP2000140836A JP2001326305A JP 2001326305 A JP2001326305 A JP 2001326305A JP 2000140836 A JP2000140836 A JP 2000140836A JP 2000140836 A JP2000140836 A JP 2000140836A JP 2001326305 A JP2001326305 A JP 2001326305A
Authority
JP
Japan
Prior art keywords
insulator
interposer
holes
electrode portion
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000140836A
Other languages
English (en)
Other versions
JP3796099B2 (ja
Inventor
Naohiro Mashino
直寛 真篠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shinko Electric Industries Co Ltd
Original Assignee
Shinko Electric Industries Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shinko Electric Industries Co Ltd filed Critical Shinko Electric Industries Co Ltd
Priority to JP2000140836A priority Critical patent/JP3796099B2/ja
Priority to US09/848,801 priority patent/US6507497B2/en
Priority to EP01304079A priority patent/EP1154481A3/en
Publication of JP2001326305A publication Critical patent/JP2001326305A/ja
Priority to US10/281,712 priority patent/US20030086248A1/en
Application granted granted Critical
Publication of JP3796099B2 publication Critical patent/JP3796099B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/642Capacitive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/16Printed circuits incorporating printed electric components, e.g. printed resistor, capacitor, inductor
    • H05K1/162Printed circuits incorporating printed electric components, e.g. printed resistor, capacitor, inductor incorporating printed capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/14Structural association of two or more printed circuits
    • H05K1/141One or more single auxiliary printed circuits mounted on a main printed circuit, e.g. modules, adapters
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09209Shape and layout details of conductors
    • H05K2201/09654Shape and layout details of conductors covering at least two types of conductors provided for in H05K2201/09218 - H05K2201/095
    • H05K2201/09763Printed component having superposed conductors, but integrated in one circuit layer
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09209Shape and layout details of conductors
    • H05K2201/09654Shape and layout details of conductors covering at least two types of conductors provided for in H05K2201/09218 - H05K2201/095
    • H05K2201/09809Coaxial layout
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10613Details of electrical connections of non-printed components, e.g. special leads
    • H05K2201/10621Components characterised by their electrical contacts
    • H05K2201/10734Ball grid array [BGA]; Bump grid array
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/4913Assembling to base an electrical component, e.g., capacitor, etc.
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base

Abstract

(57)【要約】 【課題】 ノイズの吸収に優れ、小型化が可能で、製造
コストの低減化も図れる半導体装置用インターポーザー
を提供する。 【解決手段】 耐熱性を有する絶縁体10と、該絶縁体
10に形成された複数個のスルーホール12と、該スル
ーホール12のうち、所要数のスルーホール12内壁に
形成された導体部14を通じて電気的に接続される、絶
縁体10の表裏面に形成された配線パターン16と、ス
ルーホール12のうち、所要数のスルーホール12内壁
に形成された導体部14を通じて電気的に接続される、
絶縁体10の表裏面に形成された第1の電極部18と、
該第1の電極部18上に形成された誘電体層20と、該
誘電体層20上に形成された第2の電極部24とを有
し、第1の電極部18、誘電体層20、第2の電極部2
4とからなるキャパシタ28が所要数形成されているこ
とを特徴としている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置用インタ
ーポーザー、その製造方法および半導体装置に関する。
【0002】
【従来の技術】半導体チップを搭載する多層回路基板で
は、ノイズの吸収をするためキャパシタを取り付けてい
る。従来はこのキャパシタは、チップキャパシタを多層
回路基板の外部に取り付けることにより対処している。
【0003】
【発明が解決しようとする課題】しかるに、上記のよう
に、チップキャパシタを多層回路基板の外部に取り付け
たのでは、半導体チップとチップキャパシタの距離が大
きくなり、ノイズの吸収が十分でなく、デカップリング
キャパシタとしての性能が落ちてしまったり、複数のチ
ップキャパシタを搭載する搭載工程が増え、製造コスト
が高くなるという課題がある。また、チップキャパシタ
を含めた装置全体が大型化するという課題もある。
【0004】そこで本発明は上記課題を解決すべくなさ
れたものであり、その目的とするところは、ノイズの吸
収に優れ、小型化が可能で、製造コストの低減化も図れ
る半導体装置用インターポーザー、その製造方法、およ
びこのインターポーザーを用いた半導体装置を提供する
にある。
【0005】
【課題を解決するための手段】本発明は上記目的を達成
するため次の構成を備える。すなわち、実装基板と、該
実装基板に搭載される半導体チップとの間に介挿される
インターポーザーであって、耐熱性を有する絶縁体と、
該絶縁体に形成された複数個のスルーホールと、該スル
ーホールのうち、所要数のスルーホールの内壁に形成さ
れた導体部を通じて電気的に接続される、前記絶縁体の
表裏面に形成された配線パターンと、前記スルーホール
のうち、所要数のスルーホールの内壁に形成された導体
部を通じて電気的に接続される、前記絶縁体の表裏面に
形成された第1の電極部と、該第1の電極部上に形成さ
れた誘電体層と、該誘電体層上に形成された第2の電極
部とを有し、前記第1の電極部、誘電体層および第2の
電極部からなる所要数のキャパシタが形成されているこ
とを特徴としている。
【0006】上記のように、インターポーザに組み込ま
れたキャパシタが半導体チップの直下に位置して極めて
近接していることからデカップリングキャパシタとして
極めて性能よく機能する。また、複数個のキャパシタ
が、インターポーザーの作成時に同時に作り込まれるか
ら製造コストの低減化も図れる。また、インターポーザ
ー上に、配線パターンにより再配線するから、微細なパ
ターンにすることが可能となる。したがって、このよう
に再配線するから、多層基板となる実装基板側の層を1
層減らすことも可能となる。
【0007】前記キャパシタは前記配線パターン間に位
置して形成されることになる。このように、キャパシタ
は、配線パターン間の空いている領域を利用して数多く
作れる利点がある。前記配線パターンおよび前記第2の
電極部上に実装基板接続用のバンプを形成してインター
ポーザーとすることもできる。前記絶縁体はシリコン、
ガラスあるいは耐熱性を有するポリイミドなどを用いる
ことができる。前記誘電体層および前記第2の電極部
を、前記絶縁体の表裏面に形成された第1の電極部上に
この順に形成するようにすることによって、第1の電極
部と第2の電極部との対向面積を大きくでき、高容量の
キャパシタにすることができる。
【0008】また本発明に係るインターポーザーの製造
方法によれば、絶縁体に所要の配置で複数個のスルーホ
ールを形成する工程と、該スルーホールの内壁および前
記絶縁体の表裏面上に第1の導体層を形成する工程と、
該第1の導体層をパターンニングして、前記絶縁体の表
裏面に、前記スルーホールのうち、所要数のスルーホー
ルの内壁に形成された導体部を通じて電気的に接続され
る配線パターンと、前記スルーホールのうち、所要数の
スルーホール内壁に形成された導体部を通じて電気的に
接続される第1の電極部とを形成するパターンニング工
程と、前記配線パターンおよび前記第1の電極部を覆っ
て、前記絶縁体の表面に誘電体層を形成する工程と、該
誘電体層をパターンニングして、前記第1の電極部上に
誘電体層を形成するパターンニング工程と、該誘電体層
を覆って、前記絶縁体の表面に第2の導体層を形成する
工程と、該第2の導体層をパターンニングして、前記誘
電体層上に第2の電極部を形成するパターンニング工程
とを具備することを特徴とする。複数個のキャパシタを
同時に作り込むことができ、製造コストの低減化が図れ
る。
【0009】実装基板上に、上記インターポーザーを所
定位置に所要の電気的導通をとって実装し、該インター
ポーザー上に半導体チップを所要の電気的導通をとって
搭載することによって半導体装置とすることができる。
【0010】
【発明の実施の形態】以下、本発明の好適な実施の形態
を添付図面に基づいて詳細に説明する。インターポーザ
ーを製造工程と共に説明する。図1は絶縁体10を示
す。この絶縁体10にYAGレーザーあるいはエキシマ
レーザー等によりφ30〜300μm程度の大きさの複
数個のスルーホール12を所要パターンで形成する。
【0011】絶縁体10としては、後記するようにスパ
ッタリング時に熱負荷がかかることから、耐熱性を有す
るものが用いられる。例えば、厚さ50μm程度に薄化
されるとともに、ポリッシングにより平滑化されたシリ
コン基板を好適に用いることができる。あるいは絶縁体
10にガラスや耐熱性を有するポリイミド等の樹脂を用
いることができる。ガラス基板の場合も、表面が平滑で
好適である。絶縁体10にガラスを用いるときには、ス
ルーホール12は、マスクを用いてエッチングにより孔
明け加工するか、マスクを用いてサンドブラストにより
孔明け加工するとよい。
【0011】次に、スパッタリング次いで電解めっきを
行って、スルーホール12内壁を含む絶縁体10の表裏
面に銅あるいはアルミニウム等の金属からなる第1の導
体層(図示せず)を形成する。この第1の導体層を形成
する際(特にスパッタリング膜を形成する際)、薄化さ
れ、鏡面にポリッシングされたシリコン基板を用いる
と、第1の導体層が、凹凸のない極めて均一な厚さに形
成されて好適である。
【0012】次いでフォトリソグラフィー法により第1
の導体層をパターンニングして、図2に示すように、絶
縁体10の表裏面に、スルーホール12のうち、所要数
のスルーホール12内壁に形成された導体部14を通じ
て電気的に接続される配線パターン16と、スルーホー
ル12のうち、所要数のスルーホール12内壁に形成さ
れた導体部14を通じて電気的に接続される第1の電極
部18とを形成する。
【0013】次に、配線パターン16および第1の電極
部18を覆って絶縁体10の表面に誘電体層を形成す
る。次いで該誘電体層をパターンニングして、第1の電
極部18上に誘電体層20を残す。誘電体層16には、
例えばSTO(ストロンチウムチタンオキサイド)や、
PZT(鉛ジルコニウムチタン)等の強誘電体を用いる
ことにより、高容量のキャパシタに形成できる。
【0014】誘電体層20の厚さは薄い程キャパシタの
容量を高容量のものにすることができる。下地となる、
前記第1の導体層をパターンニングした第1の電極部1
8が前記のように凹凸のない平滑面に形成されているこ
とから、薄い誘電体層20であってもピンホール等のな
い薄い良好な膜に形成できる。また、図3に示すよう
に、誘電体層を隣接する配線パターン16間をつなげる
ように残すことで、該誘電体層を抵抗線22として用い
ることもできる。
【0015】次に、誘電体層20を覆って絶縁体10の
表面にスパッタリングおよび電解めっきにより第2の導
体層(図示せず)を形成する。次いで図4に示すよう
に、第2の導体層をフォトリソグラフィー法によりパタ
ーンニングして、誘電体層20上に第2の電極部24を
形成する。次にスパッタリング等によって、配線パター
ン16上、第1の電極部18、第2の電極部24の必要
個所に、金パッド26を形成する。このようにしてイン
ターポーザー30に形成される。なお、金パッド26は
必ずしも設けなくともよい。インターポーザ30には、
第1の電極部18、誘電体層20、第2の電極部24と
からなるキャパシタ28が所要数形成される。また、こ
のインターポーザー30の実装基板側の金パッド26に
はんだバンプ32を形成してインターポーザー30とす
ることもある。
【0016】図5は、半導体チップ34をインターポー
ザ30を介して実装基板36(半導体装置用パッケージ
を含む)に実装した半導体装置50を模式的に示したも
のである。38は半導体チップ34側に設けた接続用の
パッドである。40は電源ライン、42はグランドライ
ンである。上記のように、本実施の形態では、インター
ポーザ30に組み込まれたキャパシタ28が半導体チッ
プ34の直下に位置して極めて近接していることからデ
カップリングキャパシタとして極めて性能よく機能す
る。また、複数個のキャパシタ28が抵抗22ととも
に、インターポーザー30の作成時に同時に作り込まれ
るから製造コストの低減化も図れる。
【0017】キャパシタ28が必要ない場合には、半導
体チップ34を直接実装基板36に実装すればよい。上
記のように、インターポーザー30上に、配線パターン
16により再配線するから、微細なパターンにすること
が可能となる。したがって、このように再配線するか
ら、多層基板となる実装基板36側の層を1層減らすこ
とも可能となる。キャパシタ28は、配線パターン16
間の空いている領域を利用して数多く作れる利点があ
る。
【0018】上記実施の形態では、キャパシタ28が、
搭載される半導体チップ34の側の面のインターポーザ
ー30に作り込まれた例を示したが、図6に示すよう
に、実装基板36側の面のインターポーザー30に作り
込むこともできる。上記と同一の部材は同一の符号で示
す。製造工程も上記と同様にして行える。
【0019】また図7はさらに他の実施の形態を示す。
上記と同一の部材は同一の符号で示す。本実施の形態で
は、絶縁体10の表裏の第1の電極部18上にそれぞれ
誘電体層20、20を形成し、この両誘電体層20、2
0上にそれぞれ第2の電極部24、24を形成し、この
両第2の電極部24、24をスルーホール12aの内壁
に形成された導電部14aで接続している。絶縁体10
の表裏の第1の電極部18はスルーホール12bの内壁
に形成された導電部14bで接続されている。
【0020】この実施の形態では、キャパシタ28の両
電極部18、24の対向面積が大きくなり、それだけ高
容量のキャパシタ28が形成され、より電気的特性に優
れるものとなる。製造工程は、絶縁体10の表裏に誘電
体層および第2の導電層を形成すればよいものであり、
上記製造工程と同様にして行える。
【0021】また図8は、絶縁体10の表裏の第1の電
極部18を接続する導電部14上、すなわち、スルーホ
ール12の内部にも、第1の電極18を覆うようにして
誘電体層20を形成し、さらにこの誘電体層20全体を
覆うようにして第2の電極部24を形成したものであ
る。この実施の形態でも第1の電極18と第2の電極2
4の対向面積が大きくなり、それだけ高容量のキャパシ
タ28を形成できる。また、スルーホール内にキャパシ
タを形成するため、インターポーザの表面にキャパシタ
を形成しなくとも済む。よって、インターポーザの配線
密度の向上と、それによる小型化が可能となる。なお、
上記と同一の部材は同一の符号を付した。
【0022】以上、本発明の好適な実施の形態を示した
が、本発明はこれに限定されないことはもちろんであ
る。
【0023】
【発明の効果】以上のように、本発明によれば、インタ
ーポーザに組み込まれたキャパシタが半導体チップの直
下に位置して極めて近接していることからデカップリン
グキャパシタとして極めて性能よく機能する。また、複
数個のキャパシタが、インターポーザーの作成時に同時
に作り込まれるから製造コストの低減化も図れる。
【図面の簡単な説明】
【図1】図1〜図4はインターポーザーの製造工程の一
例を示し、図1は絶縁体にスルーホールを形成した状態
を示し、
【図2】図2は配線パターンと第1の電極部を形成した
状態を示し、
【図3】図3は誘電体層を形成した状態を示し、
【図4】図4は第2の電極膜を形成した状態を示す。
【図5】半導体装置の模式図である。
【図6】インターポーザーの他の実施の形態を示す。
【図7】インターポーザーのさらに他の実施の形態を示
す。
【図8】インターポーザーの他の実施の形態を示す説明
図である。
【符号の説明】
10 絶縁体 12 スルーホール 14 導体部 16 配線パターン 18 第1の電極部 20 誘電体層 22 抵抗 24 第2の電極部 26 金パッド 28 キャパシタ 30 インターポーザー 32 バンプ 34 半導体チップ 36 実装基板 38 パッド 40 電源ライン 42 グランドライン 50 半導体装置

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 実装基板と、該実装基板に搭載される半
    導体チップとの間に介挿されるインターポーザーであっ
    て、 耐熱性を有する絶縁体と、 該絶縁体に形成された複数個のスルーホールと、 該スルーホールのうち、所要数のスルーホールの内壁に
    形成された導体部を通じて電気的に接続される、前記絶
    縁体の表裏面に形成された配線パターンと、 前記スルーホールのうち、所要数のスルーホールの内壁
    に形成された導体部を通じて電気的に接続される、前記
    絶縁体の表裏面に形成された第1の電極部と、 該第1の電極部上に形成された誘電体層と、 該誘電体層上に形成された第2の電極部とを有し、 前記第1の電極部、誘電体層および第2の電極部からな
    る所要数のキャパシタが形成されていることを特徴とす
    る半導体装置用インターポーザー。
  2. 【請求項2】 前記キャパシタが、前記配線パターン間
    に位置して形成されていることを特徴とする請求項1記
    載の半導体装置用インターポーザー。
  3. 【請求項3】 前記配線パターンおよび前記第2の電極
    部上に、実装基板接続用のバンプが形成されていること
    を特徴とする請求項1または2記載の半導体装置用イン
    ターポーザー。
  4. 【請求項4】 前記絶縁体が、シリコンからなることを
    特徴とする請求項1、2または3記載の半導体装置用イ
    ンターポーザー。
  5. 【請求項5】 前記絶縁体が、ガラスからなることを特
    徴とする請求項1、2または3記載の半導体装置用イン
    ターポーザー。
  6. 【請求項6】 前記誘電体層および前記第2の電極部
    が、前記絶縁体の表裏面に形成された第1の電極部上に
    この順に形成されていることを特徴とする請求項1、
    2、3、4または5記載の半導体装置用インターポーザ
    ー。
  7. 【請求項7】 絶縁体に所要の配置で複数個のスルーホ
    ールを形成する工程と、 該スルーホールの内壁および前記絶縁体の表裏面上に第
    1の導体層を形成する工程と、 該第1の導体層をパターンニングして、前記絶縁体の表
    裏面に、前記スルーホールのうち、所要数のスルーホー
    ルの内壁に形成された導体部を通じて電気的に接続され
    る配線パターンと、前記スルーホールのうち、所要数の
    スルーホールの内壁に形成された導体部を通じて電気的
    に接続される第1の電極部とを形成するパターンニング
    工程と、 前記配線パターンおよび前記第1の電極部を覆って、前
    記絶縁体の表面に誘電体層を形成する工程と、 該誘電体層をパターンニングして、前記第1の電極部上
    に誘電体層を形成するパターンニング工程と、 該誘電体層を覆って、前記絶縁体の表面に第2の導体層
    を形成する工程と、 該第2の導体層をパターンニングして、前記誘電体層上
    に第2の電極部を形成するパターンニング工程とを具備
    することを特徴とする半導体装置用インターポーザーの
    製造方法。
  8. 【請求項8】 実装基板上に、請求項1、2、3、4、
    5、6または7記載のインターポーザーが所定位置に所
    要の電気的導通をとって実装され、該インターポーザー
    上に半導体チップが所要の電気的導通をとって搭載され
    ていることを特徴とする半導体装置。
JP2000140836A 2000-05-12 2000-05-12 半導体装置用インターポーザー、その製造方法および半導体装置 Expired - Lifetime JP3796099B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2000140836A JP3796099B2 (ja) 2000-05-12 2000-05-12 半導体装置用インターポーザー、その製造方法および半導体装置
US09/848,801 US6507497B2 (en) 2000-05-12 2001-05-04 Interposer for semiconductor, method for manufacturing the same and semiconductor device using such interposer
EP01304079A EP1154481A3 (en) 2000-05-12 2001-05-04 Interposer and semiconductor device using it
US10/281,712 US20030086248A1 (en) 2000-05-12 2002-10-28 Interposer for semiconductor, method for manufacturing same, and semiconductor device using same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000140836A JP3796099B2 (ja) 2000-05-12 2000-05-12 半導体装置用インターポーザー、その製造方法および半導体装置

Publications (2)

Publication Number Publication Date
JP2001326305A true JP2001326305A (ja) 2001-11-22
JP3796099B2 JP3796099B2 (ja) 2006-07-12

Family

ID=18648054

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000140836A Expired - Lifetime JP3796099B2 (ja) 2000-05-12 2000-05-12 半導体装置用インターポーザー、その製造方法および半導体装置

Country Status (3)

Country Link
US (1) US6507497B2 (ja)
EP (1) EP1154481A3 (ja)
JP (1) JP3796099B2 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1612860A2 (en) 2004-06-30 2006-01-04 Shinko Electric Industries Co., Ltd. Interposer, method of fabricating the same, and semiconductor device using the same
JP2007149910A (ja) * 2005-11-28 2007-06-14 Tdk Corp 電子部品
WO2008126738A1 (ja) 2007-04-10 2008-10-23 Ibiden Co., Ltd. インターポーザ
US7462784B2 (en) 2006-05-02 2008-12-09 Ibiden Co., Ltd. Heat resistant substrate incorporated circuit wiring board
US7528480B2 (en) 2005-12-28 2009-05-05 Casio Computer Co., Ltd. Circuit board, semiconductor device, and manufacturing method of circuit board
US7646079B2 (en) 2005-08-31 2010-01-12 Sanyo Electric Co., Ltd. Semiconductor device, method of manufacturing the same, circuit board, and method of manufacturing the same
US7884443B2 (en) 2005-09-06 2011-02-08 Panasonic Corporation Semiconductor device having a mounting substrate with a capacitor interposed therebetween
US8149585B2 (en) 2006-07-24 2012-04-03 Ibiden Co., Ltd. Interposer and electronic device using the same
JP2013051450A (ja) * 2012-12-11 2013-03-14 Hitachi Ltd 半導体装置及びその配線部品
WO2014038326A1 (ja) * 2012-09-07 2014-03-13 旭硝子株式会社 インターポーザ用の中間品を製造する方法およびインターポーザ用の中間品
JP2016029708A (ja) * 2014-07-23 2016-03-03 Tdk株式会社 薄膜誘電体及び薄膜コンデンサ素子
JP2016536794A (ja) * 2013-08-16 2016-11-24 クアルコム,インコーポレイテッド 基板上の集積受動デバイス(ipd)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020170897A1 (en) * 2001-05-21 2002-11-21 Hall Frank L. Methods for preparing ball grid array substrates via use of a laser
US6577002B1 (en) * 2001-11-29 2003-06-10 Sun Microsystems, Inc. 180 degree bump placement layout for an integrated circuit power grid
DE10203397B4 (de) * 2002-01-29 2007-04-19 Siemens Ag Chip-Size-Package mit integriertem passiven Bauelement
US20030150640A1 (en) * 2002-02-14 2003-08-14 Crippen Warren Stuart Silicon space transformer and method of manufacturing same
KR100584965B1 (ko) * 2003-02-24 2006-05-29 삼성전기주식회사 패키지 기판 및 그 제조 방법
US7081650B2 (en) * 2003-03-31 2006-07-25 Intel Corporation Interposer with signal and power supply through vias
ATE427560T1 (de) 2003-06-20 2009-04-15 Nxp Bv Elektronische vorrichtung, anordnung und verfahren zum herstellen einer elektronischen vorrichtung
JP4647194B2 (ja) * 2003-07-14 2011-03-09 新光電気工業株式会社 キャパシタ装置及びその製造方法
US7566960B1 (en) * 2003-10-31 2009-07-28 Xilinx, Inc. Interposing structure
US7233061B1 (en) 2003-10-31 2007-06-19 Xilinx, Inc Interposer for impedance matching
US20050248002A1 (en) * 2004-05-07 2005-11-10 Michael Newman Fill for large volume vias
JP4351148B2 (ja) * 2004-12-28 2009-10-28 新光電気工業株式会社 配線基板の製造方法
JP4265575B2 (ja) * 2005-06-21 2009-05-20 セイコーエプソン株式会社 半導体チップおよび電子機器
DE102005047106B4 (de) * 2005-09-30 2009-07-23 Infineon Technologies Ag Leistungshalbleitermodul und Verfahren zur Herstellung
EP1777745A3 (en) * 2005-10-21 2010-05-05 E.I. Du Pont De Nemours And Company Power core device including a capacitor and method of making thereof
US7728362B2 (en) 2006-01-20 2010-06-01 International Business Machines Corporation Creating integrated circuit capacitance from gate array structures
JP2007250818A (ja) * 2006-03-16 2007-09-27 Fujitsu Ltd 回路基板
JP5367616B2 (ja) * 2009-02-23 2013-12-11 新光電気工業株式会社 配線基板及びその製造方法
JP5280309B2 (ja) * 2009-07-17 2013-09-04 新光電気工業株式会社 半導体装置及びその製造方法
US7987591B2 (en) * 2009-08-13 2011-08-02 International Business Machines Corporation Method of forming silicon chicklet pedestal
JP5450188B2 (ja) * 2010-03-16 2014-03-26 株式会社東芝 放射線検出装置、放射線検出装置の製造方法および画像撮影装置
DE102010025966B4 (de) * 2010-07-02 2012-03-08 Schott Ag Interposer und Verfahren zum Herstellen von Löchern in einem Interposer
JP2012256675A (ja) * 2011-06-08 2012-12-27 Shinko Electric Ind Co Ltd 配線基板、半導体装置及びその製造方法
US9679863B2 (en) * 2011-09-23 2017-06-13 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming interconnect substrate for FO-WLCSP
US10044390B2 (en) * 2016-07-21 2018-08-07 Qualcomm Incorporated Glass substrate including passive-on-glass device and semiconductor die
CN106783777A (zh) * 2016-12-26 2017-05-31 华进半导体封装先导技术研发中心有限公司 芯片封装结构及方法
TWI665948B (zh) * 2018-07-04 2019-07-11 欣興電子股份有限公司 電路板元件及其製作方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0111890B1 (en) * 1982-12-15 1991-03-13 Nec Corporation Monolithic multicomponents ceramic substrate with at least one dielectric layer of a composition having a perovskite structure
US5502889A (en) * 1988-06-10 1996-04-02 Sheldahl, Inc. Method for electrically and mechanically connecting at least two conductive layers
US5177594A (en) * 1991-01-09 1993-01-05 International Business Machines Corporation Semiconductor chip interposer module with engineering change wiring and distributed decoupling capacitance
US5177670A (en) * 1991-02-08 1993-01-05 Hitachi, Ltd. Capacitor-carrying semiconductor module
US5854534A (en) * 1992-08-05 1998-12-29 Fujitsu Limited Controlled impedence interposer substrate
DE19632200C2 (de) * 1996-08-09 2002-09-05 Bosch Gmbh Robert Multichipmodul
JPH10163632A (ja) * 1996-11-26 1998-06-19 Sony Corp プリント配線板及びその製造方法
US6052287A (en) * 1997-12-09 2000-04-18 Sandia Corporation Silicon ball grid array chip carrier
DE19826189C2 (de) * 1998-06-04 2000-11-02 Deutsche Telekom Ag Integrierter Kondensator für Schichtschaltungen und Verfahren zu dessen Herstellung
US6137167A (en) * 1998-11-24 2000-10-24 Micron Technology, Inc. Multichip module with built in repeaters and method
US6333857B1 (en) * 1998-12-25 2001-12-25 Ngk Spark Plug Co., Ltd. Printing wiring board, core substrate, and method for fabricating the core substrate
US6362525B1 (en) * 1999-11-09 2002-03-26 Cypress Semiconductor Corp. Circuit structure including a passive element formed within a grid array substrate and method for making the same

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7388293B2 (en) 2004-06-30 2008-06-17 Shinko Electric Industries, Co. Interposer method of fabricating same, and semiconductor device using the same having two portions with different constructions
US7415762B2 (en) 2004-06-30 2008-08-26 Shinko Electric Industries Co., Ltd. Interposer, method of fabricating the same, and semiconductor device using the same
EP1612860A2 (en) 2004-06-30 2006-01-04 Shinko Electric Industries Co., Ltd. Interposer, method of fabricating the same, and semiconductor device using the same
US7646079B2 (en) 2005-08-31 2010-01-12 Sanyo Electric Co., Ltd. Semiconductor device, method of manufacturing the same, circuit board, and method of manufacturing the same
US7884443B2 (en) 2005-09-06 2011-02-08 Panasonic Corporation Semiconductor device having a mounting substrate with a capacitor interposed therebetween
JP2007149910A (ja) * 2005-11-28 2007-06-14 Tdk Corp 電子部品
JP4682821B2 (ja) * 2005-11-28 2011-05-11 Tdk株式会社 電子部品
US7528480B2 (en) 2005-12-28 2009-05-05 Casio Computer Co., Ltd. Circuit board, semiconductor device, and manufacturing method of circuit board
US7462784B2 (en) 2006-05-02 2008-12-09 Ibiden Co., Ltd. Heat resistant substrate incorporated circuit wiring board
US8507806B2 (en) 2006-05-02 2013-08-13 Ibiden Co., Ltd. Heat resistant substrate incorporated circuit wiring board
US8541691B2 (en) 2006-05-02 2013-09-24 Ibiden Co., Ltd. Heat resistant substrate incorporated circuit wiring board
US7994432B2 (en) 2006-05-02 2011-08-09 Ibiden Co., Ltd. Heat resistant substrate incorporated circuit wiring board
US8008583B2 (en) 2006-05-02 2011-08-30 Ibiden Co., Ltd. Heat resistant substrate incorporated circuit wiring board
US8149585B2 (en) 2006-07-24 2012-04-03 Ibiden Co., Ltd. Interposer and electronic device using the same
US7589394B2 (en) 2007-04-10 2009-09-15 Ibiden Co., Ltd. Interposer
WO2008126738A1 (ja) 2007-04-10 2008-10-23 Ibiden Co., Ltd. インターポーザ
WO2014038326A1 (ja) * 2012-09-07 2014-03-13 旭硝子株式会社 インターポーザ用の中間品を製造する方法およびインターポーザ用の中間品
US9674956B2 (en) 2012-09-07 2017-06-06 Asahi Glass Company, Limited Method of manufacturing an intermediate product for an interposer and intermediate product for an interposer
US9974192B2 (en) 2012-09-07 2018-05-15 Ashai Glass Company, Limited Method of manufacturing an intermediate product for an interposer and intermediate product for an interposer
JP2013051450A (ja) * 2012-12-11 2013-03-14 Hitachi Ltd 半導体装置及びその配線部品
JP2016536794A (ja) * 2013-08-16 2016-11-24 クアルコム,インコーポレイテッド 基板上の集積受動デバイス(ipd)
JP2016029708A (ja) * 2014-07-23 2016-03-03 Tdk株式会社 薄膜誘電体及び薄膜コンデンサ素子
US9947469B2 (en) 2014-07-23 2018-04-17 Tdk Corporation Thin-film dielectric and thin-film capacitor element

Also Published As

Publication number Publication date
EP1154481A2 (en) 2001-11-14
EP1154481A3 (en) 2003-01-29
JP3796099B2 (ja) 2006-07-12
US6507497B2 (en) 2003-01-14
US20010040272A1 (en) 2001-11-15

Similar Documents

Publication Publication Date Title
JP3796099B2 (ja) 半導体装置用インターポーザー、その製造方法および半導体装置
US6624501B2 (en) Capacitor and semiconductor device
US7889509B2 (en) Ceramic capacitor
US6894396B2 (en) Semiconductor device with capacitor
JP4606849B2 (ja) デカップリングコンデンサを有する半導体チップパッケージ及びその製造方法
JP4912992B2 (ja) キャパシタ内蔵基板及びその製造方法
TW200414839A (en) Semiconductor package, method of production of same, and semiconductor device
US7282419B2 (en) Thin-film capacitor device, mounting module for the same, and method for fabricating the same
JP2001320171A (ja) 多層配線基板及び半導体装置
JP2008042118A (ja) キャパシタ内蔵基板及びその製造方法と電子部品装置
JP2008041930A (ja) キャパシタ内蔵インターポーザ及びその製造方法と電子部品装置
JP5249132B2 (ja) 配線基板
US20030086248A1 (en) Interposer for semiconductor, method for manufacturing same, and semiconductor device using same
JP3691995B2 (ja) 半導体パッケージ及びその製造方法並びに半導体装置
JP4034477B2 (ja) インターポーザ及びその製造方法とそれを用いた回路モジュール
JP2009076815A (ja) 半導体装置
JP3554886B2 (ja) 配線基板
US6603202B2 (en) Circuit board-providing article, circuit board, semiconductor device and process for the production of the same
JP2000124352A (ja) 半導体集積回路装置およびその製造方法
US6563192B1 (en) Semiconductor die with integral decoupling capacitor
JP2001035990A (ja) 半導体装置
JP2001291799A (ja) 配線基板
US6285070B1 (en) Method of forming semiconductor die with integral decoupling capacitor
JP4084255B2 (ja) プローブカード
JP3554885B2 (ja) 配線基板

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060215

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060411

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060414

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100421

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110421

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120421

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130421

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130421

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140421

Year of fee payment: 8