JP2001223342A - 半導体デバイスの強誘電性コンデンサ下に位置する導電性プラグを平坦化する方法 - Google Patents

半導体デバイスの強誘電性コンデンサ下に位置する導電性プラグを平坦化する方法

Info

Publication number
JP2001223342A
JP2001223342A JP2000391447A JP2000391447A JP2001223342A JP 2001223342 A JP2001223342 A JP 2001223342A JP 2000391447 A JP2000391447 A JP 2000391447A JP 2000391447 A JP2000391447 A JP 2000391447A JP 2001223342 A JP2001223342 A JP 2001223342A
Authority
JP
Japan
Prior art keywords
layer
conductive material
etching
capacitor
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000391447A
Other languages
English (en)
Inventor
R Gilbert Steven
アール、ギルバート スチーブン
Scott R Summerfelt
アール、サマーフェルト スコット
Colombo Luigi
コロンボ ルイギ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Agilent Technologies Inc
Texas Instruments Inc
Original Assignee
Agilent Technologies Inc
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agilent Technologies Inc, Texas Instruments Inc filed Critical Agilent Technologies Inc
Publication of JP2001223342A publication Critical patent/JP2001223342A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7687Thin films associated with contacts of capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 半導体デバイスの誘電体層を通る開口に平坦
な導電性のビアを製作する方法を提供する。 【解決手段】 前記誘電体層の上面及び前記誘電体層に
おける前記開口に第1の導電材料を堆積して前記開口を
前記導電材料により実質的に充填する工程と、前記誘電
体層上に配置された前記第1の導電材料の一部を除去
し、前記誘電体層における前記開口に配置された前記第
1の導電材料の一部を除去して前記誘電体層の前記上面
下の前記第1の導電材料に凹所を設ける工程と、前記凹
所に第2の導電材料を堆積して前記誘電体層の前記上面
とほぼ同一平面にほぼ平坦な上面を形成する工程と、前
記第2の導電材料上に第3の導電材料を形成して、前記
第2の導電材料及び前記第3の導電材料のうちの少なく
とも1つが前記第1の導電材料の酸化を防止する拡散障
壁として作用する工程とを含む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体デバイスの
製作及び処理に関し、特に強誘電性メモリ・デバイスの
製作及び処理方法に関する。
【0002】
【従来の技術】今日、半導体デバイスの製造産業及び電
子産業には、いくつかの傾向が存在する。デバイスは、
絶えず小さくなり、必要とする消費電力もますます小さ
くなっている。これに対する理由は、非常に小さく、か
つ携帯可能な更に多くのパーソナル装置が製作され、従
って、小さなバッテリにその唯一の電源として依存する
ことになる。例えば、セルラホン、パーソナル計算装
置、及びパーソナル音響装置は、家電市場において需要
が大きい装置である。パーソナル装置は、より小さく、
かつ更なる可搬性があることに加えて、更なる計算能力
及びオン・チップ・メモリを必要としている。これら全
ての趨勢に照らして、産業には、同一の半導体チップに
集積されたメモリ及びロジック機能を有する計算装置に
対する要求が存在する。このメモリは、好ましくは、バ
ッテリを過放電させても、メモリの内容を保持するよう
に構成されていることである。電力が継続して供給され
ない間でもその内容を保持するメモリ・デバイスは、不
揮発性メモリと呼ばれている。従来の不揮発性メモリの
例は、電気的に消去可能なプログラマブル読み出し専用
メモリ(EEPPROM)及びFLASH EEPRO
Mを含む。
【0003】強誘電性メモリ(FeRAM:ferro
electric memory)は、底部電極と上部
電極との間に位置するコンデンサの誘電体として、タン
タル・ビスマス・ストロンチュウム(SBT:stro
ntium bismuthtantalate)又は
タンタル・ジルコン酸鉛(PZT:lead zirc
onate titanate)のような強誘電性材料
を使用した不揮発性メモリである。読み出し及び書き込
みの両動作は、FeRAMに対して実行される。メモリ
・サイズ及びメモリ・アーキテクチャーは、FeRAM
の読み出し及び書き込みアクセス時間に影響する。表1
は、異なるメモリ形式間の差を示している。
【0004】
【表1】
【0005】FeRAMの不揮発性メモリは、強誘電性
メモリ・セルの二安定特性によっている。2形式のメモ
リ・セル、即ち単一コンデンサ・メモリ及びデュアル・
コンデンサ・メモリ・セルが使用される。単一コンデン
サ・メモリ・セル(1T/1Cメモリ・セル)は、必要
とするシリコン面積が少ない(従って、メモリ・アレー
の電位密度を増加させる)が、しかし雑音に対する免疫
性が劣り、プロセス上のばらつきがある。加えて、1C
セルは、記憶したメモリ状態を決定するために電圧基準
を必要とする。デュアル・コンデンサ・メモリ・セル
(2T/2C又は2Cメモリ・セルと呼ばれる)は、シ
リコン面積を多く必要とし、記憶した情報について異な
るサンプリングを可能にするコンプリメンタリ信号を記
憶する。2Cメモリ・セルは、1Cメモリ・セルより安
定である。
【0006】1T/1CFeRAMセルには、1トラン
ジスタ及び1メモリ・コンデンサが存在する。メモリ・
コンデンサの底部電極は、トランジスタのドレインに接
続されている。1T/1Cセルは、トランジスタのゲー
ト(ワード線)に信号を印加することにより読み出さ
れ、これによってコンデンサの底部電極をトランジスタ
のソース(ビット線)に接続する。次いで、上部電極の
コンタクト(プレート線又はドライブ線)にパルス信号
が印加される。従って、トランジスタのビット線上の電
位は、ビット線の容量により割り算したコンデンサの充
電電圧である。コンデンサの充電電圧は、強誘電性材料
の二安定分極状態に従っているので、ビット線の電位
は、明確な値を有し得る。センス・アンプは、ビット線
に接続され、1又は0の論理値に関連した電圧を検出す
る。センス・アンプの基準電圧は、しばしば、読み出し
中でない他のビット線に接続された強誘電性即ち非強誘
電性コンデンサの電圧である。このようして、メモリ・
セル・データが読み出される。
【0007】強誘電性メモリの特性は、いくつかのアプ
リケーションにおいて読み出し動作が破壊的な動作であ
る。メモリ・セルにおけるデータは、読み出し動作を完
了した後、メモリ・セルに再び書き戻す必要がある。強
誘電性の分極がスイッチすると、読み出し動作は破壊的
となり、センスアンプがメモリ・セルから読み出したビ
ットと間違いのない分極値を(そのセルに)再書き込み
しなければならない。これはDRAMの動作に類似して
いる。ドライブ線電圧が強誘電性メモリをスイッチさせ
ないように十分小さければ、読み出し動作は破壊的には
ならない。一般的に、非破壊的読み出しは、破壊的読み
出しよりはるかに大きなコンデンサを必要とし、従って
それだけ大きなセル・サイズが必要となる。
【0008】メモリ・アレーにおける2T/2Cのメモ
リ・セルは、ビット線(bitline)、及び他の多
くのメモリ形式(例えば、スタティク・ランダム・アク
セス・メモリ)と共通しているビット線の反転(bit
line−bar)に接続される。メモリ・ブロックの
メモリ・セルは、メモリ行及びメモリ列により形成され
る。デュアル・コンデンサの強誘電性メモリ・セルは、
2個のトランジスタ及び2個のコンデンサを備えてい
る。第1のトランジスタは、ビット線と第1のコンデン
サとの間を接続する。第2のトランジスタは、ビット線
バーと第2のコンデンサとの間を接続する。第1及び第
2のコンデンサは、共通端子即ちプレートを有し、これ
に対してコンデンサを分極させるための信号が印加され
る。
【0009】書き込み動作において、デュアル・コンデ
ンサ強誘電性メモリ・セルの第1及び第2のトランジス
タは、メモリに記憶する論理状態に対応したビット線及
びビット線バーの線上の相補的な論理レベルに、接続可
能にされる。コンデンサの共通端子は、書き込み動作中
にパルス駆動してデュアル・コンデンサ・メモリ・セル
を2論理状態のうちの一つに分極させる。
【0010】読み出し動作において、二重コンデンサ・
メモリ・セルの第1及び第2のトランジスタは、第1及
び第2のコンデンサに記憶されている情報をビット線及
びビット線バーの線に接続できるようにされる。デュア
ル・コンデンサ・メモリ・セルにより、ビット線とビッ
ト線バーの線との間に差動信号が発生する。この差動信
号は、センスアンプにより検知され、センスアンプがメ
モリに記憶されていた論理レベルに対応する信号を供給
する。
【0011】
【発明が解決しようとする課題】強誘電性メモリのメモ
リ・セルは、メモリ・セルが信頼性なしになるまでの有
限な数の読み出し動作及び書き込み動作に限定される。
FeRAMメモリ上で実行可能とされる動作数は、メモ
リの耐久性(endurance of a memo
ry)として既知である。この耐久性は、不揮発性メモ
リを必要とする多くのアプリケーションにおいて重要な
要素である。メモリ・サイズ、メモリ速度及び電力消費
のような他の要素も、強誘電性メモリがメモリ市場にお
いて生き残れるかどうかを判断する際の役割を果たす。
【0012】
【発明を解決するための手段】要するに、本発明は、F
eRAMデバイスの製作に関し、このFeRAMデバイ
スはスタンド・アロン装置か、又は他の多くの装置形式
を含む半導体チップに集積される。いくつかの必要条件
は、現在存在するか、又は他のデバイス形式を有するF
eRAM集積のための必要条件となり得る。このような
必要条件は、可能な限り、チップ上に種々のロジック・
デバイス及びアナログ・デバイスを製作するために使用
される通常のフロント・エンド及びバックエンド処理技
術を使用することを含んでおり、このチップにはFeR
AMデバイスが含まれる。換言すれば、処理フローを大
きく乱す(従って、処理コスト及び複雑さを増加させ
る)ことがないように、可能な限り(入出力デバイス及
び潜在的にアナログ・デバイスに加えて)、このような
標準的なロジック・デバイスを製作する処理フローを可
能な限り使用して、チップ上にFeRAMデバイスを単
に集積することが利益となる。
【0013】以下の説明は、フロント・エンド・モジュ
ール(タングステン・コンタクトの形成で終わるように
定義され、タングステンは化学記号Wを有する)と、バ
ックエンド処理モジュール(殆どメタライゼーション)
との間に発生するFeRAM処理モジュールに強誘電性
コンデンサを作成する概念に基づいている。更に、Fe
RAM処理モジュールの他の位置も提案された。例え
ば、FeRAM処理モジュールがメタライゼーションの
第1の層(Metal−1)上に配置されるときは、大
きなコンデンサを作成できる利点をもって、ビット線構
造上のコンデンサを作成することができる。このアプロ
ーチの一つの欠点は、Metal−1(基板に最も近い
チップ上の第1のメタル層)か、又は局部的な相互接続
がFeRAM処理温度(例えば、タングステン用)と両
立可能とされる必要があり、又はFeRAM処理温度が
スタンダード・メタライゼーション(Al〜450℃、
Cu及び低い誘電率〜400℃)と両立可能となるよう
に低くされる必要があるということである。この立場
は、メモリ商品向けにはいくつかの利点があるが、しか
し埋め込みメモリ・アプリケーション用にはコスト的な
欠点がある。
【0014】FeRAM処理モジュール用に可能な他の
位置は、バックエンド・プロセス・フローの終端近傍で
ある。このアプローチの主要な利点は、更に多くの製品
ツールからFeRAMモジュールに新しい汚染物質(P
b、Bi、Zr、Ir、Ru又はPt)を保持すること
である。この解決方法は、第1のFeRAM膜の堆積後
に使用された機器がFeRAMデバイス構造の製作に専
用されたときに、従って共用されないときに、最も実用
的となる。しかしながら、この解決方法は、スタンダー
ド・メタライゼーション構造(以上で説明した示唆限
界)と両立可能なFeRAM温度を必要とする欠点があ
る。加えて、下層のトランジスタに対するFeRAMコ
ンデンサの相互接続、及び他のメタライゼーションの必
要性は、最小FeRAMセル・サイズと両立可能でな
い。
【0015】他の位置に対する必要条件は、多くの同一
利害関係があるが、いくつかの必要条件は異なるものと
なる。
【0016】FeRAMプロセス・モジュールは、好ま
しくは、コンデンサの底部コンタクトとしてタングステ
ン・コンタクトの使用を含む標準的な論理及びアナログ
・デバイスのフロント・エンド処理フローと両立可能で
ある。更に、FeRAMの熱履歴(thermal b
udget)は、大抵のロジック・デバイスにより必要
とされる(タングステン・プラグ及びシリサイド・ソー
ス/ドレイン及びゲートを含む)低抵抗構造のようなフ
ロント・エンド構造に影響を与えないように、十分に低
いことが必要である。加えて、トランジスタ、及びダイ
オードのような他のフロント・エンド・デバイスは、汚
染に敏感である。直接的な(例えば、チップ内の拡散に
よるもの)、又は間接的な(共用機器を介するクロス・
コンタミネーション)FeRAMプロセス・モジュール
からの汚染は、トランジスタ及びダイオードの劣化を避
けるように対処される必要がある。更に、FeRAMデ
バイス及びプロセス・モジュールもスタンダード・バッ
クエンド・プロセス・フローと両立可能にする必要があ
る。従って、FeRAMプロセス・モジュールは、メタ
ルとトランジスタとの間でロジック・メタライゼーショ
ンの抵抗及び寄生容量の劣化を最小にする必要がある。
加えて、FeRAMデバイスは、何らかの変更があれ
ば、最小によるバックエンド・プロセス・フローにより
劣化されてはならない。これは、強誘電性コンデンサが
水素劣化に敏感であることを示し、かつ大抵のロジック
・バックエンド・プロセス・フローが(例えば、SiO
2及びSi34、CVDタングステン堆積、SiO2ビア
・エッチング、及びフォーミング・ガス・アニールの形
成における)多くのプロセスにおいて水素及び/又は重
水素を利用しているので、重大な挑戦である。
【0017】更に、FeRAMの商業的な成功は、埋め
込みメモリのコストを最小化することが必要である。総
メモリ・コストは、主として、セル・サイズ、周辺比サ
イズ、歩留りの影響、及びメモリに関連した付加的なプ
ロセス・コストに依存している。埋め込み式DRAM及
びFLASHのような標準的な埋め込み式メモリに匹敵
するビット当たりのコスト効果を得るために、標準的な
埋め込き形メモリ技術により得られるものと同様のFe
RAMセル・サイズを有することが望ましい。セル・サ
イズを最小化するために本発明において説明されたいく
つかの方法は、リソグラフィのミスアライメントに対し
て余り敏感とならないようにすること、コンタクト上に
コンデンサを直接形成すること、及びコンデンサ・スタ
ック・エッチングに対して単一マスクを使用することを
含む。この特許で説明したいくつかの方法は、付加され
るプロセス・コストを低減するために、必要とするプロ
セスの複雑さを軽減させるFeRAMプロセス・モジュ
ール及びプレーナ・コンデンサのために付加的な2つの
マスクを必要とするになる。
【0018】本発明はプレーナ・コンデンサを使用する
ことに関するが、多くの同一の概念及び処理を使用する
ことにより、ポスト又はカップ構造を使用した3次元コ
ンデンサを製作することができる。平面構造は簡単な処
理を使用して作るのが安価なので、この構造を説明す
る。最小電荷蓄積を考慮するために必要とする平面コン
デンサがセル・サイズに限界をもたらすときは、この3
次元コンデンサが好都合である。このような状況におい
て、3D構成に関連してコンデンサ面積を増加すると、
より小さな平面セル・サイズを可能にする。DRAMデ
バイスは、セル面積を減少させるために多年にわたりこ
のアプローチを使用していた。
【0019】本発明の一実施例は、誘電体層を通る開口
に平坦な導電性のビアを製作する方法であって、前記誘
電体が上面と底面と複数の側面を有する前記開口とを有
する方法である。前記方法は、前記誘電体層の上面及び
前記誘電体層における前記開口に第1の導電材料を堆積
して前記開口を前記導電材料によりほぼ充填する工程
と、前記誘電体層上に配置された前記第1の導電材料の
一部を除去し、かつ前記誘電体層における前記開口に配
置された前記第1の導電材料の一部を除去して前記誘電
体層の前記上面下の前記第1の導電材料に凹所を設ける
工程と、前記凹所に第2の導電材料を堆積して前記誘電
体層の前記上面とほぼ同一平面にほぼ平坦な上面を形成
する工程と、前記第2の導電材料上に第3の導電材料を
形成して前記第2の導電材料及び前記第3の導電材料の
うちの少なくとも1つが前記第1の導電材料の酸化を防
止する拡散障壁として作用する工程とを含む。前記第2
の導電材料は、好ましくは、前記拡散障壁として作用
し、かつ前記第3の導電材料は、強誘電性コンデンサの
底部電極を形成し、かつTiAlN、TiSiN、Ta
N、TiN、CrN、CrAlN、TaSiN、Zr
N、HfN、又はそれらの任意の組み合わせ若しくはス
タックからなる。前記第3の導電材料は、好ましくは、
イリジュウム、酸化イリジュウム、又はそれらの任意の
組み合わせ若しくはスタックからなる。他の実施例にお
いて、ほぼ平坦であり、かつ前記誘電体層の上面とほぼ
同様に広がる前記上面を有するように前記凹所に第2の
導電材料を形成する前記工程は、前記凹所及び前記誘電
体層の前記上面に前記第2の導電材料を形成して前記第
2の導電材料が前記凹所を充填する工程と、前記第2の
導電材料を研磨してその誘電体層の前記上面に形成され
た前記第2の導電材料の全てをほぼ除去して前記凹所に
形成された前記第2の導電材料を平坦化する工程とを含
む。前記第1の導電材料は、好ましくは、ドーピングさ
れた多結晶シリコン、タングステン、チタン、窒化チタ
ン、タンタル、窒化タンタル、銅、アルミニウム、又は
これらの任意の組み合わせ若しくはスタックを含み、か
つ前記第2の導電材料は、Ti、Ta、TaN、TiA
lN、TaSiN、TiSiN、HfN、ZrN、Hf
AlN、CrN、TaAlN、CrAlN、WSix
WSixy、TaSix、TiSix、Ir、Pt、R
u、Pd、Rd、ドーピングされた多結晶シリコン、非
ドーピングの多結晶シリコン、及びこれらの任意の組み
合わせ若しくはスタックからなるグループから選択され
る。前記第3の導電材料は、好ましくは、TiAlN、
TiSiN、TaN、TiN、CrN、CrAlN、T
aSiN、ZrN、HfN、又はこれらの任意の組み合
わせ若しくはスタックからなるグループから選択された
導体からなる。
【0020】図の全般にわたり同様又は同等の構成を表
すために同一の参照番号を使用する。これらの図は縮尺
に対応して描かれてはいない。これらの図は、単に本発
明の方法の作用を説明するために示すものである。
【0021】
【発明の実施の形態】本発明の以下の説明は、一チップ
上のディジタル信号プロセッサ、マイクロプロセッサ、
スマート・カード、マイクロコンピュータ、マイクロコ
ントローラ又はシステムに見られるロジック・デバイス
及び他のデバイスと共にFeRAMデバイスを集積化す
ることに関すると同時に、本発明をスタンド・アロンF
eRAMデバイス、又は多くの他のデバイス形式を有す
る半導体チップに集積したFeRAMデバイスを製作す
るために使用することができる。特に、標準的な半導体
メモリと比較して本発明により改良されたFeRAMデ
バイスのパフォーマンスは、FeRAMを、低電力及び
高度のデバイス集積度を必要とするあらゆる携帯装置用
に選択するメモリにするように見える。ここで示す図及
びこれらの図に関連する説明は、単に説明目的のために
提供されている。当該技術分野において通常に習熟する
者は、この説明に基づいて、他の実施、図及び以下の説
明において示されたデバイス及び構造を製作する方法を
実現すると思われる。例えば、浅いトレンチ分離構造
(STI)を説明したが、フィールド酸化領域(LOC
OS領域としても知られている)又は注入領域のよう
に、従来のどのような分離構造も使用することができ
る。加えて、構造102は、好ましくは、n型又はp型
となるようにドーピングされる単結晶シリコン基板であ
るが、構造102(図1)は、単結晶シリコン基板上に
エピタキシャル・シリコン層を製作することにより形成
されてもよい。
【0022】図1を参照すると、図1には2つのデバイ
スが示されている。デバイス103は、本発明のFeR
AMセルの部分製作バージョンを表し、またデバイス1
05は、あらゆる高電圧トランジスタ、低電圧トランジ
スタ、高速度ロジック・トランジスタ、入出力トランジ
スタ、アナログトランジスタ、又は他の任意のデバイス
を表し、このデバイスは、ディジタル信号プロセッサ、
マイクロプロセッサ、マイクロコンピュータ、又は他の
任意の半導体デバイスを含めることができる。デバイス
103に設けられた特殊なセル構造を除き、デバイス1
03に使用された構造は、デバイス105のデバイス構
造と同一でなければならない(デバイス105にあり得
るデバイス形式の相違のために、これらのトランジスタ
にあり得るいくつかのばらつきを除く)。
【0023】基本的に、ゲート構造106は、ゲート誘
電体(好ましくは、二酸化シリコン、窒化酸素(oxy
nitride)、窒化シリコン、BST、PZT、ケ
イ酸塩、そのほかの高k材料、これらの組み合わせ若し
くはスタック)、ゲート電極(好ましくは、ケイ化物に
よりp型又はn型にドーピングされた多結晶シリコンか
らなり、このケイ化物は、上面に、又はチタン、タンタ
ル、TaN若しくは金属のようなメタル上に形成され
る)、及びサイドウォール絶縁体(好ましくは、酸化
物、窒化物、酸窒化、これらの任意の組み合わせ、又は
これらのスタック)を含む。一般的に、属の用語の酸化
物、窒化物及び酸窒化は、酸化シリコン及び窒化シリコ
ン,及び酸窒化シリコンを云う。一般的に、用語「酸化
物」は、ホウ素及び/又はリン・ドーピングされた酸化
シリコンと共に、ドーピングされた酸化物を含む。ソー
ス/ドレイン領域108は、好ましくは、通常のドーパ
ント及び処理条件を使用して注入される。ポケット注入
と共に、軽くドーピングされたドレイン・エクステンシ
ョンを使用することもできる。加えて、ソース/ドレイ
ン領域108は、(好ましくは、チタン、コバルト、ニ
ッケル、タングステン、又は他の通常的なケイ化物によ
り)ケイ化物化されてもよい。
【0024】誘電体層112は、基板及び形成するゲー
ト構造に対するコンタクト用の開口を形成するように、
基板の全体にわたり形成され、パターン化され、かつエ
ッチングされる(工程202)。これらの開口は、プラ
グ114(好ましくは、例えばタングステン、モリブデ
ン、チタン、窒化チタン、窒化タンタル、ケイ化金属の
ようなメタルからなり、このケイ化金属は、Ti、Ni
又はCo、銅、又はドーピングされた多結晶シリコンの
ようなを含む)。ライナー/バリア層は、プラグ114
と誘電体層112との間に形成されても、又はされなく
てもよい。ライナー/バリア層116は、図1に示され
ており、好ましくは、Ti、TiN、TaSiN、T
a、TaN、TiSiN、これらのスタック、又は通常
的な他のあらゆるライナー/バリア材料からなる。コン
タクトは、好ましくは、ソース領域、ドレイン領域及び
ゲート構造のケイ化領域上に乗るように形成される。
【0025】誘電体層112は、好ましくは、多分、ゲ
ートに隣接する窒化シリコンを含む水素又は重水素層を
有し、(ホウ素又はリンのような好ましいドーパントに
よってドーピングされた、又はドーピングされていな
い)SiO2からなる。拡散バリアの堆積後に、この拡
散バリアは、化学機械的研磨のような処理を使用して、
改良されたリソグラフィーの重なり合う層のために平坦
化される可能性がある。加えて、平坦化処理後に堆積さ
れることになるAlOx、AlN、Si34、TiO2
ZrO2、又はTaOxのような誘電体層112の上面近
傍に、付加された拡散バリア/エッチ・ストップを設け
てもよい。この拡散バリアは、ダマシン処理を使用して
コンタクトに対してビア即ちメタライゼーションを作成
するときに、特に有用である。プラグ114の形成は、
この任意選択的なバリア/エッチ・ストップを通ってエ
ッチングを必要とする。
【0026】コンタクト上に位置するメタル構造の形成
は、バックエンド処理の一部とみなされる。特殊なFe
RAM処理モジュール以外のバックエンド処理工程は、
半導体産業において標準的なものでなければならない。
従って、メタライゼーションは、Al又はCuとなる。
好ましくは、Cuがダマシン・アプローチにおいて使用
されている間に、Alをエッチングするのが好ましい。
しかしながら、更に、ダマシン処理において形成された
Cu及びAlをエッチングしてもよい。アルミニウム・
メタライゼーションは、好ましくは、CDVタングステ
ン・プラグ又はAlプラグを有し、またAlは、好まし
くは、エレクトロマイグレーション抵抗を改善するため
にCuドーピングされる。Al用のメタル拡散バリア
は、好ましくは、TiN及び/又はTiを含む。銅メタ
ライゼーションは、好ましくは、Ti、TiN、TaS
iN、Ta、窒化タンタル及び/又はTiSiN拡散バ
リアを有するCu又はWプラグを有する。薄い誘電体層
(図示なし)は、各レベル間誘電体(ILD)層(誘電
体層112、134、及び160)間に形成されてもよ
い。形成されたのであれ、この薄い層は、好ましくは、
窒化シリコン、炭化ケイ素、SiCNO又は酸化シリコ
ン(好ましくは、高密度プラズマ酸化)からなる。加え
て、レベル間の誘電体層112、134、及び160
は、好ましくは、酸化物、FSG、PSG、BPSG、
PETEOS、HDP酸化物、窒化シリコン、酸窒化シ
リコン、炭化ケイ素、カルボ酸窒化ケイ素、低誘電率材
料(好ましくは、SiLK、多孔性SiLK、テフロン
(登録商標)、低Kポリマ(多分、多孔性)、エアゾ
ル、キセロゲル、黒ダイヤド、HSQ、又は他の任意の
多孔性ガラス材料)、又はそれらの組み合わせ若しくは
スタックからなる。相互接続線及びメタル線は、好まし
くは、同一材料からなる。プラグ136及び150と、
導電144及び164とは、好ましくは、メタル材料
(好ましくは、銅、アルミニウム、チタン、TiN、タ
ングステン、窒化タングステン、又はそれらの任意の組
み合わせ若しくはスタック)からなる。バリア/ライナ
ーは、プラグとレベル間の誘電体層との間に形成されて
もよい。形成されたときは、バリア/ライナー層(層1
38及び148と、ライナー142、146、162及
び166として示されている)は、好ましくは、Ti、
TiN、W、窒化タングステン、Ta、窒化タンタル、
通常的なあらゆるバリア/ライナー層、又はそれらの任
意の組み合わせ又はスタックからなる。相関誘電体及び
プラグ材料は、FeRAMの熱履歴と両立可能でなけれ
ばならない。既存の技術(即ち、Wプラグ及びSiO2
ILDに関連するもの)により、FeRAMの熱履歴
は、約600又は650℃未満でなければならない。I
LDが低誘電率(以下、「低K」という)層を含むよう
に変更されたときは、FeRAMの熱履歴を更に減少さ
せる必要がある。従って、好ましくは、層間の誘電体層
112は、(ドーピングされた及び/又はドーピングさ
れていない)酸化シリコン、窒化シリコン、及び/又は
酸窒化シリコンのように、600℃を超える熱履歴に耐
えられる材料である。
【0027】レベル127は、FeRAMセル(FeR
AM処理モジュール)に適応するように追加される。F
eRAM処理モジュールは、強誘電即ち高誘電率のコン
デンサの製作が新しい処理モジュールについての最大熱
履歴をもって容易に、しかもバックエンド処理の熱履歴
に影響させることなく、付加可能にする。特に、このレ
ベルは、高密度のメモリと両立可能なビット線構成下に
コンデンサを有したFeRAMデバイスを可能にする。
しかしながら、平坦化が必要でないときは、デバイス1
05に層127を形成していなくとも、FeRAMデバ
イスを形成することは可能である。従って、FeRAM
部103は、領域105より層127の高さだけ高くな
る。
【0028】FeRAMのコンデンサ125は、いくつ
かの層からなる。導電性バリア層122は、プラグ11
4が後続のコンデンサ誘電体の処理中に保護される必要
があるか否かによって、形成されても、形成されなくて
もよい。形成されたときは、導電性バリア層122は、
好ましくは、TiAlN又は他の複数の可能バリア(そ
のうちのいくつかは、TiNと比較して遅い酸化速度を
有する)からなり、他の複数の可能バリアは、TaSi
N、TiSiN,TiN,TaN、HfN、ZrN、H
fAlN、CrN、TaAlN、CrAlN又は他の任
意の導電材料を含む。この層の厚さは、好ましくは、6
0nm(0.18umビアに対して)と同程度である。
将来、ビア・サイズのスケーリングは、同様にバリア厚
さのスケーリングも可能にする。これらのバリア層に関
する好ましい堆積技術は、Ar+N2又はAr+NH3
使用する反応性スパッタ堆積である。Arは、コスト及
びパフォーマンスに基づいてスパッタ堆積又は物理的エ
ッチングに使用される標準的な不活性ガスであることに
注意すべきである。この文書において説明している処理
全般にわたるこれらのアプリケーションに対してArの
代わりに、他の不活性ガスを使用することもできる。使
用可能とされる他の堆積技術は、化学気相成長法(CV
D)又はプラズマ・エンハンスドCVD(PECVD)
を含む。窒化物のCVDは、有機金属前駆物質を使用す
るときは、実際に、特にカルボ酸窒化に帰結し、これ
は、多くの場合で許容される。好ましいWコンタクトの
ときに、これは、2層拡散バリアを堆積するのが好まし
い。第1に、CVD TiN(40nmが好ましい)を
堆積し、PVD TiAlN(30nmが好ましい)が
続く。更に好ましいのは、TiAlN(〜60nm)の
CVD又はPECVD堆積である。TiAlNにおける
アルミニウムの好ましい比率は、約30〜60%Alで
あり、酸化物抵抗を改善するために40〜50%がより
好ましい。一般的に、良好な拡散バリア(例えば、本発
明の一実施例のもの)は、酸素安定底部電極材料をより
薄く又はより高い温度を使用可能にする。
【0029】下層のコンタクト構造との電気的な接続を
行うために、導電性バリア層122上に又は誘電体層1
12上に、コンデンサ125の底部電極124を直接形
成する。好ましくは、底部電極は、厚さ25〜100n
m近傍にあり、酸素中で安定であり、かつ貴金属、又は
イリジュウム、酸化イリジュウム、Pt、Pd、PdO
x、Au、Ru、RuOx、Rh、RhOx、LaSrC
oO3、(Ba,Sr)RuO3、LaNiO3若しくは
これらの任意のスタック又は組み合わせのような酸化導
体からなる。貴金属を使用した電極のときは、コスト及
び集積の容易さの観点から、可能な限り薄い相を使用す
るのが好都合である。PZTコンデンサ用に好ましい底
部電極は、50nmIrか、又は30nmIrOx及び
20nmIrからなるスタックであり、これは、好まし
くは、Ir(Ar)に対するスパッタ堆積、及び/又は
IrOxに対する反応性スパッタ堆積(Ar+O2)によ
り堆積される。強誘電性堆積温度が低ければ、それだけ
薄い電極を可能にし、好ましいものになる。これらの相
に対する好ましい堆積技術は、スパッタ堆積、反応性ス
パッタ堆積、又は化学気相成長法堆積である。底部電極
の応力を制御するために、好ましくは、底部電極の応力
緩和及び/又はマイクロ構造の安定性を改善するため
に、ポスト底部電極アニールを実行する。典型的なアニ
ール条件は、400〜600℃で酸素ガス又は不活性ガ
スの混合物中に2〜10分間である。このアニールは、
底部電極の形成後、好ましくは、ILD160の形成の
前の任意時に実行されてもよい。
【0030】コンデンサ誘電体は、底部電極上に形成さ
れる(ステップ208)。コンデンサ誘電体126は、
好ましくは、厚さ150nm(より好ましくは、厚さ1
00nm未満、最も好ましくは、厚さ50nm未満)で
あり、Pb(Zr、Ti)O 3(PZT−−タンタル・
ジルコン酸塩鉛)のような強誘電性材料と、ドナー(N
b、La、Ta)、アクセプタ(Mn、Co、Fe、N
i、Al)及び/又は両方によりドーピングされたPZ
Tと、SrTiO3、BaTiO3又はCaTiO3によ
りドーピングされ、かつ合金にされたPZTと、タンタ
ル・ビスマス・ストロンチュウム(SBT)と、タンタ
ル・ニオブ酸塩ビスマス・ストロンチュウム(SBN
T)若しくはタンタル・ビスマス、タンタル・ビスマ
ス、BaTiO3、PbTiO3若しくはBi2TiO3
ような他のペロブスカイト層と、からなる。PZTは、
最も高い分極、及び前述の材料の最も低い処理温度を有
するので、コンデンサ誘電体用に最も好ましい選択とな
る。加えて、好ましいZr/Tiの組成は、良好な強誘
電性スイッチング特性(大きく切り換えられた分極、及
び比較的に四角形状のヒステリシス・ループ)を得るた
めに、それぞれ約20/80である。
【0031】ほぼ65/35の代替的なZr/Tiの組
成は、コンデンサ特性において均一性を最大化するため
に好ましいものとなる。あらゆる状況において、約0.
05〜1%ドナー・ドーパントによりドナー・ドーピン
グされたPZTを有することが好ましい。ドナー・ドー
パントは、ポイント欠陥集中を制御するのを支援するこ
とにより、PZTの信頼性を改善する。これらの誘電体
のために好ましい堆積技術は、有機金属化学気相成長法
(MOCVD)である。MOCVDは、薄膜(即ち厚さ
100nm未満の膜)に特に好ましい。薄いPZTは、
集積をより簡単(エッチングする材料がより少ない)、
かつより安価(従って、より少ない前駆物質を堆積する
ために、より少ない材料)にする際に極めて効果的であ
って、より低い電圧動作(ほぼ同一の強制電界に対して
より低い強制電圧)を可能にする。コンデンサ誘電体
は、単結晶状態若しくは多結晶状態に堆積され、又は低
温でアモルファス相に堆積され、次いでポスト・堆積ア
ニールを使用して結晶化される。これは、一般的に、B
i強誘電性膜に対して行われる。ポスト堆積結晶化アニ
ールは、電極堆積又はポスト・コンデンサ・エッチング
・アニールのように、ポスト堆積後に、又は後処理工程
後に直ちに実行されてもよい。好ましいMOCVD P
ZTアプローチは、450〜600℃間(より好ましく
は、500〜550℃間)の温度で堆積された多結晶膜
に帰結する。
【0032】上部電極は、コンデンサ誘電体126上に
形成される(工程210)。本発明のこの実施例では、
上部電極は、層128及び130として示されている。
しかしながら、上部電極は、1層に実施可能とされるに
過ぎない。好ましくは、層128は、酸化イリジウム
(好ましくは、厚さ100nm未満、より好ましくは、
厚さ50nm未満)からなり、層130は、イリジウム
(好ましくは、厚さ100nm未満、より好ましくは、
厚さ50nm未満)からなる。特に、多くの反対の状態
書き込み/読み出し動作による劣化(疲労)を最小化す
るように、Pbに基づく強誘電体としては、純粋な貴金
属よりもIrOx、RuOx、RhOx、PdOx、PtO
x、AgOx、(Ba,Sr)RuO3、LaSrCo
3、LaNiO3、YBa2Cu37-xのような導電性
酸化物の上部電極を有するのが好都合である。更に、S
BTのようなBiを含む多くの強誘電体は、Pt、P
d、Au、Ag、Ir、Rh及びRuのような貴金属の
電極を使用することもでき、かつそのまま良好な疲労特
性を保持している。上部電極が酸化物のときは、上面メ
タル・コンタクトと酸化物との間で低接触抵抗を維持す
るために、その上に貴金属層を有すると好都合である。
例えば、IrOxと接触しているTiN層は、次の熱処
理中に断熱の作用をするTiO2を形成することができ
る。Pt、Ru、Pd、又はIrのような高価な貴金属
を使用した電極のときは、コスト及び集積の観点から、
可能な限り薄い層として使用すると都合がよい。PZT
電極のときに、上部電極のスタックは、好ましくは、A
r中でPVDにより、PZTコンデンサ誘電体の上面で
反応性のPVDにより堆積された約20nmのIrOx
上に、堆積された約10nmのIrからなる。IrOx
は、比較的に低スパッタ電力、従って、遅い堆積速度
(20nm未満が好ましい)により、400℃未満で5
0%と80%との間のO2と残留アルゴンとの混合物中
で堆積されるのが好ましい。上部電極における応力を制
御するためにハードマスクを堆積する前に、上部電極を
アニールすることが可能である。例えば、アニールされ
た電極における応力が引張りとなる一方、スパッタ堆積
の電極は、圧縮応力を受けるのが典型的である。
【0033】コンデンサ・スタック全体は、好ましく
は、パターン化され、かつ好ましくは、いくつかの層に
対して異なるエッチング液を適用して、一度にエッチン
グされるが(工程214)、しかし、次の層又は複数層
を形成する前に、各層又はグループ化した複数層をエッ
チングすることができる。多数層又は全ての層が同時に
エッチングされるときは、好ましくは、ハード・マスク
層132がスタック上に形成される(工程212)。好
ましくは、ハードマスクは、エッチング処理中はその統
合性を保持するように、十分な厚さの材料からなる。こ
のハードマスクは、好ましくは、厚さ約50〜500n
m(より好ましくは、厚さ約100〜300nm、最も
好ましくは、約200nm)であり、TiAlN、Ti
N、Ti、TiO2、Al、AlOx、AlN、TiA
l、TiAlOx、Ta、TaOx、TaN、Cr、Cr
N、CrOx、Zr、ZrOx、ZrN、Hf、HfN、
HfO x、酸化シリコン、低K誘電体、又はそれたの任
意的なスタック若しくは組み合わせからなる。ハードマ
スク・スタックの1例は、スパッタ堆積された50nm
のTiAlN、又はTiN上に、PECVD堆積された
300nmのSiO2である。ハードマスクの厚さは、
種々の材料のエッチング処理及び相対的エッチング速
度、エッチングされた層の厚さ、要求されるオバーエッ
チング量、並びに全ての層のエッチング後に残留してい
る所望ハードマスク厚さにより、制御される。これらの
層が薄ければ、それだけ薄いマスクに帰結する。ハード
マスクは、コンデンサ・スタックをエッチングした後に
除去されても、又はなくともよい。ハードマスク132
が除去されないときは、導電材料のハードマスクを形成
することが好ましい。しかしながら、非導電性又は半導
体材料を使用してもよいが、しかしコンデンサの上部電
極との相互接続は、好ましくは、上部電極に直接接続を
行うようにこのハードマスクを介して形成される必要が
ある。
【0034】ハードマスクの堆積は、ハードマスク・プ
ロファイル及び残りのハードマスク厚さを良く制御する
ために異なる材料の単一又は多重スタックであってもよ
い。窒化金属ハードマスクに好ましい堆積処理は、Ar
+N2ガスの混合物を使用したスパッタ堆積である。ハ
ードマスクを含む酸化シリコンの好ましい堆積処理は、
TEOS PECVDである。
【0035】コンタクト形成後のいくつかの異なる堆積
工程を説明した。特に、底部拡散バリア、底部電極、強
誘電体、上部電極及びハードマスク。これらの処理工程
で使用したデバイスの全て又はほぼ全ての部分は、潜在
的に強誘電体の要素により汚染されたとみなす可能性が
ある。従って、デバイスのこれらの部分は、専用になっ
ていると思われる。ウェーハは、高くなければ、ウェー
ハの裏側で最大の可能性をもってかなりの汚染がある。
ハードマスク堆積後の次の処理工程は、典型的には、リ
ソグラフィーである。このツールを介して裏側の汚染に
より処理ウェーハがツールを汚し、従って、このツール
を介してこれらの裏側のFeRAM汚染により処理され
たクリーンなウェーハを汚すに至る。従って、リソグラ
フィ装置を共用できるようにFeRAMウェーハの裏面
を洗浄にして、何らのFeRAM汚染なしに、リソグラ
フィ装置によりクリーンなウェーハを処理できることが
好ましい。ハードマスクがSiO2のような標準的な材
料を含むときは、ハードマスクのこの後者部分を堆積す
る前に、ウェーハの裏面を洗浄することができる。例え
ば、ハードマスクがTiAlN上のSiO2からなると
きは、TiAlN堆積処理前、かつSiO2堆積処理前
にウェーハの裏面を洗浄することが好ましい。これは、
SiO2堆積ツールが汚染するのを防止し、従って、共
用するのを可能にする。洗浄処理は、裏面の汚染要素及
びこれらの汚染レベルによる。好ましいアプローチ(P
VDバリア、ハードマスク、底部電極、上部電極及びM
OCVD PZT)を仮定し、MOCVD処理が縁部を
除外しないと仮定して、連続する膜を除き、裏面のIr
が低いレベルとなる。従って、この形式のウェーハ汚染
に対して、好ましい裏面ウェーハの洗浄処理は、縁部近
傍でウェーハの前側の背部、縁部及び小さな領域をエッ
チングするウエット・エッチング処理である。このエッ
チ処理は、ウェーハの裏面に存在する材料(例えば、こ
れがSi、SiO2又はSi34のとき)にいくらか依存
している。ウエット・エッチングPZTは、好ましく
は、例えばH2O+HF+HCl又はH2O+NH3F+
HClのように、強力なフッ酸か、又は塩素エッチング
薬品とフッ素エッチング薬品との酸混合物を使用して達
成される。
【0036】コンデンサ・スタックに対するパターン及
びエッチング処理は、1リソグラフィ工程のみにより実
行されることが好ましい。これは、安価であるばかりで
なく、1回以上のリソグラフ工程を使用するときに必要
となるミスアライメント許容誤差をなくすことにより、
セル・サイズをより小さくするのを可能にする。以上で
述べたように、好ましいアプローチは、多重エッチング
処理で1ハードマスクを使用することである。より急峻
なサイドウォール傾斜、従ってより小さな臨界寸法(C
D)の成長をも達成するために、高くした温度を使用す
ることにより、これらのエッチング処理を変更すること
ができる。一般的に、CD成長を最小化することは好ま
しいことであって、これは、エッチング・プロファイル
をより急峻に、かつ/又は層をより薄くすることによ
り、達成可能となる。ハードマスクを利用した本発明の
一実施例の低温度エッチング処理は、TiAlN構造プ
ロファイルが鋭いと同時に、PZT及びIr構造に対し
て約74度のサイドウォール傾斜を達成する。Ir及び
PZTのエッチング速度(低エッチング速度材料)は、
約100nm/分である。
【0037】エッチング処理は、汚い処理であり、従っ
て、エッチング・ツール及びウェーハの前側、縁部及び
裏面には、FeRAM汚染を有する、又はFeRAM汚
染と共にエッチング残留物がある。そのために、ウェー
ハの前側を洗浄し、エッチング残留物を化学的に除去
し、かつ多分、損傷したPZTの薄い層を除去する必要
がある。このポスト・コンデンサ・エッチングの湿式洗
浄(wet clean)は、いくつかのエッチング条
件及び化学薬品により、脱イオン水(DI水又はDI
W)洗浄(メガソニックあり又はなしのタンク浸漬に続
くスピン・リンス・ドライ)のように簡単である思わ
れ、又は清浄度を改善するため若しくはより多くの損傷
を除去するために、酸を使用するものでもよい。更に、
エッチング処理は、サイドウォールに貴金属のようなエ
ッチング材料をエッチングするのが困難な導電層の再堆
積に帰結し得る。例えば、Ir底部電極によっては、P
ZTのサイドウォールに再堆積する可能性があり、これ
がコンデンサにとって許容できない高い漏洩電流に帰結
する。湿式洗浄(工程216)は、化学薬品を使用して
このような好ましくない物質を除去するために使用され
てもよく、この化学薬品が僅かに強誘電性材料をエッチ
ングし、好ましくない物質を溶液中に保持することにな
る。ウェーハの裏面及び縁部は、FeRAM要素の再堆
積により著しく汚されている可能性高い。これらは、共
用ツールにおいて処理する前に除去されるべきである。
【0038】コンデンサ・エッチングは、修復を要する
強誘電体の損傷及び劣化に帰結する。この損傷を修復す
る一つの方法(工程216)は、(発生してしまったか
も知れない酸素損失を修復するように)O2プラズマを
照射することにより、及び/又は酸素を加えるように、
及びエッチング処理により発生した損傷面の結晶度を改
善するように不活性環境即ち酸化環境においてRTA又
は電気炉アニールによる方法である。PZTにとって、
このアニールは、好ましくは、約500〜650℃(電
気炉アニールのときは、期間が好ましくは15分〜2時
間となる)で、又は550〜700℃(電気炉アニール
のときは、期間が好ましくは10分〜60秒となる)で
実行される。
【0039】コンデンサのサイドウォールは、好ましく
は、かなり急勾配である。サイドウォール拡散バリア
は、好ましくは、層134の形成及び相互接続のエッチ
ング前に、コンデンサ・スタック上に形成される(工程
218)。サイドウォール拡散バリアは、コンデンサを
短絡させることなく、相互接続のミスアライメントを許
容するので、重要であり、これは、大抵の物質がコンデ
ンサに拡散するのを防止し、かつコンデンサより拡散す
る物質から残りの構造を保護する。本発明のこの実施例
では、サイドウォール拡散バリアが2層(層118及び
120)であるが、サイドウォール拡散バリアは、更に
多い又は更に少ない層であってもよい。好ましくは、層
118は、約厚さ30nmであり、AlOx、Ta
25、AlN、TiO2、ZrO2、HfO2又はこれら
の任意のスタック又は組み合わせからなる。また、層1
20は、窒化シリコン、AlN、又はこれらの任意のス
タック又は組み合わせからなる。メタル酸化物又は窒化
物(これは、特に、有機金属前駆物質を使用したとき
は、カルボ酸窒化であってもよい)を堆積するために好
ましい処理は、最小遊離水素(即ち、H2よりもH2Oが
形成されるように、十分な酸素)を有する条件下のMO
CVDである。更に、プラズマ・エンハンスドCVD又
はMOCVD処理を使用することも可能である。代わっ
て、(酸素に対して)Ar+O2、又は(酸窒化に対し
て)Ar+O2+N2により、反応性スパッタ堆積を使用
することもできる。窒化シリコン用の好ましい処理は、
CVD又はPECVDである。低水素処理のときは、処
理ガスは、SiH4及びN2でなければならない。ただ
し、N2の流量は、SiH4の流速よりかなり大きい。無
水素PECVD Si34堆積処理のときは、SiCl
4+N2を使用する必要があって、SiCl4の流量より
はるかに大きいN2の流量を有することもまた、都合よ
いことである。ここで挙げた好ましい実施例において、
SiH4層は、コンタクト・エッチング・ストップとし
て使用される一方、AlOx層は、Pb及びH拡散バリ
アとして使用される。
【0040】ビア・エッチングをサイドウォール層(例
えば、AlOx)上で停止するように、ビア・エッチン
グを変更できるときは、これはエッチ・ストップとな
り、付加的な層(即ち、Si34)は不必要となる。こ
の場合に、サイドウォールの厚さを増加させてもよい。
【0041】代替的なアプローチは、堆積後にサイドウ
ォール材料をエッチバックすることである。このエッチ
バックは、拡散バリア(複数の拡散バリア)の堆積後に
実施されてもよい。好ましい一実施例では、AlO
x(約40nmが好ましい)を堆積し、続いて塩素を含
むエッチング・ガス(例えば、BCl3又はCl2)を使
用したエッチバックをし、続いてPECVDによるSi
34の堆積(約30nmが好ましい)をする。
【0042】エッチングの損傷がアニールによりまだ修
復されていないときは、サイドウォール拡散バリア堆積
後にアニールを実行してもよい。PZTのときは、この
アニールは、好ましくは、約500〜650℃(電気炉
アニールのときは、約15分〜2時間)又は550〜7
00℃(RTAのときは、約10秒〜60秒)実行され
る。650℃で1分間のRTAがより好ましい。約50
0℃未満の最大熱履歴により強誘電体コンデンサ上に直
接形成される層間の誘電体層の選択として低K材料を選
択したのであれば、このオプションは好ましものとな
る。このアニールを酸化又は不活性環境条件中で実行す
ることができる。
【0043】AlOx堆積処理の開始時に、ウェーハの
前側はフロント・エンドを露出させている。AlOx
堆積処理は、(約1010atoms/cm2である約ケ
ア・アバウト・レベル(care about lev
el)で次のウェーハ状の付加的なFeRAM汚染であ
ると定義される)ツールの汚染に帰結することもあり、
ないこともある。FeRAMウェーハ上のAlOx堆積
処理が汚染に帰結していないときは、このサイドウォー
ル拡散バリアを堆積する前に、ウェーハの裏面を湿式洗
浄するのが好ましい。FeRAMウェーハ上のAlOx
堆積処理が汚染に帰結するときは、この工程後に好まし
い裏面洗浄を行うことができる。ウェーハの裏面を洗浄
するために使用される湿式の化学薬品は、裏面の汚染が
異なった要素濃度レベルを有するものと想定されるの
で、最初に使用されたものと異なることがある。
【0044】サイドウォール拡散バリア上に層間誘電体
(複数の層間誘電体)を堆積する(工程220)。各レ
ベル間の誘電体層(層112、134及び160)間に
薄い誘電体層(図示なし)を形成することができる。形
成されると、この薄い層は、好ましくは、窒化ケイ素、
炭化ケイ素、(SiCNO)又は酸化ケイ素(好ましく
は、高密度プラズマ酸化)からなる。加えて、レベル間
の誘電体層112、134及び160は、好ましくは、
酸化物、FSB、PSG、BPSG、PETEOS、H
DP酸化物、窒化ケイ素、酸窒化シリコン、炭化ケイ
素、カルボ酸窒化ケイ素、低誘電率材料(好ましくは、
SiLK、多孔性SiLK、テフロン、低Kポリマ(多
分、多孔性)、アエロゲル、キセロゲル、黒ダイヤド、
HSQ、他の任意の多孔性ガラス材料)、又はこれらの
組み合わせ又はスタックからなる。第1及び第2のIL
D(112、134)の熱履歴は、FeRAMモジュー
ルの処理詳細に影響する。第2の層間誘電体(134)
の堆積後に、好ましい処理は、後続のリソグラフィ処理
に対して表面平坦にするために、好ましくは、CMPを
使用して誘電体を平坦化することである。バックエンド
のメタライゼーションの選択によって、多数の処理選択
が存在する。エッチングされたAlメタライゼーション
のときに、一次オプションは、Al又はWビアである。
ダマシン・メタライゼーション(Al又はCuが好まし
い)のときは、二重ダマシン(同時にビア及び充填され
たメタル)又は単一ダマシン・メタライゼーションの前
に充填された別個のメタル・ビアの選択が存在する。ビ
ア、及びエッチングされたメタル又は単一のダマシン・
メタル(ビア・ファーストと呼ばれる)を使用した全て
の処理ルートは、二重ダマシン・アプローチに比較され
るFeRAM処理に関して更によく類似している。
【0045】ビア・ファースト(via first)
用の処理フローは、以下のようである。Cuのようなメ
タライゼーション機構に従って、拡散バリア/エッチ・
ストップ(典型的には、炭化ケイ素、窒化ケイ素、酸窒
化シリコン、カルボ酸窒化ケイ素)は、ILD上に配置
される。次いで、リソグラフィは、パターン化されたレ
ジストを形成するために使用される。更に、コンタクト
・エッチング処理は、以下のスタック、即ち、反射防止
膜(存在する場合)、エッチ・ストップ(存在する場
合)、ILD、次いで、コンデンサ上に重なるサイドウ
ォール拡散バリア(又は複数のサイドウォール拡散バリ
ア)により、エッチングする。異なるエッチング処理
(化学薬品及びプラズマ条件)は、(ビアの深さが周辺
に比較してコンタクトを超えないというのではなく)、
各異なる材料に使用される可能性がある。サイドウォー
ル拡散バリアがAlOx上のSi34からなる好ましい
実施例において、Si34は、ILDエッチングに対す
るエッチ・ストップとして作用することができる。これ
は、種々の被エッチング領域間にILD厚さにおける高
さ差が存在するゲート・エッチングのようなアプリケー
ションのための標準的なエッチングである。ILDエッ
チング後に、Si34及びAlOx(続いて、これは、
ビア・ホールにより暴露されている)は、同一又は異な
る化学薬品を使用してエッチングされる。一般的に、全
てのエッチング工程は、小さなビア領域のためにタイミ
ングが調整される。しかしながら、いくつかのリアル・
タイム測定により端点指示(光学的なエミッション又は
ガス相RGA)が好ましい。FeRAM損傷制御のため
に、底部層のサイドウォール・バリア・エッチング処理
を制御することが特に重要である。小さなプラズマ損傷
によるプラズマ条件及びオバーエッチング以下による均
一なエッチング速度を使用することが好ましい。ビア・
エッチング処理後、典型的には、アッシング処理、続い
て湿式洗浄及び乾式洗浄によりレジストが除去される。
【0046】ビア・エッチング工程後にアニール処理工
程(工程222)を実行してエッチング損傷を除去する
のが好ましい。PZTコンデンサ誘電体のときに、この
アニールは、好ましくは、約500〜650℃(電気炉
アニールは15分〜2時間が好ましい)又は550〜7
00℃(RTAは10秒〜60秒が好ましい)により実
行される。更に好ましいのは、約650℃で約1分間の
RTA処理である。上部電極拡散バリアを酸化させない
ように、不活性環境(N2又はAr)においてアニール
を行うことも好ましい。このオプションは、中間層誘電
体の選択が500℃未満の最大熱履歴を有する低K材料
であれば、好ましい。第1及び第2のILD(112/
134)の最大熱履歴がこれを不可能とするときは、R
TA処理を使用してこのILDにおいて可能な最大熱履
歴を使用することが好ましい。
【0047】ビアが形成されると、スタンダード・メタ
ライゼーションを使用して充填されてもよい。典型的な
メタライゼーション及び拡散バリアを既に説明したが、
Cu、W、Ta窒化物又はTi/TiNのバリアを有
し、ドーピングされたAlのメタルを含む。洗浄と堆積
との間で何らのバキューム・ブレークなしに、ツールに
おいてバリア層及びメタル層の堆積前に、ビアの底を洗
浄するために短いプラズマ洗浄(たとえば、Ar、Ar
+N2)を使用することが好ましい。Cuのときは、T
a、TaNx又はTiNバリアを使用し、Cuシード層
堆積が続くことが好ましい。これには、電気メッキされ
た、又は堆積された銅が続く。レベル間誘電体上のCu
及びバリアは、好ましくは、CMPにより除去される。
Wビアのときは、Ti/TiNバリアを使用し、CDV
Wが続くことが好ましく、余分なタングステンはエッ
チバック又はCMPにより除去される。Alビアのとき
は、Ti/TiNバリアに、Al堆積(CVD、リフロ
ーによるPVD、又はホットPVD)が続く。ILDの
上面上のAlがメタル線を形成するために除去、又はパ
ターン化され、かつエッチングされる。
【0048】上部電極及びPZTが導電性ハードマス
ク、かつ/又は拡散バリア、又はサイドウォール拡散バ
リアにより保護されていないときは、ビア・エッチング
・ツール、ポスト・ビア洗浄、アニール・ツール、メタ
ル・プラズマ洗浄、更にはバリア堆積ツールもFeRA
M要素により潜在的に汚染されていることがある。この
ような保護があっても、エッチング・ツールの汚染によ
り大きなオバー・エッチングのような処理誤りを発生す
る恐れがある。従って、処理制御及び効果的にモニタに
よって、これらのツールを専用にする代わりに、共用し
てもよい。判断がこれらのツールを専用すべきであると
いうのであれば、更に、FeRAM汚染が他の汚染して
いないツールに広がる如何なる機会もなくすために、ウ
ェーハが最後の専用ツールを去った後に、裏面の湿式洗
浄処理を使用するように決定してもよい。
【0049】ここで、二重ダマシン処理フローに関する
処理フローを説明する。ここで説明するフローは、ビア
・ファースト・フローであるが、しかし多くの強誘電体
の固有特性は、他の処理フロー・ルートにも適合する。
Cuのようなメタライゼーション機構に従い、拡散バリ
ア/エッチ・ストップ(好ましくは、炭化ケイ素、窒化
ケイ素、酸窒化シリコン、カルボ酸窒化ケイ素からな
る)をILD上に堆積する。その後、以上で説明した選
択のうちの1つを使用して第2の中間層誘電体(IMD
又はILD)を堆積する(これには、しばしば、他の拡
散バリア/エッチ・ストップが続く)。次いで、リソグ
ラフィを使用して複数のビアをパターン化する。次い
で、以上で説明したと同一の手順を使用してこれらのビ
アをエッチングするが、今度は、サイドウォール拡散バ
リアに達するまでに、潜在的に多数の誘電体層が存在す
る。加えて、二重ダマシン・アプローチに対して第1の
(深い)ビアのアスペクト比は、単なる1ビアによるよ
りも大きい。レジストのアッシング、ビア・エッチング
及び洗浄後に、第1のビアをレジストにより充填し、か
つメタル・パターン用のリソグラフィを実行する。上面
誘電体にメタル・パターンをエッチングし、かつエッチ
ング処理中は、又はエッチ・ストップによりその深さを
制御する。次いで、レジストを除去し、かつ湿式洗浄に
よりエッチング・デブリを除去する。
【0050】次の工程は、後エッチング修復アニールを
実行することであり、ここで、熱履歴は、更なる誘電体
層により制限される。PZTからなるコンデンサ誘電体
のときに、このアニールは、好ましくは、約500〜6
50℃(約15分〜2時間の電気炉アニールのとき)、
又は550〜700℃(約10秒〜1分間のRTA処理
のとき)である。更に好ましいのは、約650℃で約1
分間のRTA処理である。更に、上部電極拡散バリアを
酸化させないように、不活性環境(N2又はAr)にお
いてアニールを実行することが好ましい。中間層誘電体
の選択が500℃未満の最大熱履歴を有する低K材料で
あるならば、このオプションは好ましいものとなる。I
LDの最大熱履歴がこれを不可能とするときは、RTA
処理を使用してこのILDにおいて可能な最大熱履歴を
使用することが好ましい。
【0051】次の工程は、ビア及びメタル線に関する陥
凹を同時に充填するためにバリア及びメタルを堆積する
ことである。ダマシン処理を除き、典型的なメタライゼ
ーション及び拡散バリアを既に説明したが、これらは、
Ta、TaNx、又はTi/TiNのバリアを有するC
u、W及びドーピングされたAlを含む。洗浄と堆積と
の間にバキューム・ブレークなしに、ツールにおいてバ
リア膜及びメタル膜を堆積する前に、短いプラズマ洗浄
(例えば、Ar、Ar+N2)を使用してビアの底を洗
浄することが好ましい。
【0052】二重ダマシン・アプローチによる汚染発生
は、ビア・ファースト・アプローチのものに類似してい
る。
【0053】相互接続136は、上部電極に対する電気
的な接続を行うように形成されている。この相互接続
は、導体144に接続され、導体144は、好ましく
は、ドライブ線140に接続される。ドライブ線140
は、好ましくは、デバイスの動作中に約1.2ボルトの
電位を設定し、使用する論理技術世代によりその電圧を
スケール設定する。
【0054】本発明の一実施例の以下の説明は、図2に
示した処理フローおよび図3a〜図3cに示したメモリ
・デバイス103の断面図について行う。図1と同一の
参照番号により示された図3a〜図3cにおける要素
は、同一または同様の要素を表す。
【0055】図3aおよび図2の処理工程202を参照
すると、誘電体層112が標準的な半導体プロセス技術
を使用して形成され、また(必要ならば)平坦化され
る。フォトレジスト層(図示なし)を形成し、かつレベ
ル間の誘電体層112に複数のコンタクト孔(図示な
し)をエッチングする。フォトレジストを除去し、かつ
化学気相成長法(CVD)を使用して一面を覆うように
ライナー/バリア層116を形成する。次に、一面を覆
うように導電材料を形成してコンタクト孔の残りを充填
する。化学機械研磨(CMP)を使用してレベル間の誘
電体層上に重なる導電材料及びライナバリア層の複数部
分をエッチバックするか、又はポリシングバックしてプ
ラグ114及びライナー/バリア層116を形成する。
表面が可能な限り閉館となるようにCMP処理を使用す
ることが好ましい。エッチバック処理は、陥凹を発生さ
せ、これが次の処理においてトポグラフィー(topo
graphy)の発生原因となる恐れがある。トポグラ
フィーは、強誘電体層に局部的なテクスチャーの劣化を
発生させる恐れがあり、これがまたコンデンサ特性の劣
化に帰結する恐れがある。
【0056】図2の工程204を参照すると、二層の酸
化物拡散バリア層302が任意選択的に形成される。最
初に、CVD(標準的な半導体産業のプロセス)を使用
してTiN(好ましくは、約50nm)を堆積し、続い
て、好ましくは、Ar及びN 2中で反応性スパッタ堆積
によりTiAlN(好ましくは、約20nm)を堆積す
る。TiAl ターゲットの好ましい組成は、Ti0.6
Al0.4であり、堆積処理を、好ましくは、Ar及びN2
(好ましくは、約40/60の比率)中で約350℃
(ウェーハの温度)により実行し、かつスパッタ電力を
約50nm/分の堆積速度を達成するように設定する。
強誘電体コンデンサ誘電体のMOCVD堆積又は強誘電
体の酸素アニールのように、酸素を含むプロセス中に、
導体に酸素を拡散させることにより、導体(プラグ)1
14の抵抗率が逆に影響を受けるときは、拡散バリア層
302(122)を形成する必要がある。
【0057】次に、工程204において、底部電極材料
304(124)を形成する。底部電極材料304は、
このコンデンサを形成するために使用される誘電体材料
306に重なる1以上からなるものでもよい。この実施
例において、層(底部電極材料)304は、好ましく
は、30nm未満のIrOxスパッタ堆積により堆積さ
れた約30nmnのIrからなり、Ar及びO2環境中
で反応性スパッタ堆積により堆積される。所有者のコス
ト理由により、同一の堆積チャンバ内でIr及びIrO
xを堆積するのが好ましい。この堆積は、好ましくは、
Ar中で約300℃のウェーハの温度により実行され、
かつスパッタ電力をおよそ50nm/分の堆積速度を達
成するように設定し、これに、直ちに、Ar+O2(3
0/70)のガス環境を変化させることが続き、およそ
30nm/分の堆積速度を達成するようにスパッタ電力
を調整する。代替的な好ましい実施例は、Ir層を含
み、これは、好ましくは、厚さ約100nm又は未満、
より好ましくは、底部電極としてIrの約50nmであ
る。
【0058】TiNは共用ツールにおいて堆積されるこ
と、及びTiAlNはIr又はIrOxの一堆積チャン
バにまとめられた専用ツールにおいて堆積されることが
好ましい。更に、Ir又はIrOxは、所有者のコスト
を削減させるために、同一チャンバ内で堆積されるのが
好ましい。TiNがTiAlNの堆積前に大気に曝され
るときは、TiAlNの堆積前に、真空、又は不活性ガ
ス及び/又はプラズマ洗浄(約1nmによりTiNを除
去する)を実行することが好ましい。
【0059】図3bを参照すると、工程208におい
て、誘電体材料306を形成する。誘電体材料306
は、好ましくは、有機金属CVD(MOCVD)を使用
して形成された100nm未満(50nmがより好まし
い)のPZTからなる。しかしながら、化学溶液堆積
(sol−gel又は有機金属分解)のような他の技術
も使用することができる。加えて、好ましいZr/Ti
組成は、良好な強誘電性スイッチング特性(大きく切り
換えられた分極、及び比較的に四角形状のヒステリシス
・ループ)を得るために、約20/80である。代替と
して、約65/35のZr/Ti組成は、切り換え分極
を最小化し、かつコンデンサ特性における均一性のため
に好ましいものとなり得る。加えて、およそ0.5〜1
%のドナー・ドーパントによりドナー・ドーピングされ
たPZTを有することが好ましい。ドナー・ドーパント
は、ポイント欠陥濃度を制御するのを支援することによ
り、PZTの信頼性を改善する。MOCVD処理条件
は、好ましくは、600℃未満(550℃未満とするの
が更に好ましい)の温度で実行される。PZTの堆積速
度は、100〜200nm/分間となるように設定され
る。膜組成の再生可能な制御を得るために、MOCVD
処理は、液体に維持するように、溶剤と一緒に混合され
た有機金属の前駆物質の2又は1の混合を使用すること
もできる。MOCVD反応炉は、1又は2の蒸発器によ
り液体を蒸発させるように設計されると共に、前駆物質
が分解又は濃縮するのを防止するように反応器の壁の温
度を正確に制御する。Ar又はHeガスは、好ましく
は、前駆物質を反応器チャンバ又はシャワーヘッドに流
すために使用され、そこで、これらは、酸化剤(O2
2O、又はO2と一緒のH2Oを推奨)と混合される。
【0060】工程210において、上部電極308/3
10/(128/130)を形成する。PZTコンデン
サ誘電体のときに、好ましい上部電極スタックは、Ar
及びO2中で反応性PVDにより堆積されたほぼ20n
mのIrOx上に、Ar中でPVDにより堆積されたほ
ぼ10nmのIrからなり、PZTコンデンサ誘電体の
頂部に形成される。比較的に低電力、従って低堆積速度
(約20nm/分が好ましい)により、50%〜80%
間のO2と残りのアルゴンとの混合ガス中で、400℃
未満の温度でIrOxを堆積することが好ましい。更
に、Ir又はIrOxは、所有者のコストを削減させる
ために、同一チャンバ内で堆積されるのが好ましい。
【0061】工程212において、ハードマスク312
(132)するように、ハードマスク層を形成し、パタ
ーン化し、かつエッチングする。好ましくは、ハードマ
スクは、次のコンデンサ・スタックのエッチング中に大
きくエッチングされない材料からなる。更に、ハードマ
スク材料が導電性のときは、上部電極への電気的な接続
を作成するのを容易にするので、好都合である。ハード
マスクは、好ましくは、スパッタ堆積した200nmの
TiAlN(40%Al目標、Ar+N2(50/5
0)、400℃ウェーハ温度)からなる。代替として、
ハードマスクは、50nmのTiAlN上に300nm
のSiO2からなり、このSiO2はTEOS PECV
Dにより堆積される。ハードマスク・スタックの他の実
施例は、120nmのTiAl上の30nmのTiAl
Nであって、この120nmのTiAlは20nmのT
iAlO上に形成され、この20nmのTiAlOは5
0nmのTiAlN上に形成されている。これらの層の
全ては、好ましくは、同一チャンバ内でスパッタ堆積に
より堆積され、この膜組成は、堆積中にガスの成分を変
更することにより、変更される(窒化物についてはAr
+N2(50/50)、金属についてはAr、酸化物に
ついてはAr+O2(90/10)又はAr+N2+O2
(85/10/5))。TiAlNは、好ましくは、お
よそ100nm/分のTiAlN堆積速度を達成するよ
うに、高電力により、およそ400℃で堆積される。T
iAlNは、これら全ての場合に対して、TiNにより
置換されてもよい。
【0062】リソグラフィ・ツールの汚染を防止するた
めにウェーハの裏面を洗浄することが好ましい。ウェッ
ト・エッチング処理は、ウェーハの裏面上に存在する材
料(例えば、Si、SiO2又はSi34)にある程度
依存している。ウェット・エッチングPZTは、強力な
フッ酸か、又は(より好ましい)塩素及びフッ素エッチ
ング薬品の混合、例えばH2O+HF+HCl、又はH2
O+NH3F+HClが必要なことがある。更に、この
化学薬品は、ウェーハの裏面/縁部に存在する恐れのあ
る低レベルのIrも除去する。
【0063】通常のどのようなパタータニング形式を使
用してもよいが、フォトレジストが好ましい。パタータ
ニング・マスクを形成した後に、この1マスクにより、
スタック全体をエッチングする。従って、このエッチン
グは、ハードマスク、上部電極、PZT、底部電極及び
底部電極拡散バリアをエッチングすることを必要とす
る。好ましいエッチング・アプローチは、2つある。
【0064】第1のエッチング・アプローチは、一つの
高密度プラズマ・エッチング・チャンンバーを使用し、
同一のチャンンバーにおいて下記処理シーケンスを使用
してこれら層の全てをエッチングする。各場合におい
て、リモート・プラズマ密度は、最大電力近傍に設定さ
れる。ハードマスクは、まず、(フッ素及び塩素を使用
する場合に、SiO2ハードマスクを使用しない限
り)、塩素系化学薬品を使用してエッチングされる。T
iAlNエッチング・レシピの一例は、約10mTor
rの圧力、及び中位の基板バイアスにより、Cl2及び
2(80/20)エッチング液からなる。TiALOx
がハードマスクの一部であるときは、短い高電力工程
は、好ましくは、この層を突破するように付加される。
ハードマスクをエッチングした後、約40mTorrの
圧力、及び小基板バイアスで、O2及びN2(85/1
5)を使用して、レジストを除去する。Ir/IrOx
上部電極は、好ましくは、低圧力(約3mTorr)、
高バイアス(約100nm/分のエッチング速度)で、
Cl2+N2+O2(約60/20/20)を使用して、
エッチングされる。IrエッチングとTiAlNハード
マスク・エッチングとの間で高い選択性を保証するため
に、酸素を付加する。PZTは、中圧(約10mTor
r)、かつ高い基板バイアス(約100nm/分のエッ
チング速度)で、塩素及びフッ素を含む反応性化学薬品
(例えば、Cl2+CF4+N2+O2(45/15/20
/20))中でエッチングされる。再び、PZTエッチ
ング速度とハードマスクのエッチング速度との間で良好
な選択性を保証するために、かつPZTから酸素の損失
を最小化するために、酸素を付加する。底部電極は、好
ましくは、上部電極と同一のレシピによりエッチングさ
れる。TiAlNの底部拡散バリアは、好ましくは、2
工程のレシピによりエッチングされる。エッチング液
は、Cl2及びN2(80/20)を含む。圧力は、好ま
しくは、約10mTorrであり、エッチングは、短い
高電力、短時間工程(約30nm除去)により開始し、
低電力エッチング工程が〜100%オーバ・エッチング
時間により続く。
【0065】第2のエッチング・アプローチは、高温度
のエッチング処理を使用し、Ir、IrOx及びPZT
のような低揮発性の種を室温近傍でエッチングする。従
って、この処理シーケンスは、以下にリストにより示
す。SiO2ハードマスクのときに、SiO2は、まず、
標準的なSiO2エッチング化学薬品を使用して専用の
SiO2エッチング・チャンバ(フッ素系化学薬品の
み)においてエッチングされる。次いで、レジストは、
スタンダード・アッシング処理(例えば、O2+N2+H
2O+オプションのCF4)を使用して除去される。Ti
AlN(SiO2の下層)は、好ましくは、高圧(15
〜20mTorr)によることを除き、以上で説明した
と同様の化学薬品及び電力を使用し、Irに対する前
に、高温のエッチング・チャンバ内でエッチングされ
る。TiAlNハードマスクのときは、以上で説明した
処理条件と同一の処理条件により、室温近傍で、エッチ
ング・チャンバを使用する。同様に、そのチャンバ内、
又は専用のチャンバ内でレジストを除去することもでき
る。Ir/IrOx上部電極、PZT、IrOx/Ir底
部電極及びTiAlN底部電極拡散バリアは、チャンバ
圧力が10〜20Torr間となることを除き、室温で
説明したと同様のエッチング・レシピを使用して、高温
でエッチングされる。
【0066】次に、ウェーハは、5分間、タンク内でD
I H2O、又は希酸(例えば、H2O+NH4F+HC
l(500:1:1))のメガソニック洗浄にウェーハ
を浸し、続いてDI H2Oスピン・リンス・ドライに
より、洗浄されることが好ましい。代替として、酸
(水)スプレー・ツールを使用してもよい。
【0067】次の処理は、サイドウォール拡散バリア3
14/316(118/120)堆積に関係する。この
層の特徴は、これが誘電体材料からなり、かつ上部電極
に接触するように形成されたコンタクトに僅かなずれが
あるときは、これがその絶縁拡散バリア層を除き、コン
デンサの2電極を短絡させる恐れがあることである。本
発明のこの実施例では、拡散バリアは、酸化アルミニウ
ム層316(118)及び窒化ケイ素層314(12
0)からなる。他のバリア層を使用することができる
が、この層の組み合わせは、最良のバリア特性を提供す
るように見える一方、コンデンサ・スタック又は後から
来る必要なアニール工程に逆に影響しない。好ましいア
プローチは、AlOx(15〜50nm、更に好ましく
は、PVDによる30nm又はMOCVDによる20n
m)を堆積することである。AlOxのスパッタ堆積
は、好ましくは、純粋なAl目標を使用し、低堆積速度
(15nm/分未満)により300℃のウェーハ温度
で、Ar+O2(92/8)を使用したパルス式直流電
源により、実行される。
【0068】強誘電体コンデンサ・エッチング・ツー
ル、ウェット・バス、スピン・リンス・ドライ、及びサ
イドウォール拡散ツールは、クロス・コンタミネーショ
ンを防止するために、FeRAM処理モジュール用に専
用化され、かつ共用されないことが好ましい。FeRA
M構造の製作において使用されるだけであり、それ以外
の何でもないように、専用の装置でないことが好まし
く、かつコンタミネーション・テストは、ツールを検証
するために、共用できないエッチング・ツールを除き、
全てについて実行されることを推奨する。
【0069】次の誘電体堆積ツールの汚染を防止するた
めに、ウェーハの裏面を洗浄することが好ましい。ウェ
ット・エッチング処理は、ウェーハの裏面に存在する材
料(例えば、Si、SiO2、又はSi34のときに)
にある程度依存している。ウェット・エッチングPZT
は、典型的には、強いフッ酸、又はより好ましくは、H
2O+HF+HCl又はH2O+NH3F+HClのよう
な塩素及びフッ素のエッチング化学薬品による酸混合を
必要とする。この化学薬品は、ウェーハの裏面/縁部に
存在する恐れのある低レベルのIrを除去する。
【0070】次に好ましい工程は、SiH4+N2の好ま
しい処理PECVDによる薄いSi 34エッチ・ストッ
プ(約15〜50nm、より好ましくは、20nm)の
堆積である。
【0071】コンデンサ上に堆積可能とされる多くの可
能中間層誘電体(ILD)が存在する。FeRAM処理
モジュールの目的は、この選択を規制しないことであ
り、いずれが最良となっても処理フローが残りのデバイ
ス(例えば、ロジック・セクション)を使用できるよう
にする。しかしながら、PZTが使用されれば、これが
(PZT堆積後の)熱履歴を約600℃未満に制限す
る。そうでないときは、選択が相違することはない。
【0072】ILD堆積後の最大熱履歴が600℃未満
であれば、AlOX堆積後にアニールを実行することが
好ましい。(O2中でRTAにより60秒可能ならば、
600〜650℃)。
【0073】ILD堆積後に、サンプルがCMPにより
うまく平坦化される。再び、FeRAM処理モジュール
の目的は、その決定を規制しないが、いずれが最良とな
っても処理フローがこのようなロジック部分のように残
りのデバイスを使用できるようにする。この選択は、こ
れがビア・エッチング後の、及びビア・エッチング処理
そのものによる熱履歴に影響するのであれば、FeRA
Mプロセス・モジュールに影響する。2つの底部電極メ
タライゼーション戦略を説明する。2つの選択は、Al
メタライゼーションAlを有するメタライゼーションW
ビアを含み、また第2のものは、低K誘電体(低い熱履
歴)を有するCu二重ダマシン処理を含む。
【0074】Wビア及びAlメタライゼーションの例の
ときに、コンデンサの上のILDは600℃より大きい
熱履歴を許容することができる。
【0075】CMP平坦化の後、リソグラフィを実行し
てビアをパターン化する。次いで、4工程のエッチング
(反射防止膜エッチング、ILDエッチング、Si34
エッチング及びAlOXエッチング)を使用してこれら
ビアをエッチングする。AlOxエッチングを除き、こ
れは、スタンダード・ビアエッチング処理である。好ま
しいAlOXエッチング処理は、低圧(〜5mTor
r)で大きなDCバイアスにより、高密度プラズマを使
用する。AlOX及びSi34処理は、ウェーハに均一
かつ反復可能なエッチングを達成するように調整され
る。これは、必要とするオーバーエッチング量を最小化
する。このエッチングは、ハードマスク312/132
の上面で停止し、かつ部分的にハードマスク312/1
32にのみエッチングすることが重要である。エッチン
グ工程の終点検出は、好ましいことである。ビア・エッ
チング後、典型的には、溶剤洗浄にDIスピン/リンス
/乾燥が続くスタンダード・ビア洗浄処理を使用してウ
ェーハを洗浄する。
【0076】導体132及びライナー138の形成前の
工程222において、コンデンサ誘電体へのコンデンサ
・スタック処理(例えば、強誘電体材料のエッチング、
カプセル封止、及びコンタクトのエッチング)により導
入された損傷を除去し、かつこれらの電気的な機能特性
を改善するように、本発明のアニールを実行する。この
アニールがこの時点で実行されなかったときは(即ち、
PZTスタックがそのサイドウォールを露出させてアニ
ールを実行したときは)、各コンデンサの周辺近傍でP
bの損失に帰結する。PZT膜におけるPbの喪失は、
小型コンデンサ(面積比に対して大きな周辺を有するコ
ンデンサ)の電気的特性の劣化に帰結する。本発明のア
ニールは、好ましくは、レベル間誘電体を形成し、かつ
ビア・ホールをパターン化してエッチングした後であっ
て、導電材料によりビアを充填する前に、実行される。
アニールの条件は、Ar、N2又は真空のような不活性
環境において、30秒〜5分間(より好ましくは、約1
〜4分間、最も好ましくは、約2分間)、約400〜8
00℃(より好ましくは、約500〜700℃、最も好
ましくは、600℃)である。ILD熱履歴がこれを許
容しないときは、RTAにより、可能な限り多くの利用
可能な熱履歴を使用してアニールすることである。
【0077】次いで、Ar又はAr+H2を使用してビ
アのスパッタ洗浄後に、Ti上にTiNをスパッタ堆積
することにより、拡散バリア・ライナーを堆積する。
【0078】如何なるツールも専用化しないことが好ま
しい。しかし、コンタミネーション・テストがこれらの
ツールにより処理された清浄なウェーハ上のFeRAM
汚染を示したときは、汚染されたコンタクト・エッチン
グ後の全てのツールを専用にする必要があり、FeRA
M汚染を除去するためのウェーハ裏面洗浄は、処理フロ
ーにおけるこの時点で実行される必要がある。
【0079】使用されるのであれば、CVD Wを堆積
してビアを充填し、かつCMP又はエッチバックを使用
して上面からWを除去する。次いで、Alメタライゼー
ションを堆積する。これは、好ましくは、Ti上に位置
するTiNであって、このTiN上にあるAl(Cuド
ーピングされた)上にTiNのスタックからなる。次い
で、Alをパターン化し、かつエッチングする。継続す
る全ての処理は、FeRAM処理モジュールによって影
響されない。一般的に、このアニールは500℃未満で
あるので、特に、メタライゼーション処理工程におい
て、又は処理フローの終りで、フォーミング・ガスを使
用するときは、好ましいものとなる。
【0080】低K誘電体(低熱履歴)を有するCu二重
ダマシンの特殊例において、450℃の最大熱履歴は、
コンデンサの上にILDを堆積した後が好ましい。前述
したようにアニールを実行することは、コンデンサ・エ
ッチング損傷を除去するために、サイドウォールのバリ
ア堆積後が好ましい。
【0081】CMP後に、CVDによりSiCONの薄
いエッチ・ストップ(15nm)を堆積し、低Kの低熱
履歴IMDの堆積が続き、SiCONの他の薄い(15
nm)エッチ・ストップの堆積が続く。次いで、リソグ
ラフィを使用してビアをパターン化する。次いで、ビア
・エッチングは、下記の層、即ち反射防止膜(存在する
場合)、SiCON、IMD、SiCON、ILD、S
34、次にAlOXを通ってエッチングすべきであ
る。Si34及びAlOXの詳細は、既に説明した。次
に、レジストを除去し、(好ましくは、ウェット処理を
使用して)ビアを洗浄する。次のリソグラフィを使用し
てメタル特徴をパターン化する。次いで、メタル・エッ
チングは、好ましくは、反射防止膜(存在する場合)S
iCON及びIMDのみをエッチングし、これによって
下のSiCON層上で停止する。次いで、レジストを除
去し、メタル及びビアを洗浄する。ビア・エッチング洗
浄後に、又はN2若しくは好ましい不活性ガス中でメタ
ル・エッチング洗浄後に、利用可能な最大熱履歴により
アニールを実行することが好ましい。次の工程は、プラ
ズマ洗浄からなるメタル堆積であり、TaNxシード
層、Cuシード層の堆積、及びCuの電気メッキ又は堆
積が続き、ビアを充填する。CMPによりIMDの上か
らCu及びTaNを除去する。
【0082】如何なるツールも専用化しないことが好ま
しい。しかし、コンタミネーション・テストがこれらの
ツールにより処理された清浄なウェーハ上のFeRAM
汚染を示したときは、汚染されたコンタクト・エッチン
グ後の全てのツールを専用にする必要があり、FeRA
M汚染を除去するためのウェーハ裏面洗浄は、処理フロ
ーにおけるこの時点で実行される必要がある。
【0083】ハードマスク形成の実施例ハードマスク3
12は、好ましくは、多層からなる。好ましくは、ハー
ドマスク312は、3つの層、即ち上面層、中間層及び
底面層からなる。上面層は、好ましくは、ハードマスク
の上面層がこれらの層のエッチングに対してハードマス
クとして作用するように、上部電極310/308、誘
電体材料306及び底部電極材料304のエッチングに
耐える材料からなる。ハードマスクの中間層は、好まし
くは、拡散バリア層302のエッチングに耐える材料か
らなり、従ってハードマスクが完全にエッチングされて
いないときは、拡散バリア層302のエッチング中に、
拡散バリア層302及びハードマスクの上面層が拡散バ
リア層302のエッチング中にハードマスクとして作用
する。ハードマスクの上面層及び中間層は、拡散バリア
層302、電極材料304、306、上部電極308及
び310のパターン化及びエッチング中に、完全に除去
可能、又は不可能となる。しかしながら、ハードマスク
の底面層は、好ましくは、コンデンサ・スタックを形成
するためのエッチング後に、ある程度、そのまま残る。
ハードマスクの底面層は、好ましくは、上部電極に対し
て付加的な水素バリアとして作用し、後続のサイドウォ
ール拡散バリア・エッチバック処理及びコンタクト形成
処理中にエッチング・ストップ層として作用し、クロス
・コンタミネーションを最小化するように少なくとも部
分的に上部電極をカプセル封止し、かつミスアライメン
トが存在するときに、後続のコンタクト・エッチング中
に強誘電性材料を暴露させる危険を減少させる材料から
なる。ハードマスクの底面層は、好ましくは、TiAl
Nからなり、厚さが約10〜50nm、より好ましく
は、約20〜75nm、最も好ましくは、約50nm程
度である。ハードマスクの中間層は、TiAlOx、T
iAlON、SiO2、SiN、AlOX、Ir、IrO
2、Pt又はこれらの任意のスタック若しくは組み合わ
せからなり、かつその厚さは、拡散バリア層302の厚
さ及び組成と、拡散バリア層302に使用されたエッチ
ング液用に拡散バリア層302と比較される中間層間の
エッチング選択性とにより決定される。その厚さは、好
ましくは、約10〜50nm、より好ましくは、約20
〜40nm、最も好ましくは、約30nm程度である。
ハードマスクの上面層は、TiAlN、Al、AlN、
SiO2、SiN又はこれらの任意のスタック若しくは
組み合わせからなり、かつ10〜400nm、より好ま
しくは、約50〜300nm、最も好ましくは、約20
0nm程度の厚さである。加えて、パタータニング・フ
ォトレジスト層がハードマスク・スタック開口エッチン
グに十分耐える厚さがないときは、ハードマスクの上面
層、及びBARC層の下(形成されているとき)に、A
23のようなエッチングが困難な材料の薄い層を形成
してもよい。
【0084】無水素コンタクトエッチングの実施例 要するに、本発明のこの実施例は、強誘電体コンデンサ
の上部電極(図1の層128及び130)をドライブ線
(図1のドライブ線140)に接続するため、及び図1
のレベル169導体又は半導体を図1のレベル170メ
タライゼーションに接続するために使用されるコンタク
ト開口をエッチングするための新しいエッチング化学薬
品及び一組の処理条件である。本発明のこの実施例にお
いて、AlOX及び/又はAlNは、拡散バリアを形成
し、かつコンデンサ・スタック上にサイドウォールを形
成するように任意選択的にエッチバック可能とされる3
層のうちの2層として堆積される。これらのうちの他の
層は、好ましくは、窒化ケイ素からなる。本発明のこの
実施例の方法及びエッチング液は、上部電極に対するコ
ンタクトをエッチング(即ち、窒化ケイ素層AlOX
び/又はAlN層を通ってエッチング)するための無水
素エッチング液に関係する。加えて、このエッチング液
及びエッチング処理は、本発明のコンタクト・エッチン
グ後に、この層の少なくとも1部がそのまま残るよう
に、TiAlNに対して明確に選択的でなければならな
い。特に、本発明のこの実施例の(拡散バリア層及びB
ARC層をエッチングして相互接続を形成するための)
エッチング液は、CF4、C26、C48、C58、Cx
y、NF3又はそれらの任意の組み合わせからなり、か
つ好ましくは、高密度プラズマにかんれんされる。エッ
チング液は、付加的には、Ar、N2、O2、O3、C
O、N2O又はこれらの任意の組み合わせからなる。
【0085】要するに、本発明のこの実施例は、下記の
うちいくつか、又は全て、即ち、ハードマスク(好まし
くは、TiAlNからなる)エッチング選択性に好まし
いコンデンサ誘電体(好ましくは、PZT)を得るよう
にエッチング液を最適化すること、コンデンサ誘電体層
のエッチング速度を増加させるようにより高いバイアス
電力を使用すること、前記コンデンサ誘電体のエッチン
グ速度を増加するように前記エッチング液を塩素を含む
種を使用すること、前記コンデンサのエッチング速度を
低下させ得る前記ハードマスクのエッチング速度を減少
させるように前記エッチング液に酸素を含む種を使用す
ること(ただし、これはコンデンサ誘電体層のエッチン
グ速度を低下させることもあり得る)、及びコンデンサ
誘電体のエッチング速度を増加させるようにフッ素を含
む種を使用することに関連したコンデンサ誘電体をエッ
チングするエッチング液、及びエッチング処理を提供す
ることに関する。本発明の他の実施例において、エッチ
ング液中、又はその代替中に塩素を含む種(好ましく
は、Cl2)に関連して下記のうちの1以上のガス、即
ち、BCl3、NCl3、CCl4、CxCly、SiC
4、又はこれらの任意の組み合わせを使用することが
できる。同様に、エッチング液中、又はその代替中に酸
素を含む種(好ましくは、O2)に関連して下記のうち
の1以上のガス、即ち、O3、N2O、CO、CO2、又
はこれらの任意の組み合わせのを使用することができ
る。加えて、エッチング液中、又はその代替中にフッ素
を含む種(好ましくは、CF4)に関連して下記のうち
の1以上のガス、即ち、NF3、Cxx、又はこれらの
任意の組み合わせのを使用することができる。
【0086】標準的な半導体チップ上に安価な埋め込式
集積化FeRAMデバイスのために、可能な限り標準的
な半導体プロセス及び構造を利用する必要がある。現
在、下層のソース、ドレイン及びゲート構造に対するコ
ンタクトは、タングステン又はタングステン・シリサイ
ドのプラグ114のように、プラグを使用して形成され
ている。図4に示すように、タングステン・プラグによ
る共通した問題は、CVDタングステン処理中にタング
ステンに形成されるボイド404(ビアにおけるシーム
及びボイドを以下、まとめて「ボイド」と呼ぶことにす
る。)が存在することである。加えて、余分なタングス
テンの除去中にタングステン・プラグ材料114の表面
に、凹所406が形成される。この凹所は非平坦を発生
する。平坦な表面は、いくつかの理由からFeRAMコ
ンデンサ製作にとって望ましい。第1に、コンデンサ・
スタックを構成している粒子サイズ、結晶組織、膜の厚
さ及び組成は、平坦な面上に形成されたときは、より均
一になる。第2に、コンデンサの下層の構造のトポグラ
ラフィーにおける大きなばらつきは、導電性の拡散バリ
ア及び底部電極が効果的なバリアとして動作するのを停
止させる原因となり得る。これは、酸素をタングステン
に拡散させるためにタングステン・プラグの劣化に帰結
する。タングステンの酸化は、急速に発生し、比抵抗を
増加させる結果となり、タングステン・プラグの容積を
劇的に増加させる。
【0087】要するに、本発明の実施例は、標準的な半
導体デバイスの製作に使用される1以上の導電材料、又
は強誘電体メモリ・デバイスの製作に使用される若しく
は使用可能とされる材料を利用して、タングステンにお
けるボイド、及びタングステン・プラグの表面にある凹
所を充填する。本発明の説明図5、図6a〜図6c、図
7a〜図7d、図8a〜図8d及び図9a〜図9eの実
施例を参照して行う。
【0088】本発明の一実施例は、図1の導電性バリア
層122として示す導電性拡散バリア材料302の厚い
層を形成することに関する。図5には、3層、層50
2、504及び506からなる導電性バリア層508が
示されている。しかしながら、導電性バリア層508
は、1以上の材料層からなるものでもよい。導電性バリ
ア層508は、好ましくは、下記、即ち、TiAlN、
TiN、TaSiN、TiSiN、TaN、HfN、Z
rN、HfAlN、CrN、TaAlN、CrAlN、
又は以上で挙げた他の任意の拡散バリア材料の1以上の
層からなる。これらのバリア層の関する好ましい堆積技
術は、Ar+N2、又はAr+NH3を使用して反応性ス
パッタ堆積である。Arは、コスト及びパフォーマンス
に基づいてスパッタ堆積に使用される標準的な不活性ガ
スであることに注意すべきである。このアプリケーショ
ンに関してArの代わりに、他の不活性ガスを使用する
ことも可能である。使用される可能性のある他の堆積技
術は、CVD又はプラズマ・エンハンスドCVDを含
む。導電性バリア層508は1材料、好ましくは、Al
を30%〜60%有するTiAlNのみからなるとき
は、導電性バリア層508の厚さは、ボイド402の幅
wの約2倍又は2倍より僅かに小さい必要がある。タン
グステンのボイド402を覆うために必要とされる導電
性バリア層508の厚さは、プラグの直径により計る。
表2は、種々のシーム幅に関する好ましいある程度の厚
さを示す。
【0089】
【表2】
【0090】導電性バリア層508の形成後に、ボイド
402上にカップ(図示なし)を形成するためにこれを
研磨することができる。しかしながら、図2の処理フロ
ーを使用することができ、導電性バリア層508をその
まま残し、導電性バリア層508を残りのコンデンサ・
スタックと共にパターン化し、かつエッチングする。換
言すれば、導電性バリア層508は、図3a〜図3cに
示す層302のように処理される。
【0091】図6a〜図6cに示す他の実施例におい
て、ボイド402を充填するために(1以上の導電材料
からなるものでよい)層602を使用し、一方導電性の
バリア層として層604を使用する。この実施例におい
て、層602は、好ましくは、10〜100nm、より
好ましくは、約20〜40nmのCVD TiNからな
る。しかしながら、層602は、Ti、Ta、TaN、
TiAlN、TaSiN、TiSiN、HfN、Zr
N、HfAlN、CrN、TaAlN、CrAIN、W
Six、WSixy、TaSix、TiSix、Ir、P
t、Ru、Pd、Rd、又は他の任意の導電材料からな
るものでよく、またPVD若しくはCVDを使用して製
作されてもよい。加えて、層602がTiNからなると
きは、好ましくは、産業スタンダードCVD TiN処
理を使用して堆積される。更に、Tiを堆積し、次いで
2又はNH3プラズマを使用してタンタル層を窒化する
ことにより、又は窒素を含む雰囲気中で急速熱アニール
により製作される。層602がCVD TiAlNから
なるときは、CVD TiAlNがボイドを充填するこ
とになると共に、後続のアニール及び/又は強誘電体材
料堆積において使用される酸素及び鉛からプラグ114
の材料を保護するために十分なバリア特性を有するの
で、バリア層604を形成する必要性はない。これは、
層602が好都合なバリア特性(例えば、酸素及び/又
は鉛拡散に対して耐性)を有する他の材料からなってい
る場合もそうである。
【0092】バリア層604は、好ましくは、CVD又
はPVDにより、層602上に堆積される。バリア層6
04は、好ましくは、厚さ10〜100nm、より好ま
しくは、厚さ約10〜50nm、最も好ましくは、厚さ
約20〜30nm程度であり、好ましくは、TiAl
N、TaSiN、ZrN、HfN、又は図3a〜図3c
の層302若しくは図1の導電性バリア層122を形成
するために適当な材料からなる。
【0093】層602及びバリア層604の組み合わせ
は、好ましくは、図2の工程214においてエッチング
される。しかしながら、層602及びバリア層604
は、CMPを使用して研磨されてもよい。
【0094】図7a〜図7dの実施例に戻ると、ウェー
ハ全体上に導電材料702を堆積する。層702は、好
ましくは、図6a〜図6cの層602と同一の材料から
なり、かつ層602と同様にして堆積される。しかしな
がら、層702は、好ましくは、ボイド402及び凹所
406を充填することになるので、層602より少しば
かり厚さがある。従って、導電材料702は、好ましく
は、厚さ10〜150nm、より好ましくは、厚さ約1
0〜75nm、最も好ましくは、厚さ約50〜75nm
程度である。導電材料702は、エッチング処理214
中に残りのコンデンサ・スタックによりパターンかさ
れ、かつエッチングされてもよい。しかしながら、導電
性バリア層706の形成前に、導電材料702を平坦化
し、若しくはエッチバックすることが好ましい。研磨処
理は、好ましくは、誘電体層112に重なる全ての層導
電材料702を除去するように、しかしコンタクトの領
域に多量のデイッシングを発生させる程ではなく、実行
される。この研磨処理は、図7cの領域704を形成す
る。この研磨工程後に、洗浄工程を実行することができ
る。次に、図2に示す処理は、図3a〜図3cの層30
2及び図1の導電性バリア層122に等価な層706の
形成が続くことになる。層706は、好ましくは、5〜
75nm、より好ましくは、約10〜40nm、最も好
ましくは、20nmと30nmとの間で十分な厚さとな
り、層706がプラグ若しくは領域704に酸素及び/
又は鉛を取り込むのを防止するバリアとして作用する。
【0095】本発明の他の実施例を図8a〜図8dに示
す。シリコンを含む導電材料802の薄い層を形成す
る。シリコン層802は、好ましくは、ボイド402を
完全に充填するのに十分な厚さがあり、産業スタンダー
ドCVD技術を使用して堆積される。次に、タングステ
ン・プラグに重なるシリコン層802の部分に、タング
ステンの導電性プラグを形成するときは、シサイド領域
808、好ましくは、タングステン・シリサイドを作成
するために、ウェーハに熱処理を行う。熱処理は、好ま
しくは、窒素を含むプラズマ、好ましくは、プラズマに
関連させたN2又はNH3において、200と900℃と
の間の温度、より好ましくは、約250〜800℃、最
も好ましくは、400〜600℃で達成される。しかし
ながら、プラズマ処理んために低い温度を使用すること
もできる。代替として、温度が750℃を超えるとき
は、プラズマを回避することができる。その結果の構造
は、WSixyシリコン領域808、シリコン領域80
4、及び窒化ケイ素又は酸窒化シリコン領域806から
なる。しかしながら、この処理において、シリコン領域
804の一部はシリサイドであってもよい。厚さ及び材
料がバリア層604及び層706と等価なバリア層81
0が形成される。
【0096】図9a〜図9eに示す本発明の実施例を参
照すると、誘電体層112の下へ、好ましくは、約20
〜100nm、より好ましくは、約50〜100nm、
タングステンのプラグ114をエッチバックする。この
エッチバックの結果は、図9bに凹所901として示さ
れている。即ち、好ましくは、SF6反応性エッチング
のように、乾燥処理を使用して実行される。エッチング
液は、上面からと同時に、タングステンのボイド402
の底部からも材料を除去する傾向があるので、ウェット
・エッチング処理のみで凹所901を形成することは、
困難である。これは、所望のように誘電体層112の下
へWプラグを選択的に凹ませるよりもタングステンのプ
ラグ114全体を除去することがある。SF6エッチバ
ック処理には、ビア・ホールのサイドウォールに残って
いる恐れのある残留タングステンを除去するためにライ
ト・ウェット・エッチング工程を続けてもよい。これ
は、好ましくは、H22とH2Oとの希釈混合物、又は
希釈された他のスタンダード・タングステン・メタル・
エッチング液により達成される。
【0097】次に、CVD又はPVDを使用して、導電
性バリア材料902、好ましくは、TiAlN、TiS
iN、TaN,TiN、CrN、CrAlN、TaSi
N、ZrN、HfN、又は以上で列挙した他の導電性バ
リア材料を堆積する。導電性バリア材料902は、好ま
しくは、TiAlNからなり、Wプラグ・エッチバック
後に残る凹所901の深さより大きい、又は等しい厚さ
を有する。この層は、図1の導電性バリア層122及び
図3a〜図3cの層302と同一機能の作用をする。
【0098】図9bに示すように、次に、導電性バリア
層122を堆積し、バリア構造904が凹所901を充
填し続けるように導電性バリア材料902を平坦化す
る。ブランケット・エッチバック処理、好ましくは、C
MP処理を使用して平坦化を実行する。バリア構造90
4は、酸化及び/又は鉛拡散から下層のタングステンの
プラグ114を適切に保護するために十分な、好ましく
は、約20〜30nm以上の厚さを有する必要がある。
バリア構造904の上面のみを暴露し、誘電体層112
によりバリア構造904のサイドウォールを保護してい
るのが図9dから解る。平坦化に続いて、工程206に
説明されているように、底部電極材料906を堆積す
る。好ましくは、底部電極材料は、イリジュウム及び/
又は酸化イリジュウムからなる。
【0099】先行バリア形成洗浄処理 CMP処理後、レベル間の誘電体層112(好ましく
は、2酸化シリコンからなる)に対する導電性バリア層
(図1の導電性バリア層122、図3a〜図3cの層3
02、図7dの層706、図8dのバリア層810、図
9eの底部電極材料906として示す)の接着は、所望
したように強力になり得ない。加えて、タングステン・
プラグ面は、CMP処理後に十分に清浄でない恐れがあ
る。従って、プラグに対するバリア層の電気接続が有す
る潜在的な問題に加えて、下層の構造に接着した導電性
バリア層にも問題が存在し得る。本発明のこの実施例に
おいて、洗浄処理は、導電性バリア層の形成前に実行さ
れる。
【0100】本発明の一実施例において、不活性雰囲気
及び/又は還元ガス雰囲気を含む雰囲気を使用して、高
温度熱アニールを実行する。しかしながら、この熱アニ
ールは、真空で実行することができる。不活性雰囲気
は、好ましくは、Ar又はN2からなり、還元ガスは、
Ar及びH2、N2及びH2、CH4、又はH2からなる。
アニール温度は、約500〜1000℃、より好ましく
は、約600〜750℃である。このアニールは、レベ
ル間の誘電体層112、及びタングステン・プラグ11
4の表面から水蒸気及び炭化水素が脱離するのを助け、
接着の改善及びコンタクト抵抗の低下に帰結する。N2
及びH2(一般的に、形成ガスとして知られている)の
ような還元ガスの使用は、Wコンタクト・プラグ114
の表面に存在する恐れのある酸化タングステン(W
x)層をより効果的に減少させ、更に低いコンタクト
抵抗に帰結する。N2雰囲気におけるアニールは、タン
グステン・コンタクト・プラグの表面に導電性の窒化タ
ングステン(WN)を形成することができる。いくつか
の場合では、WN面の層が接着及び接触抵抗の更なる改
善が望れている。
【0101】他の実施例において、レベル間の誘電体及
びタングステン面は、不活性ガス及び/又は還元ガス雰
囲気に関連したプラズマを使用して、洗浄される。不活
性ガスは、好ましくは、Ar又はN2からなり、還元ガ
スは、Ar及びH2、N2及びH2、CH4、又はH2から
なる。これらの面の洗浄に加えて、プラズマは、レベル
間の誘電体及びタングステン・プラグの表面における接
着の荒れを付加的に改善する。加えて、レベル間の誘電
体面を還元して、強力な化学的接着を形成可能にする。
更に、N2中のプラズマ・アニールは、タングステン・
コンタクト・プラグの表面に導電性の窒化タングステン
層を形成する。以上で説明したように、これは、接着及
び接触抵抗に都合のよい作用をする。
【0102】他の実施例において、熱アニール洗浄工程
及びプラズマ支援の洗浄工程を実行する。以上で説明し
た種々の処理シーケンス及びガス雰囲気組み合わせを使
用することにより、これらの工程を実行することができ
る。
【0103】更なる実施例において、まず、低温酸素プ
ラズマ・アニール(好ましくは、400℃未満で)を実
行し、レベル間の誘電体層112から炭化水素を除去す
ることができる。次いで、この処理には、以上で述べた
ように、不活性(好ましくは、Ar又はN2)、又は還
元ガス雰囲気(好ましくは、Ar及びH2、N2及び
2、CH4、又はH2)における高温アニールが続く。
代替として、第1の低温酸素プラズマ処理工程には、以
上で述べたように、不活性又は還元ガス雰囲気における
プラズマ処理が続く。
【0104】ここでは、本発明の特定的な実施例を説明
したが、これらは、本発明の範囲を限定することを意図
するものではない。本発明の多くの実施例は、明細書の
方法論に鑑みて当該技術分野に習熟する者に明らかとな
る。本発明の範囲は、添付する請求の範囲によってのみ
限定される。
【0105】以上の説明に関して更に以下の項を開示す
る。
【0106】(1)誘電体層を通る開口に平坦な導電性
のビアを製作する方法であって、前記誘電体が上面と底
面と複数の側面を有する前記開口とを有する方法におい
て、前記誘電体層の上面かつ前記誘電体層における前記
開口に、第1の導電材料を堆積して、前記開口を前記導
電材料により実質的に充填する工程と、前記誘電体層上
に配置された前記第1の導電材料の一部を除去し、かつ
前記誘電体層における前記開口に配置された前記第1の
導電材料の一部を除去して、前記誘電体層の前記上面下
の前記第1の導電材料に凹所を設ける工程と、前記凹所
に第2の導電材料を堆積して前記誘電体層の前記上面と
ほぼ同一平面にほぼ平坦な上面を形成する工程と、前記
第2の導電材料上に第3の導電材料を形成して、前記第
2の導電材料及び前記第3の導電材料のうちの少なくと
も1つが前記第1の導電材料の酸化を防止する拡散障壁
として作用する工程とを含む方法。
【0107】(2)前記第2の導電材料は、前記拡散障
壁として作用し、かつ前記第3の導電材料は、強誘電性
コンデンサの底部電極を形成する第1項記載の方法。
【0108】(3)前記第2の導電材料は、TiAl
N、TiSiN、TaN、TiN、CrN、CrAl
N、TaSiN、ZrN、HfN、又はそれらの任意の
組み合わせ若しくはスタックからなる第2項記載の方
法。
【0109】(4)前記第3の導電材料は、イリジュウ
ム、酸化イリジュウム、又はそれらの任意の組み合わせ
若しくはスタックからなる第2項記載の方法。
【0110】(5)ほぼ平坦であり、かつ前記誘電体層
の上面とほぼ同様に広がる前記上面を有するように前記
凹所に第2の導電材料を形成する前記工程は、前記凹所
及び前記誘電体層の前記上面に前記第2の導電材料を形
成し、かつ前記第2の導電材料が前記凹所を充填する工
程と、前記第2の導電材料を研磨してその誘電体層の前
記上面に形成されている前記第2の導電材料の全てをほ
ぼ除去し、かつ前記凹所に形成された前記第2の導電材
料を平坦化する工程とを含む第1項記載の方法。
【0111】(6)前記第1の導電材料は、ドーピング
された多結晶シリコン、タングステン、チタン、窒化チ
タン、タンタル、窒化タンタル、銅、アルミニウム、又
はこれらの任意の組み合わせ若しくはスタックを含む第
1項記載の方法。
【0112】(7)前記第2の導電材料は、Ti、T
a、TaN、TiAlN、TaSiN、TiSiN、H
fN、ZrN、HfAlN、CrN、TaAlN、Cr
AlN、WSix、WSixy、TaSix、TiS
x、Ir、Pt、Ru、Pd、Rd、ドーピングされ
た多結晶シリコン、非ドーピングの多結晶シリコン、及
びこれらの任意の組み合わせ若しくはスタックからなる
グループから選択される第1項記載の方法。
【0113】(8)前記第3の導電材料は、TiAl
N、TiSiN、TaN、TiN、CrN、CrAl
N、TaSiN、ZrN、HfN、又はこれらの任意の
組み合わせ若しくはスタックからなるグループから選択
された導体からなる第1項記載の方法。
【0114】(9)誘電体層を通る開口に平坦な導電性
のビアを製作する方法であって、前記誘電体が上面と底
面と複数の側面を有する前記開口とを有し、前記方法
は、前記誘電体層の上面かつ前記誘電体層における前記
開口に、第1の導電材料(図7dの114)を堆積し
て、前記開口を前記導電材料により実質的に充填する工
程と、前記誘電体層上に配置された前記第1の導電材料
の一部を除去し、かつ前記誘電体層における前記開口に
配置された前記第1の導電材料の一部を除去して、前記
誘電体層の前記上面下の前記第1の導電材料に凹所(図
7dの406)を設ける工程と、前記凹所に第2の導電
材料(図7dの704)を堆積して前記誘電体層の前記
上面とほぼ同一平面にほぼ平坦な上面を形成する工程
と、前記第2の導電材料上に第3の導電材料(図7dの
302)を形成して、前記第2の導電材料及び前記第3
の導電材料のうちの少なくとも1つが前記第1の導電材
料の酸化を防止する拡散障壁として作用する工程とを含
む。
【0115】関連の特許/特許出願に対する相互参照表
以下の共通に譲受けた特許出願は、ここでは、引用によ
り繰り込まれる。特許番号 出願日 TIケース番号 60/171,759 12/22/1999 TI-29966 60/171,754 12/22/1999 TI-29968 60/171,794 12/22/1999 TI-29969 09/702,985 10/31/2000 TI-29970 60/171,772 12/22/1999 TI-30077 60/171,711 12/22/1999 TI-30137 09/392,988 09/09/1999 TI-26586 09/105,738 06/26/1998 TI-25297 90/238,211 01/27/1999 TI-26778
【図面の簡単な説明】
【図1】本発明の一実施例の方法を使用して製作された
部分製作デバイスの横断面図。
【図2】本発明の一実施例のプロセス・フローを示す流
れ図。
【図3】図2の方法を使用して製作された部分製作の強
誘電性メモリデバイスの横断面図。
【図4】導電性コンタクトによる問題を示す部分製作デ
バイスの一部の横断面図。
【図5】本発明の一実施例の改良コンタクトを示す部分
製作デバイスの一部の横断面図。
【図6】本発明の他の実施例の改良コンタクトを示す部
分製作デバイスの一部の横断面図。
【図7】本発明の他の実施例の改良コンタクトを示す部
分製作デバイスの一部の横断面図。
【図8】本発明の他の実施例の改良コンタクトを示す部
分製作デバイスの一部の横断面図。
【図9】本発明の他の実施例の改良コンタクトを示す部
分製作デバイスの一部の横断面図。
【符号の説明】
103 メモリ・デバイス 105 デバイス 112、134、160 誘電体層 116 ライナー/バリア層 114 プラグ 122、508 導電性バリア層 124 底部電極 128、130、706 層 144 導体 308、310 上部電極 402 ボイド 406 凹所 702 導電材料 704 領域
───────────────────────────────────────────────────── フロントページの続き (72)発明者 スチーブン アール、ギルバート アメリカ合衆国 カリフォルニア、サンフ ランシスコ、フレデリック ストリート 116、ナンバー 33 (72)発明者 スコット アール、サマーフェルト アメリカ合衆国 カリフォルニア、クパー チノ、パロ ビスタ ロード 10394 (72)発明者 ルイギ コロンボ アメリカ合衆国 テキサス、ダラス、イエ ロウ ロック トレイル 6144

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 誘電体層を通る開口に平坦な導電性のビ
    アを製作する方法であって、前記誘電体が上面と底面と
    複数の側面を有する前記開口とを有する方法において、 前記誘電体層の上面及び前記誘電体層における前記開口
    に、第1の導電材料を堆積して、前記開口を前記導電材
    料により実質的に充填する工程と、 前記誘電体層上に配置された前記第1の導電材料の一部
    を除去し、かつ前記誘電体層における前記開口に配置さ
    れた前記第1の導電材料の一部を除去して、前記誘電体
    層の前記上面下の前記第1の導電材料に凹所を設ける工
    程と、 前記凹所に第2の導電材料を堆積して前記誘電体層の前
    記上面とほぼ同一平面にほぼ平坦な上面を形成する工程
    と、 前記第2の導電材料上に第3の導電材料を形成して、前
    記第2の導電材料及び前記第3の導電材料のうちの少な
    くとも1つが前記第1の導電材料の酸化を防止する拡散
    障壁として作用する工程とを含む方法。
JP2000391447A 1999-12-22 2000-12-22 半導体デバイスの強誘電性コンデンサ下に位置する導電性プラグを平坦化する方法 Pending JP2001223342A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17175599P 1999-12-22 1999-12-22
US171755 2002-06-17

Publications (1)

Publication Number Publication Date
JP2001223342A true JP2001223342A (ja) 2001-08-17

Family

ID=22625006

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000391447A Pending JP2001223342A (ja) 1999-12-22 2000-12-22 半導体デバイスの強誘電性コンデンサ下に位置する導電性プラグを平坦化する方法

Country Status (2)

Country Link
US (1) US6635528B2 (ja)
JP (1) JP2001223342A (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003297956A (ja) * 2002-04-04 2003-10-17 Toshiba Corp 半導体記憶装置及びその製造方法
JP2004349474A (ja) * 2003-05-22 2004-12-09 Toshiba Corp 半導体装置とその製造方法
JP2005268801A (ja) * 2004-03-18 2005-09-29 Texas Instr Inc <Ti> 強誘電体キャパシタ水素障壁及びその製造方法
JP2005311297A (ja) * 2004-03-24 2005-11-04 Seiko Epson Corp 強誘電体メモリ素子及びその製造方法
JP2007019276A (ja) * 2005-07-07 2007-01-25 Oki Electric Ind Co Ltd 強誘電体素子の製造方法
JP2007534140A (ja) * 2003-07-22 2007-11-22 インフィネオン テクノロジーズ アクチエンゲゼルシャフト デバイス内にバリア層を有するコンタクトホールを形成する方法及び得られるデバイス
JP2008010551A (ja) * 2006-06-28 2008-01-17 Toshiba Corp 半導体装置およびその製造方法
JP2008060415A (ja) * 2006-08-31 2008-03-13 Toshiba Corp 半導体装置
JP2008159924A (ja) * 2006-12-25 2008-07-10 Fujitsu Ltd 半導体装置の製造方法
JP2009065018A (ja) * 2007-09-07 2009-03-26 Sony Corp 配線構造、記憶素子およびその製造方法並びに記憶装置
JP2011082556A (ja) * 2010-12-20 2011-04-21 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
CN104025294A (zh) * 2011-10-07 2014-09-03 英特尔公司 金属互连当中dram电容器的形成
JP2021009865A (ja) * 2019-06-28 2021-01-28 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
WO2022084795A1 (ja) * 2020-10-20 2022-04-28 株式会社半導体エネルギー研究所 強誘電体デバイス、および半導体装置
WO2022084801A1 (ja) * 2020-10-20 2022-04-28 株式会社半導体エネルギー研究所 強誘電体デバイス、半導体装置

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6725083B1 (en) * 1999-02-02 2004-04-20 Senorx, Inc. Tissue site markers for in VIVO imaging
TW490756B (en) * 1999-08-31 2002-06-11 Hitachi Ltd Method for mass production of semiconductor integrated circuit device and manufacturing method of electronic components
US20010030169A1 (en) * 2000-04-13 2001-10-18 Hideo Kitagawa Method of etching organic film and method of producing element
US6432811B1 (en) * 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US6794705B2 (en) * 2000-12-28 2004-09-21 Infineon Technologies Ag Multi-layer Pt electrode for DRAM and FRAM with high K dielectric materials
JP3771801B2 (ja) * 2001-01-16 2006-04-26 株式会社ルネサステクノロジ 半導体装置
US6900498B2 (en) * 2001-05-08 2005-05-31 Advanced Technology Materials, Inc. Barrier structures for integration of high K oxides with Cu and Al electrodes
JP2003086669A (ja) * 2001-09-10 2003-03-20 Mitsubishi Electric Corp 電子装置およびその製造方法
US6781184B2 (en) * 2001-11-29 2004-08-24 Symetrix Corporation Barrier layers for protecting metal oxides from hydrogen degradation
KR100428789B1 (ko) * 2001-12-05 2004-04-28 삼성전자주식회사 금속/절연막/금속 캐퍼시터 구조를 가지는 반도체 장치 및그 형성 방법
US6767750B2 (en) 2001-12-31 2004-07-27 Texas Instruments Incorporated Detection of AIOx ears for process control in FeRAM processing
US20030214042A1 (en) * 2002-02-01 2003-11-20 Seiko Epson Corporation Circuit substrate, electro-optical device and electronic appliances
JP2004146772A (ja) * 2002-03-18 2004-05-20 Fujitsu Ltd 半導体装置及びその製造方法
US6653236B2 (en) * 2002-03-29 2003-11-25 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates; and semiconductor constructions
US7341947B2 (en) * 2002-03-29 2008-03-11 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates
US7094704B2 (en) * 2002-05-09 2006-08-22 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
US6835649B2 (en) * 2002-06-03 2004-12-28 Taiwan Semiconductor Manufacturing Co., Ltd Tungsten plug with conductor capping layer
JP3833580B2 (ja) * 2002-06-20 2006-10-11 富士通株式会社 半導体装置の製造方法
JP4131648B2 (ja) * 2002-07-10 2008-08-13 株式会社東芝 半導体装置および半導体装置の製造方法
US6969685B1 (en) * 2002-09-18 2005-11-29 Lam Research Corporation Etching a dielectric layer in an integrated circuit structure having a metal hard mask layer
JP2004128395A (ja) * 2002-10-07 2004-04-22 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
JP2004146559A (ja) * 2002-10-24 2004-05-20 Elpida Memory Inc 容量素子の製造方法
EP1420451A3 (en) * 2002-11-13 2007-10-03 Matsushita Electric Industrial Co., Ltd. Semiconductor non-volatile memory device and method for fabricating the same
US6876021B2 (en) 2002-11-25 2005-04-05 Texas Instruments Incorporated Use of amorphous aluminum oxide on a capacitor sidewall for use as a hydrogen barrier
US6785119B2 (en) * 2002-11-29 2004-08-31 Infineon Technologies Ag Ferroelectric capacitor and process for its manufacture
US20040157426A1 (en) * 2003-02-07 2004-08-12 Luc Ouellet Fabrication of advanced silicon-based MEMS devices
US6933549B2 (en) * 2003-02-28 2005-08-23 Infineon Technologies Aktiengesellschaft Barrier material
US20040175585A1 (en) * 2003-03-05 2004-09-09 Qin Zou Barium strontium titanate containing multilayer structures on metal foils
US7250349B2 (en) * 2003-03-06 2007-07-31 Texas Instruments Incorporated Method for forming ferroelectric memory capacitor
US6936544B2 (en) * 2003-03-11 2005-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of removing metal etching residues following a metal etchback process to improve a CMP process
US6998275B2 (en) 2003-04-09 2006-02-14 Texas Instruments Incorporated Hydrogen-less CVD TiN process for FeRAM VIA0 barrier application
WO2004093193A1 (ja) * 2003-04-15 2004-10-28 Fujitsu Limited 半導体装置の製造方法
US6841396B2 (en) 2003-05-19 2005-01-11 Texas Instruments Incorporated VIA0 etch process for FRAM integration
KR100500169B1 (ko) * 2003-07-02 2005-07-07 주식회사 디엠에스 도킹형 기판 이송 및 처리 시스템과, 그를 이용한 이송 및 처리 방법
US6984857B2 (en) * 2003-07-16 2006-01-10 Texas Instruments Incorporated Hydrogen barrier for protecting ferroelectric capacitors in a semiconductor device and methods for fabricating the same
US6839220B1 (en) * 2003-07-18 2005-01-04 Infineon Technologies Ag Multi-layer barrier allowing recovery anneal for ferroelectric capacitors
US7019352B2 (en) * 2003-08-07 2006-03-28 Texas Instruments Incorporated Low silicon-hydrogen sin layer to inhibit hydrogen related degradation in semiconductor devices having ferroelectric components
US20050070030A1 (en) * 2003-09-26 2005-03-31 Stefan Gernhardt Device and method for forming a contact to a top electrode in ferroelectric capacitor devices
US7061035B2 (en) * 2003-10-01 2006-06-13 Infineon Technologies Ag Self-aligned V0-contact for cell size reduction
US7001821B2 (en) * 2003-11-10 2006-02-21 Texas Instruments Incorporated Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device
JP4659355B2 (ja) * 2003-12-11 2011-03-30 富士通セミコンダクター株式会社 半導体装置およびその製造方法
JP4522088B2 (ja) * 2003-12-22 2010-08-11 富士通セミコンダクター株式会社 半導体装置の製造方法
US20050136666A1 (en) * 2003-12-23 2005-06-23 Tokyo Electron Limited Method and apparatus for etching an organic layer
US20050233563A1 (en) * 2004-04-15 2005-10-20 Texas Instruments Incorporated Recess reduction for leakage improvement in high density capacitors
US7153706B2 (en) * 2004-04-21 2006-12-26 Texas Instruments Incorporated Ferroelectric capacitor having a substantially planar dielectric layer and a method of manufacture therefor
JP3906215B2 (ja) * 2004-05-18 2007-04-18 株式会社東芝 半導体装置
JP4904671B2 (ja) * 2004-06-24 2012-03-28 日本電気株式会社 半導体装置、その製造方法及び電子機器
US7180141B2 (en) * 2004-12-03 2007-02-20 Texas Instruments Incorporated Ferroelectric capacitor with parallel resistance for ferroelectric memory
GB0427900D0 (en) * 2004-12-21 2005-01-19 Koninkl Philips Electronics Nv Semiconductor device with high dielectric constant gate insulator and method of manufacture
KR100640525B1 (ko) * 2004-12-29 2006-10-31 동부일렉트로닉스 주식회사 반도체 소자의 금속 라인 형성 방법
JP4785030B2 (ja) * 2005-01-18 2011-10-05 富士通セミコンダクター株式会社 半導体装置とその製造方法
KR100663356B1 (ko) * 2005-02-14 2007-01-02 삼성전자주식회사 부분적 화학기계적 연마공정을 갖는 강유전체 메모리 소자제조방법들
JP4422644B2 (ja) * 2005-03-30 2010-02-24 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
CN101189721B (zh) * 2005-06-02 2015-04-01 富士通半导体股份有限公司 半导体装置及其制造方法
US7262095B1 (en) * 2005-06-07 2007-08-28 Spansion Llc System and method for reducing process-induced charging
JP5010121B2 (ja) * 2005-08-17 2012-08-29 セイコーエプソン株式会社 半導体装置の製造方法
JP2007081378A (ja) * 2005-08-17 2007-03-29 Fujitsu Ltd 半導体装置とその製造方法、および薄膜装置
JP5104317B2 (ja) * 2006-01-18 2012-12-19 富士通セミコンダクター株式会社 半導体装置、半導体ウエハ構造、及び半導体ウエハ構造の製造方法
JP4797717B2 (ja) * 2006-03-14 2011-10-19 セイコーエプソン株式会社 強誘電体メモリ装置、強誘電体メモリ装置の製造方法
JP2007266429A (ja) * 2006-03-29 2007-10-11 Fujitsu Ltd 半導体装置及びその製造方法
US20070290347A1 (en) * 2006-06-19 2007-12-20 Texas Instruments Incorporated Semiconductive device having resist poison aluminum oxide barrier and method of manufacture
JPWO2008149402A1 (ja) * 2007-06-01 2010-08-19 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100824637B1 (ko) * 2007-06-26 2008-04-25 주식회사 동부하이텍 Nor 플래쉬 디바이스 및 그의 제조 방법
KR20100078150A (ko) * 2008-12-30 2010-07-08 주식회사 동부하이텍 반도체 소자 및 그의 제조 방법
US8440508B2 (en) 2009-03-06 2013-05-14 Texas Instruments Incorporated Hydrogen barrier for ferroelectric capacitors
US8448103B2 (en) * 2011-02-01 2013-05-21 International Business Machines Corporation Manufacturing features of different depth by placement of vias
US8945403B2 (en) 2012-04-27 2015-02-03 Micron Technology, Inc. Material test structure
US8976565B2 (en) * 2012-12-04 2015-03-10 Intermolecular, Inc. Selector device using low leakage dielectric MIMCAP diode
US9006808B2 (en) 2013-09-09 2015-04-14 Cypress Semiconductor Corporation Eliminating shorting between ferroelectric capacitors and metal contacts during ferroelectric random access memory fabrication
US9263577B2 (en) 2014-04-24 2016-02-16 Micron Technology, Inc. Ferroelectric field effect transistors, pluralities of ferroelectric field effect transistors arrayed in row lines and column lines, and methods of forming a plurality of ferroelectric field effect transistors
US9472560B2 (en) 2014-06-16 2016-10-18 Micron Technology, Inc. Memory cell and an array of memory cells
US9159829B1 (en) 2014-10-07 2015-10-13 Micron Technology, Inc. Recessed transistors containing ferroelectric material
US9305929B1 (en) 2015-02-17 2016-04-05 Micron Technology, Inc. Memory cells
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US10134982B2 (en) 2015-07-24 2018-11-20 Micron Technology, Inc. Array of cross point memory cells
US9853211B2 (en) 2015-07-24 2017-12-26 Micron Technology, Inc. Array of cross point memory cells individually comprising a select device and a programmable device
US10396145B2 (en) 2017-01-12 2019-08-27 Micron Technology, Inc. Memory cells comprising ferroelectric material and including current leakage paths having different total resistances
KR20180110797A (ko) * 2017-03-30 2018-10-11 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US11170834B2 (en) 2019-07-10 2021-11-09 Micron Technology, Inc. Memory cells and methods of forming a capacitor including current leakage paths having different total resistances
US10978549B2 (en) * 2019-09-05 2021-04-13 Nanya Technology Corporation Semiconductor device and method for fabricating the same
US20210408117A1 (en) * 2020-06-29 2021-12-30 Taiwan Semiconductor Manufacturing Company Limited Multi-gate selector switches for memory cells and methods of forming the same

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030847A (en) * 1993-04-02 2000-02-29 Micron Technology, Inc. Method for forming a storage cell capacitor compatible with high dielectric constant materials
US5392189A (en) * 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
US5504041A (en) * 1994-08-01 1996-04-02 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant materials
US5739563A (en) * 1995-03-15 1998-04-14 Kabushiki Kaisha Toshiba Ferroelectric type semiconductor device having a barium titanate type dielectric film and method for manufacturing the same
US5825609A (en) * 1996-04-23 1998-10-20 International Business Machines Corporation Compound electrode stack capacitor
KR100230418B1 (ko) * 1997-04-17 1999-11-15 윤종용 백금족 금속층 형성방법 및 이를 이용한 커패시터 제조방법
US6150691A (en) * 1997-12-19 2000-11-21 Micron Technology, Inc. Spacer patterned, high dielectric constant capacitor
KR100290895B1 (ko) * 1998-06-30 2001-07-12 김영환 반도체 소자의 커패시터 구조 및 이의 제조 방법
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003297956A (ja) * 2002-04-04 2003-10-17 Toshiba Corp 半導体記憶装置及びその製造方法
US7166889B2 (en) 2002-04-04 2007-01-23 Kabushiki Kaisha Toshiba Semiconductor memory device having a gate electrode and a method of manufacturing thereof
JP2004349474A (ja) * 2003-05-22 2004-12-09 Toshiba Corp 半導体装置とその製造方法
JP2007534140A (ja) * 2003-07-22 2007-11-22 インフィネオン テクノロジーズ アクチエンゲゼルシャフト デバイス内にバリア層を有するコンタクトホールを形成する方法及び得られるデバイス
JP2005268801A (ja) * 2004-03-18 2005-09-29 Texas Instr Inc <Ti> 強誘電体キャパシタ水素障壁及びその製造方法
JP2005311297A (ja) * 2004-03-24 2005-11-04 Seiko Epson Corp 強誘電体メモリ素子及びその製造方法
US8067250B2 (en) 2004-03-24 2011-11-29 Seiko Epson Corporation Ferroelectric memory device and method of manufacturing the same
US8076706B2 (en) 2004-03-24 2011-12-13 Seiko Epson Corporation Ferroelectric memory device and method of manufacturing the same
JP2007019276A (ja) * 2005-07-07 2007-01-25 Oki Electric Ind Co Ltd 強誘電体素子の製造方法
JP4621081B2 (ja) * 2005-07-07 2011-01-26 Okiセミコンダクタ株式会社 半導体装置の製造方法
JP2008010551A (ja) * 2006-06-28 2008-01-17 Toshiba Corp 半導体装置およびその製造方法
JP2008060415A (ja) * 2006-08-31 2008-03-13 Toshiba Corp 半導体装置
JP2008159924A (ja) * 2006-12-25 2008-07-10 Fujitsu Ltd 半導体装置の製造方法
JP2009065018A (ja) * 2007-09-07 2009-03-26 Sony Corp 配線構造、記憶素子およびその製造方法並びに記憶装置
JP2011082556A (ja) * 2010-12-20 2011-04-21 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
CN104025294A (zh) * 2011-10-07 2014-09-03 英特尔公司 金属互连当中dram电容器的形成
JP2021009865A (ja) * 2019-06-28 2021-01-28 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP7236944B2 (ja) 2019-06-28 2023-03-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
WO2022084795A1 (ja) * 2020-10-20 2022-04-28 株式会社半導体エネルギー研究所 強誘電体デバイス、および半導体装置
WO2022084801A1 (ja) * 2020-10-20 2022-04-28 株式会社半導体エネルギー研究所 強誘電体デバイス、半導体装置

Also Published As

Publication number Publication date
US20010044205A1 (en) 2001-11-22
US6635528B2 (en) 2003-10-21

Similar Documents

Publication Publication Date Title
US6635528B2 (en) Method of planarizing a conductive plug situated under a ferroelectric capacitor
US6534809B2 (en) Hardmask designs for dry etching FeRAM capacitor stacks
US6548343B1 (en) Method of fabricating a ferroelectric memory cell
US6576546B2 (en) Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
US6492222B1 (en) Method of dry etching PZT capacitor stack to form high-density ferroelectric memory devices
US6528386B1 (en) Protection of tungsten alignment mark for FeRAM processing
US6485988B2 (en) Hydrogen-free contact etch for ferroelectric capacitor formation
US6635498B2 (en) Method of patterning a FeRAM capacitor with a sidewall during bottom electrode etch
US6734477B2 (en) Fabricating an embedded ferroelectric memory cell
US6500678B1 (en) Methods of preventing reduction of IrOx during PZT formation by metalorganic chemical vapor deposition or other processing
US7029925B2 (en) FeRAM capacitor stack etch
US6656748B2 (en) FeRAM capacitor post stack etch clean/repair
US6828161B2 (en) Method of forming an FeRAM having a multi-layer hard mask and patterning thereof
US6773930B2 (en) Method of forming an FeRAM capacitor having a bottom electrode diffusion barrier
US6713342B2 (en) FeRAM sidewall diffusion barrier etch
US6596547B2 (en) Methods of preventing reduction of IrOx during PZT formation by metalorganic chemical vapor deposition or other processing
US6635497B2 (en) Methods of preventing reduction of IrOx during PZT formation by metalorganic chemical vapor deposition or other processing
JP2001210798A (ja) コンデンサ構造の保護のための絶縁性と導電性の障壁の使用
US6767750B2 (en) Detection of AIOx ears for process control in FeRAM processing
US6686236B2 (en) Methods of preventing reduction of IrOx during PZT formation by metalorganic chemical vapor deposition or other processing
US6528328B1 (en) Methods of preventing reduction of irox during PZT formation by metalorganic chemical vapor deposition or other processing
US7153706B2 (en) Ferroelectric capacitor having a substantially planar dielectric layer and a method of manufacture therefor
US20030040162A1 (en) Method for fabricating a capacitor
US6764896B2 (en) Semiconductor manufacturing method including patterning a capacitor lower electrode by chemical etching

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071121

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100422

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101217