JP2000508839A - パターン発生における近接効果のランタイム補正の方法および装置 - Google Patents

パターン発生における近接効果のランタイム補正の方法および装置

Info

Publication number
JP2000508839A
JP2000508839A JP10532053A JP53205398A JP2000508839A JP 2000508839 A JP2000508839 A JP 2000508839A JP 10532053 A JP10532053 A JP 10532053A JP 53205398 A JP53205398 A JP 53205398A JP 2000508839 A JP2000508839 A JP 2000508839A
Authority
JP
Japan
Prior art keywords
pattern
calculating
correction
dose
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10532053A
Other languages
English (en)
Other versions
JP4364310B2 (ja
Inventor
エル. ヴェネクラーセン,リー
インネス,ロバート
バビン,セルゲイ
トロスト,デイヴィッド
ヴァーナー,ジェフリー
Original Assignee
イーテック システムズ,インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by イーテック システムズ,インコーポレーテッド filed Critical イーテック システムズ,インコーポレーテッド
Publication of JP2000508839A publication Critical patent/JP2000508839A/ja
Application granted granted Critical
Publication of JP4364310B2 publication Critical patent/JP4364310B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Electron Beam Exposure (AREA)

Abstract

(57)【要約】 ラスタ走査方法を用いて基板をパターンに露光する電子ビームパターン発生システム。このシステムはパターンのラスタ表示を複数の画素線量露光レベルとして蓄積する。このシステムはこれら画素線量露光レベルを一つ以上の近接効果について評価し、線量露光レベルや画素位置の補正値を算出する。このシステムは必要に応じて中間結果および最終結果の算出および蓄積のための装置を含む。それら結果の算出に伴って、補正値を露光線量変調器に供給し、そこでパターンの形成に適用する。電子散乱および電子加熱に起因する遠域および近域近接効果に対する補正値も全体的熱膨張に起因する近接効果に対する補正値も算出され、露光進行中に供給され、補正ずみのパターンで露光が行われる。

Description

【発明の詳細な説明】 パターン発生における近接効果のランタイム補正の方法および装置背景 発明の分野 本発明は、概括的にはパターンを基板に形成するためのシステムに使用す るパターン発生装置とその方法に関し、詳細には発生したパターンをランタイム 中に補正するラスタ走査描画ストラテジー利用型の、例えば、半導体工業に使用 される種類の電子ビームパターン発生システムおよびその種システムの使用に関 する。従来技術の説明 すべての隣接点の露光による、描像されたパターン内の点の露光に対する すべての効果は、近接効果と呼ばれている。例えば、電子の光学的露光の限定さ れた解像度または固有のレジスト解像度、レジスト層内の電子散乱およびレジス ト層が置かれている基板からの後方散乱は、特定の点へ移送された露光量の分布 のにじみの原因となる。従って、特定の点へ移送されるように設計された露光量 の一部は、実際に、隣接点へ移送される。 さらに、特定の点におけるレジスト層の露光は、隣接点へ外方へ拡散する レジストの局部的加熱を発生する。この結果、これらの隣接、近接点におけるレ ジストの感度が変化する。 レジストが形成されている基板の熱膨張は、基板の不均一な熱膨張により 隣接点に面の位置誤差を生ずる、ほかの局部的加熱効果である。 これらの近接効果はすべて、特定の点に実際的または影響のある露光量の 誤差を発生する。散乱効果と熱膨張は、電子がレジストに影響を与える点の位置 を変えることにより、実際の露光量の誤差の原因となる。レジスト加熱は、レジ ストの電子に対する感度を変えることにより、影響のある露光量の誤差を発生す る。 散乱効果が、隣接地点へ移送される全露光量にだけ依存する場合、熱膨張 とレジスト加熱効果もまた、露光移動の速度と時間により影響を受ける。従って 、多様なメカニズムにより、近接効果は、大きさ、形状またはリソグラフィ面の 位置の望ましくない変化を発生する。 これらの誤差の補正は、より精度と正確度を必要とする、次第に複雑なパ ターンのより小さい幾何学的形状への傾向により増大した電子ビームリソグラフ ィの重要な面である。幾つかの部分的解決策が試みられ、及びまたは提案された が、これらは前述の効果のすべてに対し補正を行わず、露光のランタイム中に補 正の計算と実行の両方を行わない。 この様な一つの部分的解決策は、米国特許No.5,294,800、1994年3月 15日発行、チュング他の主題であり、電子散乱効果の計算補正を目的としてい る。”集積回路チップ設計...は、それぞれは同数の形状の頂点の回りに含ん でいる区画へ分割される(分配と呼ばれる)。...形状は前方と後方の散乱に ついて近接補正される。...このプロセスは最後の区画が処理されるまで各区 画について反復される。”電子散乱効果の補正だけの他に、改善された計算方法 が、画像後処理段階の間使用され、従って、露光ランタイムの間には行われない 。 他の部分的解決策は、米国特許No.8,051,598、1991年9月24日発行 、アシュトン他、ライク チュング他は、電子散乱効果だけについての補正を目 的としており、データの後処理の間行われる。アシュトン他は、”高電圧及びま たは非常に密なパターンに適している電子ビームリソグラフィ用の近接効果補正 方法は、後方散乱と前方散乱の補正に適用される”としている。 エム パリックにより提案された他の方法、ジャーナル オブ アプライ ド フィジックス、50(6)、1979年6月4371,4378,4383 、”電子ビームリソグラフィの近接効果の補正、(I.理論)(II.実行)(II I.実験)は、パターンを小片に分割し、均一なレジスト量を確実にするため、 各小片の露光を修正しているが、この方法は、すべての近接効果を考慮せず、ラ ンタイム計算と両立しない。 オット他により提案されたさらに他の方法、”ABELE−150に付い ての近接補正”、ジャーナル オブ バキュウム サイエンス テクノロジーB 6巻(1)1988年1月/2月、443頁は、面の縁の露光量をパターン領 域の残部から分離して制御することにより、散乱近接効果を補正する方法を述べ ている。しかし、パリックのように、これはすべての近接効果を考慮せず、ラン タイム計算と両立しない。 これらおよび多くの他の方法は、ベクトルデータフォーマットのデータに より補正を計算している。ベクトルフォーマットのデータは、近く隣接部が容易 に見つけられるように、必ずしも構成されていないので、補正を決定するに必要 な計算は、非常に複雑である。その上、ベクトルデータは加熱補正を行うのに必 要である、連続露光とタイミングに関する特定の情報をめったに含んでいない。 補正がベクトルデータベースから計算される場合、データは最初に、補正 が計算される前に空間的および一時的に分類されなければならない。データベー スが離散した面を定義するので、計算はこの様なパターン面をさらに小さい構成 要素へ分解し、次に、補正量を各構成要素に割り付けなければならない。散乱の 範囲が増大し、面が小さくなるにつれて、各面の補正量の計算は、非常に多数の 隣接面からの寄与を考慮しなければならず、データファイル内の各面に付いての 多くの分類と幾何学的操作を必要とする。従って、近接補正の前処理は遅く、ベ クトルデータ表示を露光またはランタイムの間で近接補正することは、現実的で ない。 露光に関するデータの交互表示による電子ビームリソグラフィ装置は、米 国特許No.3,900,737、1975年8月19日発行、コリアー他の主題である。開 示された露光装置は、テレビジョンによく似た、周期的ラスタ走査によりパター ンを露光する。このような装置は、各構成画素の露光が指定される場合、所定の 非常に細分化された格子に露光されるパターンを表す”ラスタ化された”または ”画素化された”データフォーマットへ、ベクトルデータ表示を変換する。全パ ターンデータベースをラスタ走査フォーマットで格納するには、非常に多くのメ モリーが実用的であることが必要であり、従って、これらの装置は、さらにコン パクトなベクトルデータフォーマットで始動し、露光中にランタイムにおいてラ スタ化されたデータを発生する。 ベクトルデータから形成されたラスタフォーマットは、空間的に、一時的 に分類される画素へ分解され、これにより、近接補正の計算を単純化し、その速 度を速める。レジスト加熱補正は、その補正が画素露光の位置と時間との正常な 関係を必要とするので、ラスタ化されたフォーマットを使用する。しかし、ラス タ化されたデータは露光中にのみ使用できるので、ラスタ化されたデータからの 近接効果補正の計算は、ランタイムの間に行われなければならない。 従来技術の一つの方法、”ゴースト”法は、本質的にランタイム補正法の 一例である。(参照、米国特許No.4,4463,265、1984年7月3 1日、オーエン他)”ゴースト法”は二つの別個の露光行程を使用しており、そ の一つは焦点合わせのビームによるパターン露光であり、第二は散乱範囲と同じ 大きさの焦点外れビームによる補足パターンの露光である。かなりのリアルタイ ム計算は必要ない。しかし、”ゴースト法”は露光時間が2倍である。その上、 それは露光のコントラストを減少することを示しており、これは処理量と面の大 きさの制御に悪影響を与える。最後に、”ゴースト法”は、小さい範囲の散乱と 解像度効果を補正することが出来ない。従って、”ゴースト法”は、最適な近接 補正法ではない。 従って、ランタイム中のすべての電子散乱近接効果の補正を計算する方法 を有することが望ましい。レジスト加熱および基板膨張さらに電子散乱の近接効 果補正を計算する方法も望まれる。概要 本発明は、パターンへの近接効果の補正を露光ランタイム中に行うことが 出来る、ラスタ走査機能を採用しているパターン発生装置、及びその方法を意図 している。上述の実施態様は電子ビーム装置を意図しているが、本発明は、他の 荷電微小ビーム装置にも適用する。 本発明は、ベクトル化されたパターン表示をラスタ化されたパターン表示 へ変換し、この表示を画素化された露光レベルデータとして一時的に格納する装 置を提供することを目的としている。次に、データは、格納装置から引き出され 、近接効果補正が行われる。 長期散乱と加熱効果の補正のために、画素化された露光レベルデータが、 離散したセルへ分割された粗い範囲マップを計算するために使用される。各セル の平均露光された領域、またはパターン範囲が計算され、次に、長期散乱と加熱 効果量の乗数を決定するため、事前に計算された合成核により合成される。さら に、粗い範囲マップが、全体的熱膨張マップを計算するため有利に活用される。 全体的熱膨張マップは、適用量の露光と位置の履歴を含んでおり、これらにより 、補正が、加熱による大きさと形状の変化を計算することにより、適用量の露光 と位置のデータについて行われる。この様にして、熱膨張による近接効果の補正 が計算される。 短期近接効果補正は、最も近く隣接した画素の露光量を評価することによ り、各画素のパターンの前後関係を最初に識別して、行われる。次に、識別され たパターンの前後関係は、ルックアップテーブル内に見出され、短期適用量乗数 が各画素について引き出される。これらの適用量乗数は、事前決定されたパター ンの縁における、各セルについて事前に計算された適用量の修正であり、散乱と 加熱の合成核によりパターン範囲を合成することにより計算される。長期適用量 乗数と短期適用量乗数は、各画素に付いての適用量変調データを形成するため事 前に計算された露光ルックアップテーブルにより、組み合わされる。 行われた各タイプの補正について、装置は、計算を行い、補正結果の一時 的格納を行うように設定されている。各一時的格納装置は、全パターンと補正の 挿入と引き出しのデータ経路の一部、及びまたは中間結果を格納する。 露光量変調器装置が設定されており、これは長期と短期の適用量変調デー タを引き出し、組み合わせて、画素の露光の直前にパターンの各画素について補 正された適用量を決定する。全体的熱膨張マップが形成され、各画素の位置の補 正を決定するために使用される。次に、各画素の補正された露光量と位置のデー タは、補正位置における各画素の露光を補正露光量で指示するために設けられた 露光装置へ送られる。図面の簡単な説明 図1は、ビームを規則的格子上に位置付けるための、周期的ラスタ走査お よびステージ移動によるラスタ走査描画ストラテジーの単純化した描写図である 。 図2は、本発明の実施態様におけるラスタ化されたパターンデータから引 き出された粗い範囲マップの描写略図である。 図3は、本発明の実施態様に使用された、ラスタ化されたデータベースか らの長期散乱と加熱近接効果のランタイム補正するため、中間近接効果補正出力 を発生するに必要な段階を示す構成図である。 図4は、本発明の実施態様の、縁と角などのパターン面を認識するために 、ラスタ化されたデータベースからの最も近く隣接した画素露光の使用を示す簡 略図である。 図5は、本発明の実施態様に使用された、ラスタ化されたデータベースか らの短期近接効果のランタイム補正するため、中間近接効果補正出力を発生する に必要な段階を示す構成図である。 図6は、本発明の実施態様に使用された、ラスタ化されたデータベースか ら長期と短期の近接効果を補正するため、中間近接効果補正出力を発生するする に必要な段階を示す構成図である。 図7は、本発明の実施態様の、最も近い隣接画素のルックアップテーブル による、ラスタ化されたデータベースからのパターンデータの事前プログラムさ れた変形の一例の説明図である。 図8は、本発明の実施態様の、パターン範囲データによる、全体的熱膨張 効果と補正を示す簡略図である。詳細な説明 図1〜8に示された方法と構造を参照して、本発明の実施態様を説明す る。これらの図は、理解と説明を容易にするだけに作成された略図である。本発 明の実施態様の多様な変形は、説明するにつれて、本技術に精通した当事者には 明らかになるであろう。例えば、ラスタ化された画素データの描写は、画素露光 を説明するため64レベルの一つにより説明されているが、本技術の一般の専門 家は、他の適切な数のレベルによる描写は、行うことが出来ることは理解される であろう。同様に、補正プロセスの同様な段階を実行する他の電子構成も描くこ とが出来る。本発明の教示に基づき、これらの教示が本技術を進歩させたこの様 な修正、変形または適応は、すべて、本発明の精神と範囲内にあると見なされる 。 ここに開示されたランタイム近接補正は、電子ビームパターン形成装置内 で、ラスタ走査書き込み機能とラスタ化されたパターンデータ表示を採用してい る。図1はラスタ走査書き込み機能の描写図である。一つ以上の丸い、または他 の形状の電子ビーム10が、最初の方向20へ周期的に走査され、直角方向の1 秒の段階運動が、各画素40の露光を行う。各時間ビーム10は、最初の方向2 0へ走査され、一つ以上の列の画素40を露光する。この書き込み機能を支援す るため、データは規則正しい配列の露光データとして構成されている。約0.2 〜2.0μmの範囲の大きさを有する面を書き込むために、画素は一般に、直径 で約0.05〜0.2ミクロン(μm)の範囲にある。各画素40の露光データ は、一つの露光レベルPijから成っており、iとjは格子50のメモリである。 電子ビーム10がオンまたはオフの場合、それぞれPij=1または0である。複 合装置は64レベルPij=0〜63を使用することが出来る。 ここで考察する近接効果は、三つの広いカテゴリ長期効果、短期効果およ び全体的熱膨張効果の一つに入る。これらの多様な近接効果の補正は、たとえあ るにしても、どの適用量修正が書き込み中に各画素へ加えられるかを決定するた め、すべて計算を必要とする。幾つかの計算は、ここで説明したようなランタイ ム補正を行うため、各種の関数を相互に合成することを必要とする。幾つかの計 算は、補正を形成するため、事前に計算されたルックアップテーブルと合成核を 使用する。さらに、或る計算は、他の補正を形成するため、最初に計算されたデ ータを使用する。従って、全体的熱膨張は、長期近接効果について計算されたパ ターン範囲データから推定される。 図2に関し、ラスタフオーマットの一つの領域のパターンデータ120が 示されている。長期の加熱と散乱の補正を決定するため、領域120の各位置に おける合成計算が必要である。この様な合成計算は、すべての近くの位置からの 露光量の寄与を合計し、一般に次のように表される:ここで、P(x,y)は、位置(x,y)におけるパターン露光の大きさを記述 する数学的関数であり、f(x’,y’)は、位置(x’,y’)における単位 露光量の相対的影響を、すべての近くの位置からの全影響の百分率として記述す る点の拡がりまたはにじみの数学的関数である。 関数が、ラスタ化されたフォーマットで、図2に示されたように目盛りi ,jの離散位置を有する格子110上に表されるならば、格子位置k,1におけ る 合成C=(P*f)は次のように表される: ここで、拡がり関数fは正規化され、Cは、合成で使用された核fに依存する散 乱またはにじみに適用する。拡がり関数は、半径120内にのみ有意義な値を有 し、従って、式2の合成は、示された領域においてのみ評価されなければならな い。 核画素の位置に付いての式2の反復計算は、近接効果を考慮した修正され たマップを形成する。個々の画素の大きさが、近接効果の相互作用の範囲と比較 して小さいならば、核画素に必要な計算数は、ランタイム補正について非常に大 きい。例えば、側面当たり50μの計算領域と0.05μmのビーム直径を有す る50kVの電子ビームは、領域100内に1,000,000個の画素を有す る。従って、これは余りに大きく、ラスタ走査リソグラフィにおけるように、画 素の走査速度は100MHzを越える。 しかし、画素を基本単位としてむしろ使用するならば、パターンは、セル 130を有する粗い範囲格子上に表され、このセルは画素より大きいが、特定ビ ーム加速電圧の散乱範囲よりさらに小さく、計算は簡単になる。N×N画素デー タ領域よりむしろ、M×Mセル単位のデータ領域目盛りk,lが形成され、各単 位は(N/M)2個の独立画素を含んでいる。この単純化は、ゆっくり変化する 点の拡がり関数fk.lの値を各単位の中間に置く。セル内の各画素の拡がり関数 がこの値により十分に表されるならば、計算速度は非常に高められる。 図2の中心にあるセル140に関し、式2の合成値は次のようになる: 画素サイクル当たり一つの加算動作は、さらに、各セル当たり局部的範囲 Pk,l=1/4io,joΣPi,jを粗い格子上に見つけ出すことを必要とされるが 、 合成の乗算と加算の数は減少する。N2動作よりむしろ、M2動作だけが一つのセ ル当たりCijを見つけ出すことが必要とされる。従って、代表的100MHz画 素露光速度に関しては、M×M合成器の個々の動作速度は、可能な長期近接効果 のリアルタイム計算を行う10MHzより低い。 この簡素化が行われ、各セル当たりの合成値Cklが計算されると、一つの セルによるすべての画素の適用量変調の固有アルゴリズムが、補正マップを計算 するために適用される。使用された固有のアルゴリズムは変化するが、選択され たアルゴリズムは、基本的に設計選択であり、すべての面の縁に付加された有効 なエネルギーがレジスト形成に最適な閾値エネルギーに等しい露光量関数を発生 するように適合される。付加エネルギーの勾配が最も急であり、縁が適用量の誤 差によるずれの最も少ない所に、この最適閾値Eeff=1/2は位置付けられる 。 長期散乱と加熱効果の両方を組み合わせる可能なアルゴリズムの一つの例 は、次のように表される: η 上方へ進む後方散乱からの、レジストに付加された全エネルギーの、 下方へ移動する電子によるエネルギーに対する比である。 μ 加熱効果の無い同じ露光と比較して、すべての周囲の前に露光照射さ 露光されて、加熱効果を発生する時付加された別の有効な露光を反映 する定数である。 γ レジストの下の基板からの間接的加熱効果を反映する正規化された拡 がり関数である。 β レジスト下の基板からの後方散乱の後に、上方へ進む電子による、レ ジストに付加されたエネルギーを反映する正規化された拡がり関数で ある。 合成核γklとβklは事前に計算されるが、各位置k,lの合成値(P*γ )klと(P*β)klは、ランタイムにおいてパターンデータから計算される。加熱 と散乱の範囲(P*β)と(P*γ)の各組み合わせの固有の適用量乗数dβrが ある ので、このデータは、セルk,l内のすべての照射の補正適用量乗数dβγを見 つけるための探索アドレスデータとして使用することが出来る。核を有するパタ ーンの合成は、ランタイムにおいて実際に行わなければならない、唯一のパター ン依存の計算である。 図3に戻り、本発明の実施態様の長期加熱と散乱の近接効果のランタイム 補正を示す構成図が示されている。形成されると、ラスタ化された画素データは 、例えば、パターンバッファ記憶器200へ格納され、累積合算器210へ送ら れる。合算器210は、各セルk,l内のすべての画素のΣPijを行い、粗い範 囲マップPklを形成する。粗い範囲マップPklは、マップバッファ記憶器220 に格納される。マップバッファ器220が一杯になると、マップPklデータは、 乗算器/累積加算器すなわち合成器230へ送られ、各セルの合成Ckl=(Pkl *kl)が、核バッファ記憶器240から合成器230へ送られた事前計算の合 成核データにより計算される。この様にして、合成の合計ΣPklklは、各セル 毎に一度計算される。各値ΣPklklは、範囲Cklに対応する補正dklを保持す る探索表器250のアドレスとして使用される。最後に、適用量修正のために選 択された値dklは、露光量変調バッファ記憶器260に格納される。長期散乱と 加熱の同時計算は、異なる合成計算を必要とするので、必要な場合、それぞれに 別個の核記憶器240と合成器230がある。 粗いマップ領域の縁に近い解像度の合成には、隣接領域からのデータが必 要である。従って、パターンバッファ記憶器200は、そのセグメントを囲んで いる一つの走査の帯状の縁領域205のセグメントに関するデータを有する。こ れらの縁領域205は、加熱と散乱の範囲にほぼ等しい幅を有する。一般的ビー ム電圧については、この縁は、幅が約10〜20μmである。図1の走査領域2 0は、一般に10倍広いので、この縁データをさらに必要としても、処理量を著 しく減少しない。従って、ラスタ走査パターン形成データフォーマットから引き 出された粗い範囲マップは、加速された合成計算を行う。さらに、さらに、粗い マップセル内の適用量修正を可能にする合成器の構成が示されており、この場合 、探索表が補正アルゴリズムをこの様な粗いマップデータから実行するために使 用される。同時の長期加熱と散乱補正が必要とされる場合、アナログ合成器と格 納器が使用される。本技術に習熟した専門家は知っているように、図3に関して 上 述した装置と以降に図5と6に関して説明する装置のそれぞれは、実行可能な技 術である。例えば、合成器230は、前述の合成を行うように設計されたソフト ウェアを実行する汎用的マイクロプロセッサであるか、または、合成器230は 、一つ以上の半導体素子により稼動される合成駆動器である。 限定された画像の解像度、前方散乱および隣接した画素の位置からの局部 的加熱による短期近接効果の説明を始めるとして、図4aと4bは、中心の画素 300を取り巻く最も近い隣接画素の3×3の配列を示している。すべての8個 の最も近い隣接画素が完全に露光され、例えば、Pij=1であるならば、画素3 00は一つの面の内部画素である。すべての最も近い隣接画素が露光されず、例 えば、Pij=0であるならば、画素300は一つの面の縁か角のいずれかにある 。図4aと4bの両図において、中心の画素300は、ほぼ直角の外角をぼけて 示している。 短期近接効果は、図4aと4bのそれぞれの線310により示されている ように、この角を丸み付ける。しかし、適用量補正は、有効な露光量が線310 に沿ったすべての点において同一であることを確実にすることが出来る。必要な 適用量の補正を行うため、縁と角の画素における(P*k)eと(P*σ)eの値は 、各縁の画素の適用量変調器を計算するため、決定され、適用されることが必要 である。従って、レジストを通って下方へ移動する電子に関する、正規化された 拡がり関数による合成縁範囲関数(P*k)e、及び直接加熱による正規化された 拡がり関数σ(x’,y’)による局部的縁範囲関数(P*σ)eは、縁露光量補 正を決定するため使用される。 従って、短期縁適用量補正は三つの段階から成っている。第一は、合成と 縁変調適用量補正の事前計算である。これらの計算は、最も近い隣接画素の3× 3配列の各可能なパターンコンテキストに関し事前に行われる。第二は、ラスタ 化されたデータからの特定のパターンの認識であり、第三は、適用量修正を各縁 画素へ割り付けることによる補正の実行である。後の二つの段階だけはランタイ ムに起こらなければならない。 短期近接効果補正は合成器回路によっても計算されるが、近くの隣接画素 データによる探索表の技術は、一層効率的である。非常に限定された数の、縁と 角などの可能なパターンが、数個の画素の領域内に見られる。これらは、容易に 認識され、探索表内の適切な乗数を見つけることにより補正される。 図4aと4bにおいて、円320は、kまたはσにより反映された相互作 用が働く範囲を示している。補正が適切に働くならば、展開されたパターンの縁 は、抽出点330を通る。図4aは、(P*k)eと(P**σ)eが計算された 大きい面の角を示している。図4bは、一つの画素の広い線の角を表している少 し異なるパターンを示している。図4bにおいて、小さいパターン領域が線31 0に沿った露光量に寄与しているので、局部的範囲が少し小さい。この様に、図 4aの構造の適用量変調は図4bのそれと異なる。 図5は、事前計算された短期補正による最も近い隣接画素処理に有用な本 発明の実施態様を示す。バッファ200からのパターンデータPij(図3)は、 走査線により移行レジスター(400)走査線を通る。この様に、9個の最も近 い隣接画素すべてのデータは、同時使用可能になり、画素が処理される毎に、す べての8個の隣接画素をパターンメモリから繰り返し取り出すことを避けること が出来る。すべての9個の最も近い隣接画素からのパターンデータPijは、各可 能なパターンの適切な縁の適用量補正dijijを有する探索表メモリー 410のアドレスして使用される。すべての9個の画素が等しい量で露光される 場合、画素は内部画素として自動的に認識され、補正は割り当てられない。 短期散乱と短期熱効果の両方の補正は、事前計算された適用量補正の両方 の効果を単に含めることにより、同じ探索表に組み合わすことが出来る。しかし 、角または縁の各回転方向が異なる一連の画素露光で構成されているので、より 多くのメモリーが最も近い隣接加熱を考慮するために必要とされる。 本技術の普通の習熟者は知っているように、図5以外の構成は、適用量変 調補正dijを実行することが出来る。その上、最も近い隣接領域の適用量は、8 個の最も近い画素に限定される必要はない。しかし、どのような構成が選択され るにせよ、パターン認識アルゴリズムまたは装置により識別された、事前計算さ れた縁の適用量補正は、電子ビームリソグラフィの短期近接効果のランタイム補 正の方法を実行する。 図6に関し、長期と短期の近接効果に関する、ラスタ化されたデータベー スからの中間近接効果補正の出力を形成する、本発明の実施態様を示す構成図が 示されている。パターンバッファメモリー200(図3)からのデータPijは、 一つ以上の長期補正処理器500により散乱と加熱に関し処理され、長期補正合 成Cklを形成する。補正処理器500は、図3に関し示されて、説明されたもの と機能的に似ている。処理器500からの出力は、適切なタイミングを置くため 、一時的補正バッファ510に格納される。画素データPijもまた、図5に示さ れたものと機能的に似ている最も近い隣接セルの処理器520により処理される 。処理器520からの出力dijijは画素データバッファ630に格納される。 セル補正バッファ510と画素データバッファ520が、補正されたデータをロ ードされると、探索表540は、適用量関数Dij(Ckl,dij,Pij)を見つけ るためにアドレスデータとしてのバッファ510,530からのデータにより、 補正アルゴリズムを実行する。バッファ510からの長期範囲データCklは、各 セルk,lのすべての画素に関し使用され、バッファ530からの短期範囲デー タdeijijは、パターンコンテキストに従って各画素に関し変化する。範囲パ ーセントレベルと隣接画素の組み合わせの数は、限定されるので、メモリーの必 要条件は大きくない。 補正アルゴリズムの結果Dijは、それが装置により露光を必要とするま で、補正されたパターンデータバッファ550に格納される。パターンバッファ メモリーは、画素サイクル当たり一度より多く読み取られるか、または書き込ま れないので、データは同期して、基本画素の速度と同じ速度で処理される。 知られているように、光学的ステッパーに使用されるレチクルは、光学的 近接効果補正(OPC)から成っている。OPC補正は、レチクルが光学的ステ ッパーに使用される場合、そのレチクルから形成される光学的画像を改善するた めに使用される望ましいパターンの意図的修正である。一般に、事前処理ルーチ ンは、レチクルを光学的ステッパーに使用する場合、初期パターンを修正して、 OPC補正を行うために使用される。従って、処理効果を補償するための、細い 線の角と端末などの面の標準的補正と、縁の位置と面の大きさの意図的偏倚は、 一般に、事前電子ビーム露光操作において行われる。 本発明の幾つかの実施態様において、最も近い隣接画像の処理器400 (図5)は、縁と角を認識することが出来るだけでなく、それら和説明したよう に移行し、装置内で自動的に偏倚とOPC補正を行うことも出来る。これは、近 くの領域範囲の関数の計算のために抽出点を移動するか、または、適用量変調補 正が行われるように事前に指定された方法でパターンコンテキストを修正するこ とにより、行うことが出来る。最初のタイプの補正は、短期補正探索表に事前に プログラムされる。 図7は、局部的パターン範囲の評価が、角または縁などの近くの面の意図 的縁の移動を引き起こすように、どのように修正されるかを示している。図4a の角の構成が再び示されているが、図4の抽出点330は、抽出点335をパタ ーンの角に位置付けるように外方へ移動されている。図4aの円320を図7の 円325と比較して、小さいパターン面積が、円325内にあるように見られる 。従って、局部的範囲値(P*k)eはより小さくなり、角画素340のより大き い露光量を発生している。期待されるレジストが形成する外形は、線315によ り示されている。統計的には、角の半径はさらに限定されるが、角の画素340 の開放された面積が増加していることに注目されたい。 面の位置誤差を他に発生する全体的熱膨張の予測補正について、図8は、 基板が固定点610の回りに弾性的に拡張または回転するように、3点懸垂(示 されていない)の上に取り付けられた基板600を示している。基板600の初 期の形状と格子パターンは、点線で表されている。知られているように、電子ビ ーム(示されていない)による領域620の露光は、領域620内に熱吸収と基 板600の面に温度分布を発生する。この熱吸収は、固定点610に関し領域6 20の形状と位置の変化を起こす。従って、領域620が露光されると、面の起 点640は固定点610に関し移動する。この移動は、全体的熱膨張効果と呼ば れ、第三のタイプの近接効果である。 全体的熱膨張効果の補正計算は、粗い範囲マップPklからのデータを使用 する。前提的熱膨張は非常に遅く働く長期効果であるので、有限要素格子が使用 される。例えば、約500μm平方のパターン面積の走査帯状セグメント内の起 点と全パターン範囲は、適切な入力データである。走査帯状セグメントへ付加さ れた熱は、補正された適用量の累積合計に比例する。帯状セグメントの起点アド レスは、熱が付加された位置として使用される。熱入力情報と近接補正の範囲デ ータを送るパターン範囲データPklにより、全体的熱膨張マップは補正を行うた め計算され、有限要素解析によりランタイム中の面起点の移動を計算する。 多数の現存の有限要素解析プログラムは、すべて、熱膨張挙動を計算する ために使用することが出来る。各位置に付加された熱エネルギーの位置と量が、 定期的に更新され、セグメント起点位置の更新された予測が送られる。帯状セグ メント移動のこの更新された予測は、セグメントがさらに露光される前に、ビー ムまたはステージオフセット650へ加えられる。 露光中の領域620の変形は、露光中に付加された全熱量によるだけでな く、熱が付加された場所によっても影響を受ける。例えば、パターンが段階的に 露光されるならば、一つの走査帯の増分で、第一側面622から第二側面624 へ移動すると、変形は台形に見える。本発明の幾つかの実施態様において、組み 合わされた曲線が、さらに一様な熱領域620へ使用される。この様な一様な加 熱は形状の変形を制約し、基本的に膨張を全体的に減少し、補正の計算を簡単に する。 従って、電子ビームリソグラフィの近接効果のランタイム補正を行う新し い方法が開示されている。この方法は、加熱と散乱による長期と短期の効果の両 方の補正を行う。さらに、この方法は、全体的熱効果の補正を行う。 本発明の実施態様は、近接効果補正の従来の方法により優れた大きな利点 を提供する。ラスタ化されたパターンデータベースにより、すべての補正はラン タイム中に行われ、パターンデータの事前処理を皆無にすることによりかなりの 時間節減を実現する。その上、本発明の実施態様はまた、ランタイム中に一つ以 上の補正を計算するため中間結果により、補正計算を行うことにより実施された 以前の方法とは別の近接効果補正も行う。最後に、ランタイム中のOPC補正に 適用する本発明の実施態様が、提供されている。この方法において、初期のパタ ーンデータベースは維持され、OPC補正は、処理能力を異ならせるためレチク ルに適合するように、各レチクルに関し変えられる。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 インネス,ロバート アメリカ合衆国 カリフォルニア州 94708 バークレイ,ヴァイン ストリー ト 2388 (72)発明者 バビン,セルゲイ アメリカ合衆国 カリフォルニア州 94546 カストロ ヴァレー,プロクター ロード 4973 (72)発明者 トロスト,デイヴィッド アメリカ合衆国 カリフォルニア州 94109 サンフランシスコ,カリフォルニ ア ストリート 1201 (72)発明者 ヴァーナー,ジェフリー アメリカ合衆国 カリフォルニア州 94044 パシフィカ,ビーチヴュー 217

Claims (1)

  1. 【特許請求の範囲】 1.ビームによるパターン発生リソグラフィシステムを用いたパターンの形成方 法であって、前記パターンのベクトル表示から成る第1のデータベースを前記パ ターンの画素としてのラスタ表示から成る第2のデータベースに変換する手段を 含み、前記ラスタ表示の前記画素の各々が第1の露光線量を有し、 前記ラスタ表示のデータベースからビーム散乱やビーム加熱に起因する近域近 接効果線量(SRPED)補正乗数を算出する過程と、 前記ラスタ表示のデータベースからビーム散乱やビーム加熱に起因する遠域近 接効果線量(LRPED)補正乗数を算出する過程と、 前記ラスタ表示のデータベースからビーム加熱に起因する全体的熱膨張近接効 果補正係数を算出する過程と、 前記パターンを前記ビームで露光し、そのビームによる前記パターンの露光中 に前記近接効果の所要のものについて前記ビームを補正する過程と から成る群から選ばれた一つ以上の過程をさらに含む方法。 2.前記パターンを露光する過程が、前記露光の進行中に前記ビームの位置変更 用の前記位置補正を用いて前記第2のデータベースの前記画素の各々についてビ ーム位置補正を算出する過程をさらに含む請求項1記載の方法。 3.前記近域近接効果線量補正乗数を算出する過程が、近傍隣接画素からの露光 線量データを用い前記第2のデータベースの前記画素の各々についてパターン前 後関係を識別する過程を含む請求項1記載の方法。 4.前記近域近接効果線量補正乗数を算出する過程が、前記画素の各々について の露光線量データに予め計算ずみの沿端補正係数を適用するように前記パターン 前後関係を用いる過程を含む請求項3記載の方法。 5.前記遠域近接効果線量補正乗数を算出する過程が、前記ラスタ表示のデータ ベースを用いて複数のセル、すなわち各々が前記画素一つよりも大きく前記ビー ムの加速用の特定の電圧対応の散乱範囲よりも小さい複数のセルを区画する過程 を含む請求項1記載の方法。 6.前記複数のセルの各々の中の画素露光線量の累計値を算出することによって 粗カバレージマップを形成する請求項5記載の方法。 7.前記遠域近接効果線量補正乗数を算出する過程が、前記複数のセルの各々に ついての畳込み値の算出によって粗補正マップを形成する過程を含む請求項5記 載の方法。 8.前記遠域近接効果線量補正乗数を算出する過程が、予め計算ずみの畳込みカ ーネルで前記粗カバレージマップからの値を畳み込む過程を含む請求項7記載の 方法。 9.前記全体的熱膨張近接補正乗数を算出する過程が、特徴始点シフトを算出す る過程を含む請求項1記載の方法。 10.前記パターンを露光する過程が、前記遠域近接効果線量補正乗数および前 記近域近接効果線量補正乗数を用いて第2の露光線量を算出する過程を含む請求 項1記載の方法。 11.前記パターンを露光する過程が、前記遠域近接効果線量補正乗数および前 記近域近接効果線量補正乗数を用いて第2の露光線量を算出し、前記全体的熱膨 張近接効果を用いて特徴始点を算出する過程を含む請求項1記載の方法。 12.近接効果について補正ずみのビームを用いてパターンを形成する方法であ って、 前記パターンのベクトル表示データベースを、前記ビームの特定露光線量で各 々が表された複数の画素から成る前記パターンのラスタ表示データベースに変換 する過程と、 前記ラスタ表示データベースから複数のセル、すなわち各々が前記画素一つよ りも大きく前記ビームの所定の散乱範囲よりは小さい寸法を有する複数のセルを 区画する過程と、 前記複数のセルの各々についての畳込み値を含む粗カバレージマップを算出す る過程と、 前記畳込み値を用いて算出して全体的熱膨張マップを前記パターンについて算 出する過程と、 前記粗カバレージマップからの値を予め算出ずみの畳込みカーネルで畳み込む ことによって前記セルの各々について第1の線量補正乗数を算出する過程と、 隣接画素の各々の前記特定露光線量を用いて前記ラスタ表示データベースの前 記画素の各々についてパターン前後関係を識別する過程と、 予め算出ずみの沿縁補正係数を前記パターン前後関係に従って適用することに よって前記画素の各々について第2の線量補正乗数を算出する過程と、 前記第1および第2の線量補正乗数を参照テーブルの利用により組み合わせる ことによって線量変調を算出する過程と、 前記線量変調を用いて前記画素の各々につき補正露光線量を算出する過程と、 前記全体的熱膨張マップを用いて前記画素の各々につき特徴始点シフトを算出 する過程と、 レジスト膜を形成した基板を前記パターンで前記ビームに露光して、前記パタ ーンの前記画素の各々が前記補正露光線量および前記特徴始点シフトにより露光 されるようにする過程と を含む方法。 13.前記粗カバレージマップを算出する過程、前記全体的熱膨張マップを算出 する過程、前記画素の各々につきパターン前後関係を識別する過程、前記第1お よび第2の線量補正乗数を算出する過程、および前記露光線量変調を算出する過 程が前記パターンを前記ビームで露光している間に行われる請求項12記載の方 法。 14.前記第1の線量補正乗数を算出する過程が前記ビームの電子散乱を記述し た予め算出ずみの畳込みカーネルを用いる過程を含む請求項12記載の方法。 15.前記第1の線量補正乗数を算出する過程が前記ビームによる前記レジスト の加熱を記述した予め算出ずみの畳込みカーネルを用いる過程をさらに含む請求 項14記載の方法。 16.前記第1の線量補正乗数を算出する過程が加熱および電子散乱の両方によ る近接効果を記述した予め算出ずみの畳込みカーネルを用いる過程を含む請求項 12記載の方法。 17.前記全体的熱膨張マップを算出する過程が、前記パターン露光中の電子ビ ーム電流平均値および電子ビーム位置履歴に基づき前記基板の熱誘発変化を算出 する過程を含む請求項12記載の方法。 18.前記基板を前記パターンで前記電子ビームに露光する過程が、インターレ ース式の周期走査パターンにより前記電子ビームで前記基板を横切って走査する 過程を含む請求項12記載の方法。 19.前記基板を前記パターンで前記電子ビームに露光する過程が、前記全体的 熱膨張マップに基づき前記ビームの位置を変化させる過程を含む請求項12記載 の方法。 20.荷電粒子ビームの供給源と、 一つの表面を前記荷電粒子のビームで周期的に走査する装置と、 パターンデータベースをベクトル表示からラスタ表示に変換するパターン変換 装置と、 前記パターン変換装置に接続され、前記パターンデータベースの前記ラスタ表 示の一部を、各々が前記ビームの第1の露光レベルにおける露光線量を有する第 1の複数の画素データとして蓄積する第1のデータ蓄積装置と、 前記第1のデータ蓄積装置に接続され、前記第1のデータ蓄積装置に蓄積ずみ の前記画素の各々の前記第1の露光レベルを取り出し近接効果補正のために変更 を加えて、各々が前記ビームの第2の露光レベルにおける露光線量を有する前記 第1の複数の画素データ対応の第2の複数の画素データを形成する近接効果補正 装置と、 前記近接効果補正装置に接続され、前記第2の複数の画素データを蓄積する第 2のデータ蓄積装置と、 前記第2のデータ蓄積装置に接続された露光線量変調および電子ビーム位置づ け装置と を含み、 前記第2の複数の画素データが前記第2のデータ蓄積装置から取り出されて前 記基板上の前記画素の各々の露光のための前記荷電粒子ビームの前記供給源を制 御するのに用いられ、 前記近接効果補正装置が前記画素データを前記露光線量変調データとして前記 第1のデータ蓄積装置および前記第2のデータ蓄積装置に入力させ、前記ビーム 位置づけ装置による前記画素データの前記第2のデータ蓄積装置からの取り出し を、前記パターンの一部についての前記画素データが前記荷電粒子ビームによる 前記パターンの露光のための取り出しの完了まで前記第2のデータ蓄積装置およ び前記第2のデータ蓄積装置に蓄積されるように行う パターン発生システム。
JP53205398A 1997-01-28 1998-01-23 パターン発生における近接効果のランタイム補正の方法および装置 Expired - Fee Related JP4364310B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/789,246 1997-01-28
US08/789,246 US5847959A (en) 1997-01-28 1997-01-28 Method and apparatus for run-time correction of proximity effects in pattern generation
PCT/US1998/000904 WO1998033197A1 (en) 1997-01-28 1998-01-23 Method and apparatus for run-time correction of proximity effects in pattern generation

Publications (2)

Publication Number Publication Date
JP2000508839A true JP2000508839A (ja) 2000-07-11
JP4364310B2 JP4364310B2 (ja) 2009-11-18

Family

ID=25147038

Family Applications (1)

Application Number Title Priority Date Filing Date
JP53205398A Expired - Fee Related JP4364310B2 (ja) 1997-01-28 1998-01-23 パターン発生における近接効果のランタイム補正の方法および装置

Country Status (7)

Country Link
US (1) US5847959A (ja)
EP (1) EP0895652B1 (ja)
JP (1) JP4364310B2 (ja)
KR (1) KR100393129B1 (ja)
CA (1) CA2249573A1 (ja)
DE (1) DE69813689T2 (ja)
WO (1) WO1998033197A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003503837A (ja) * 1999-06-30 2003-01-28 エテック システムズ インコーポレイテッド 近接レジスト加熱の実時間予測およびラスタ走査電子ビームリソグラフィの補正
JP2003530711A (ja) * 2000-04-11 2003-10-14 エテック システムズ インコーポレイテッド リソグラフィにおいてレジスト加熱をリアルタイムに補正する方法及び装置
JP2004505462A (ja) * 2000-07-31 2004-02-19 アプライド マテリアルズ インコーポレイテッド リソグラフィーの間にレジストの加熱を予測及び補正する方法
JP2008016622A (ja) * 2006-07-05 2008-01-24 Sony Corp パターン抽出方法,パターン抽出装置および半導体装置の製造方法
US7635851B2 (en) 2005-09-13 2009-12-22 Hitachi High-Technologies Corporation Electron beam apparatus and method of generating an electron beam irradiation pattern
JP2012069675A (ja) * 2010-09-22 2012-04-05 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2012527765A (ja) * 2009-05-20 2012-11-08 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフシステムのためのパターンデータ変換
JP2017092467A (ja) * 2015-11-04 2017-05-25 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 温度効果を含む成形ビームリソグラフィを使用してパターンを形成するための方法及びシステム

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3999301B2 (ja) * 1997-03-07 2007-10-31 富士通株式会社 露光データ作成方法
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6453452B1 (en) 1997-12-12 2002-09-17 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US6757645B2 (en) 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6035113A (en) * 1998-01-05 2000-03-07 International Business Machines Corporation Electron beam proximity correction method for hierarchical design data
SE9800665D0 (sv) * 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6360134B1 (en) 1998-07-20 2002-03-19 Photronics, Inc. Method for creating and improved image on a photomask by negatively and positively overscanning the boundaries of an image pattern at inside corner locations
US6262429B1 (en) * 1999-01-06 2001-07-17 Etec Systems, Inc. Raster shaped beam, electron beam exposure strategy using a two dimensional multipixel flash field
US6259106B1 (en) * 1999-01-06 2001-07-10 Etec Systems, Inc. Apparatus and method for controlling a beam shape
US6214496B1 (en) * 1999-03-29 2001-04-10 Infineon Technologies North America Corp. Method for reducing corner rounding in mask fabrication utilizing elliptical energy beam
WO2000060415A1 (de) * 1999-04-01 2000-10-12 Sigma-C Gmbh Verfahren zur korrektur von abbildungsfehlern
US6424879B1 (en) * 1999-04-13 2002-07-23 Applied Materials, Inc. System and method to correct for distortion caused by bulk heating in a substrate
US6467076B1 (en) * 1999-04-30 2002-10-15 Nicolas Bailey Cobb Method and apparatus for submicron IC design
US6720565B2 (en) 1999-06-30 2004-04-13 Applied Materials, Inc. Real-time prediction of and correction of proximity resist heating in raster scan particle beam lithography
US6521901B1 (en) * 1999-09-20 2003-02-18 Applied Materials, Inc. System to reduce heat-induced distortion of photomasks during lithography
JP2001168018A (ja) 1999-12-13 2001-06-22 Canon Inc 荷電粒子線露光装置、荷電粒子線露光方法及び露光補正データの決定方法、該方法を適用したデバイスの製造方法。
JP2001168017A (ja) * 1999-12-13 2001-06-22 Canon Inc 荷電粒子線露光装置、荷電粒子線露光方法及び制御データの決定方法、該方法を適用したデバイスの製造方法。
US6584609B1 (en) * 2000-02-28 2003-06-24 Numerical Technologies, Inc. Method and apparatus for mixed-mode optical proximity correction
US6647137B1 (en) * 2000-07-10 2003-11-11 International Business Machines Corporation Characterizing kernel function in photolithography based on photoresist pattern
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
GB2367228A (en) * 2000-09-21 2002-03-27 Leica Microsys Lithography Ltd Method for ascertaining the radiation dose for a layout
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6557162B1 (en) * 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6539521B1 (en) 2000-09-29 2003-03-25 Numerical Technologies, Inc. Dissection of corners in a fabrication layout for correcting proximity effects
US6792590B1 (en) 2000-09-29 2004-09-14 Numerical Technologies, Inc. Dissection of edges with projection points in a fabrication layout for correcting proximity effects
US6622288B1 (en) 2000-10-25 2003-09-16 Numerical Technologies, Inc. Conflict sensitive compaction for resolving phase-shift conflicts in layouts for phase-shifted features
US6584610B1 (en) 2000-10-25 2003-06-24 Numerical Technologies, Inc. Incrementally resolved phase-shift conflicts in layouts for phase-shifted features
US6665856B1 (en) 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
US6653026B2 (en) 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US6505327B2 (en) 2001-04-13 2003-01-07 Numerical Technologies, Inc. Generating an instance-based representation of a design hierarchy
US6789237B1 (en) * 2001-05-11 2004-09-07 Northwestern University Efficient model order reduction via multi-point moment matching
US6560766B2 (en) 2001-07-26 2003-05-06 Numerical Technologies, Inc. Method and apparatus for analyzing a layout using an instance-based representation
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
US6738958B2 (en) 2001-09-10 2004-05-18 Numerical Technologies, Inc. Modifying a hierarchical representation of a circuit to process composite gates
US6735752B2 (en) 2001-09-10 2004-05-11 Numerical Technologies, Inc. Modifying a hierarchical representation of a circuit to process features created by interactions between cells
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
KR100435260B1 (ko) 2001-12-03 2004-06-11 삼성전자주식회사 포토리소그래피 공정의 얼라인 계측방법
US6792592B2 (en) 2002-08-30 2004-09-14 Numerical Technologies, Inc. Considering mask writer properties during the optical proximity correction process
US7172838B2 (en) * 2002-09-27 2007-02-06 Wilhelm Maurer Chromeless phase mask layout generation
JP2004128196A (ja) * 2002-10-02 2004-04-22 Hitachi High-Technologies Corp 電子線描画装置と電子線描画方法
US6872507B2 (en) 2002-11-01 2005-03-29 Taiwan Semiconductor Manufacturing Company Radiation correction method for electron beam lithography
US20090008579A1 (en) * 2003-10-07 2009-01-08 Tokyo Electron Limited Electron beam lithography apparatus and design method of patterned beam-defining aperture
US7928404B2 (en) * 2003-10-07 2011-04-19 Multibeam Corporation Variable-ratio double-deflection beam blanker
US7462848B2 (en) * 2003-10-07 2008-12-09 Multibeam Systems, Inc. Optics for generation of high current density patterned charged particle beams
JP2005183577A (ja) * 2003-12-18 2005-07-07 Sony Corp 露光装置、露光方法、および半導体装置の製造方法
US7025280B2 (en) * 2004-01-30 2006-04-11 Tokyo Electron Limited Adaptive real time control of a reticle/mask system
US7148496B2 (en) * 2004-04-13 2006-12-12 Massachusetts Institute Of Technology System and method for proximity effect correction in imaging systems
US7529421B2 (en) * 2004-07-01 2009-05-05 Applied Materials, Inc. Optical proximity correction in raster scan printing based on corner matching templates
US7207029B2 (en) * 2004-09-29 2007-04-17 Synopsys, Inc. Calculating etch proximity-correction using image-precision techniques
US20060183025A1 (en) * 2005-02-14 2006-08-17 Micron Technology, Inc. Methods of forming mask patterns, methods of correcting feature dimension variation, microlithography methods, recording medium and electron beam exposure system
US7650588B2 (en) * 2005-09-26 2010-01-19 Micronic Laser Systems Ab Methods and systems for pattern generation based on multiple forms of design data
US7417233B2 (en) * 2005-09-28 2008-08-26 Applied Materials, Inc. Beam exposure correction system and method
US7265361B2 (en) * 2005-09-28 2007-09-04 Applied Materials, Inc. Beam blanker driver system and method
US7476879B2 (en) * 2005-09-30 2009-01-13 Applied Materials, Inc. Placement effects correction in raster pattern generator
US7498591B2 (en) * 2005-09-30 2009-03-03 Applied Materials, Inc. Critical dimension effects correction in raster pattern generator
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7902528B2 (en) * 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
US7824828B2 (en) * 2007-02-22 2010-11-02 Cadence Design Systems, Inc. Method and system for improvement of dose correction for particle beam writers
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
KR101854828B1 (ko) 2009-05-20 2018-05-04 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
US8178280B2 (en) * 2010-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-contained proximity effect correction inspiration for advanced lithography (special)
FR2959026B1 (fr) 2010-04-15 2012-06-01 Commissariat Energie Atomique Procede de lithographie a optimisation combinee de l'energie rayonnee et de la geometrie de dessin
JP5792513B2 (ja) * 2011-05-20 2015-10-14 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5894856B2 (ja) 2012-05-22 2016-03-30 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US9484186B2 (en) * 2012-10-23 2016-11-01 Synopsys, Inc. Modeling and correcting short-range and long-range effects in E-beam lithography
FR3000234B1 (fr) * 2012-12-21 2015-02-27 Commissariat Energie Atomique Procede d'estimation de motifs a imprimer sur plaque ou sur masque par lithographie a faisceau d'electrons et dispositif d'impression correspondant
TWI534528B (zh) 2013-03-27 2016-05-21 Nuflare Technology Inc Drawing an amount of the charged particle beam to obtain the modulation factor of a charged particle beam irradiation apparatus and method
WO2014182635A1 (en) 2013-05-08 2014-11-13 Baldwin Megan E Biomarkers for age-related macular degeneration (amd)
JP2016512930A (ja) * 2014-02-21 2016-05-09 マッパー・リソグラフィー・アイピー・ビー.ブイ. 荷電粒子リソグラフィシステムにおける近接効果補正
US9443699B2 (en) 2014-04-25 2016-09-13 Ims Nanofabrication Ag Multi-beam tool for cutting patterns
EP3358599B1 (en) 2014-05-30 2021-01-27 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using row calibration
JP6890373B2 (ja) * 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
JP2016184605A (ja) * 2015-03-25 2016-10-20 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び描画データ作成方法
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
KR102395198B1 (ko) 2015-09-22 2022-05-06 삼성전자주식회사 마스크 패턴의 보정 방법 및 이를 이용하는 레티클의 제조 방법
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10444629B2 (en) 2016-06-28 2019-10-15 D2S, Inc. Bias correction for lithography
US10325757B2 (en) * 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
JP7034825B2 (ja) * 2018-05-16 2022-03-14 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US10884395B2 (en) 2018-12-22 2021-01-05 D2S, Inc. Method and system of reducing charged particle beam write time
US11604451B2 (en) 2018-12-22 2023-03-14 D2S, Inc. Method and system of reducing charged particle beam write time
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
US10748744B1 (en) 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US11756765B2 (en) 2019-05-24 2023-09-12 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
JP2023138912A (ja) 2022-03-21 2023-10-03 アイエムエス ナノファブリケーション ゲーエムベーハー リソグラフィ描画法における熱膨張の補正

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3900737A (en) * 1974-04-18 1975-08-19 Bell Telephone Labor Inc Electron beam exposure system
CA1100237A (en) * 1977-03-23 1981-04-28 Roger F.W. Pease Multiple electron beam exposure system
US4243866A (en) * 1979-01-11 1981-01-06 International Business Machines Corporation Method and apparatus for forming a variable size electron beam
US4463265A (en) * 1982-06-17 1984-07-31 Hewlett-Packard Company Electron beam proximity effect correction by reverse field pattern exposure
US4988284A (en) * 1986-10-08 1991-01-29 Hewlett-Packard Company Method for compensating for the E-beam proximity effect
US4812962A (en) * 1987-04-09 1989-03-14 Harris Corp. Area feature sorting mechanism for neighborhood-based proximity correction in lithography processing of integrated circuit patterns
US5182718A (en) * 1989-04-04 1993-01-26 Matsushita Electric Industrial Co., Ltd. Method and apparatus for writing a pattern on a semiconductor sample based on a resist pattern corrected for proximity effects resulting from direct exposure of the sample by a charged-particle beam or light
US5051598A (en) * 1990-09-12 1991-09-24 International Business Machines Corporation Method for correcting proximity effects in electron beam lithography
JP3192157B2 (ja) * 1990-09-17 2001-07-23 株式会社東芝 電子ビーム描画方法及び描画装置
JPH065502A (ja) * 1992-06-18 1994-01-14 Fujitsu Ltd 露光データ変換方法および露光データ変換装置
US5294800A (en) * 1992-07-31 1994-03-15 International Business Machines Corporation E-beam control data compaction system and method
US5304441A (en) * 1992-12-31 1994-04-19 International Business Machines Corporation Method of optimizing exposure of photoresist by patterning as a function of thermal modeling
US5393987A (en) * 1993-05-28 1995-02-28 Etec Systems, Inc. Dose modulation and pixel deflection for raster scan lithography
JP2647000B2 (ja) * 1994-05-25 1997-08-27 日本電気株式会社 電子ビームの露光方法
JPH08297692A (ja) * 1994-09-16 1996-11-12 Mitsubishi Electric Corp 光近接補正装置及び方法並びにパタン形成方法
US5657235A (en) * 1995-05-03 1997-08-12 International Business Machines Corporation Continuous scale optical proximity correction by mask maker dose modulation
JPH0915833A (ja) * 1995-06-30 1997-01-17 Sony Corp 露光用マスク作製装置における走査用データ作成装置及び走査用データの作成方法
JP3454983B2 (ja) * 1995-08-25 2003-10-06 株式会社東芝 荷電ビーム描画方法
US5736281A (en) * 1996-06-07 1998-04-07 Lucent Technologies Inc. Dose modification proximity effect compensation (PEC) technique for electron beam lithography

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003503837A (ja) * 1999-06-30 2003-01-28 エテック システムズ インコーポレイテッド 近接レジスト加熱の実時間予測およびラスタ走査電子ビームリソグラフィの補正
JP2003530711A (ja) * 2000-04-11 2003-10-14 エテック システムズ インコーポレイテッド リソグラフィにおいてレジスト加熱をリアルタイムに補正する方法及び装置
JP2004505462A (ja) * 2000-07-31 2004-02-19 アプライド マテリアルズ インコーポレイテッド リソグラフィーの間にレジストの加熱を予測及び補正する方法
US7635851B2 (en) 2005-09-13 2009-12-22 Hitachi High-Technologies Corporation Electron beam apparatus and method of generating an electron beam irradiation pattern
US8008622B2 (en) 2005-09-13 2011-08-30 Hitachi High-Technologies Corporation Electron beam apparatus and method of generating an electron beam irradiation pattern
JP2008016622A (ja) * 2006-07-05 2008-01-24 Sony Corp パターン抽出方法,パターン抽出装置および半導体装置の製造方法
JP2012527765A (ja) * 2009-05-20 2012-11-08 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフシステムのためのパターンデータ変換
JP2012069675A (ja) * 2010-09-22 2012-04-05 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2017092467A (ja) * 2015-11-04 2017-05-25 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 温度効果を含む成形ビームリソグラフィを使用してパターンを形成するための方法及びシステム

Also Published As

Publication number Publication date
EP0895652B1 (en) 2003-04-23
DE69813689T2 (de) 2003-12-18
CA2249573A1 (en) 1998-07-30
KR20000064800A (ko) 2000-11-06
WO1998033197A1 (en) 1998-07-30
EP0895652A1 (en) 1999-02-10
DE69813689D1 (de) 2003-05-28
US5847959A (en) 1998-12-08
JP4364310B2 (ja) 2009-11-18
KR100393129B1 (ko) 2003-10-24

Similar Documents

Publication Publication Date Title
JP2000508839A (ja) パターン発生における近接効果のランタイム補正の方法および装置
JP2502418B2 (ja) 電子ビ―ムリトグラフィにおける近接効果を補正する方法
US5725974A (en) Method and apparatus for producing scanning data used to produce a photomask
US7495243B2 (en) Writing method of charged particle beam, support apparatus of charged particle beam writing apparatus, writing data generating method and program-recorded readable recording medium
KR100416131B1 (ko) 이차원 다중 픽셀 플래쉬 필드를 이용한 래스터 형상 비임 및 전자 비임 노출 방법
US5149975A (en) Pattern fabrication method using a charged particle beam and apparatus for realizing same
JPH09289164A (ja) 荷電ビーム描画方法及び描画装置
US5210696A (en) Electron beam exposure data processing method, electron beam exposure method and apparatus
US7476879B2 (en) Placement effects correction in raster pattern generator
US7498591B2 (en) Critical dimension effects correction in raster pattern generator
JP3512946B2 (ja) 電子ビーム描画装置および電子ビーム描画方法
JP2675964B2 (ja) 電子線制御データ短縮システム及び方法
JP3466900B2 (ja) 電子ビーム描画装置及び電子ビーム描画方法
US20220221800A1 (en) Writing data generating method and multi charged particle beam writing apparatus
JP2002534793A (ja) ビーム形状制御装置および方法
JP2004127967A (ja) 荷電粒子ビーム描画装置
US5305225A (en) Charged particle litography method and apparatus
KR20040005951A (ko) 2 차원 다중 픽셀 플래시 필드를 이용한 래스터 형상 빔,전자 빔 노광 방법
JP2004048018A (ja) 電子線描画装置および電子線を用いた描画方法
JP3431444B2 (ja) パターン描画方法及び描画装置
JP2003318077A (ja) 荷電ビーム描画方法及び描画装置
JP3244766B2 (ja) 荷電粒子ビーム描画方法及び描画装置
JP3321220B2 (ja) 電子ビーム描画方法及び描画装置
JP4563682B2 (ja) 画像形成方法および装置
Boegli et al. Implementation of real-time proximity effect correction in a raster shaped beam tool

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080422

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20080428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080722

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081017

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090217

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090513

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090525

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090622

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090721

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090819

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120828

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees