KR100393129B1 - 패턴발생에서근접효과의런타임보정을위한방법및장치 - Google Patents

패턴발생에서근접효과의런타임보정을위한방법및장치 Download PDF

Info

Publication number
KR100393129B1
KR100393129B1 KR10-1998-0707704A KR19980707704A KR100393129B1 KR 100393129 B1 KR100393129 B1 KR 100393129B1 KR 19980707704 A KR19980707704 A KR 19980707704A KR 100393129 B1 KR100393129 B1 KR 100393129B1
Authority
KR
South Korea
Prior art keywords
pattern
correction
pixel
proximity effect
generating
Prior art date
Application number
KR10-1998-0707704A
Other languages
English (en)
Other versions
KR20000064800A (ko
Inventor
리 에치 베네클라센
로버트 이네스
세르게이 바빈
데이비드 트로스트
제프레이 배너
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20000064800A publication Critical patent/KR20000064800A/ko
Application granted granted Critical
Publication of KR100393129B1 publication Critical patent/KR100393129B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Electron Beam Exposure (AREA)

Abstract

라스터 스캔 방법을 이용하여 기판상에 패턴을 익스포우즈하기 위한 전자 빔 패턴 발생 시스템. 상기 시스템은 다수의 레귤러 픽셀 도우스 익스포우져 레벨로서 패턴의 라스터화된 화상을 저장한다. 상기 시스템은 필요에 따라 중간과 최종 결과의 계산 및 저장을 위한 장치를 포함한다. 이들이 계산되는 경우에 보정은 이들이 패턴을 형성하기 위해 적용되는 익스포우져 도우스 변조기에 제공된다. 따라서 글로벌 열팽창에 기인하는 근접효과 뿐만 아니라 전자 산란과 가열에 기인하는 원거리와 근거리 근접효과에 대한 보정은 런타임중에 계산되고 제공될 수 있고 보정된 패턴이 익스포우즈된다.

Description

패턴 발생에서 근접효과의 런타임 보정을 위한 방법 및 장치
인접한 지점의 익스포우져(exposure)에 의해 이미지 패턴에 있는 지점의 익스포우져에 대한 효과는 근접효과라고 한다. 예로써, 전자 광학 익스포우져의 제한된 해상도 또는 고유 레지스트 해상도, 레지스트 층에서의 전자 산란 및 레지스트층이 특정 지점에 딜리버(deliver)되는 익스포우져 도우스(dose) 분포의 블루링(blurring)을 일으키게 놓여있는 기판으로부터의 전자 후방산란이 있다. 따라서 특정 지점에 딜리버되게 디자인된 익스포우져 도우스의 부분은 이웃 지점에 딜리버된다.
또한, 특정 지점에서의 레지스트층의 익스포우져는 이웃 지점에 바깥쪽으로 확산될 수 있는 레지스트의 가열이 제한되는 결과를 초래한다. 그 결과 이웃하는 근접지점에서 레지스트 감도가 변형된다.
레지스트가 형성된 기판의 열팽창은 기판의 불균일한 열팽창을 통해 이웃지점에 형태 배치 에러를 초래할 수 있는 또 다른 가열효과가 제한되게 된다.
이들 근접효과는 모두 특정 지점에서 실제 또는 실효 익스포우져 도우스 에러를 초래한다. 산란효과와 열팽창은 전자가 레지스트에 영향을 주는 지점의 위치를 변경함으로써 실제 익스포우져 도우스 에러를 일으킨다. 레지스트 가열은 전자에 레지스트의 감도를 변경함에 의해 실효 익스포우져 도우스 에러를 초래한다.
산란효과가 인접 사이트에 딜리버되는 전체 익스포우져 도우스에만 의존하는 곳에서 열팽창과 레지스트 가열효과가 또한 익스포우져 도우스 딜리버리 레이트와 타임 시퀀스(time sequence)에 의해 영향을 받는다. 따라서, 여러 메카니즘에 의해 근접효과는 리소그래픽 형태의 크기, 형상 및/또는 위치에서 원하지 않는 변형을 초래한다.
이들 에러의 보정은 보다 높은 정밀도와 정확도를 필요로 하는 더욱더 복잡한 패턴이 있는 보다 작은 트렌드 의해 높게된 전자 빔 리소그래피의 중요한 태양이다. 몇몇 부분적인 해결책이 시도되거나 제안되어 왔지만 전술한 모든 효과의 보정을 제공하지도 않고 익스포우져 "런타임" 중에 보정을 계산하고 실행하기 위해 제공되지 않는다.
이러한 부분 해결책의 하나는 전자 산란 효과를 위한 보정을 계산하기 위해 나타낸 층 등의 1994년 3월 15일자 미국특허 5,294,800호의 요지이다. "집적회로 칩 다자인 …… 같은 수의 형상 정점을 내포하는 파셀(parcel)로 분할되는 …… . …… 형상은 전방 및 후방산란을 위한 보정된 근접 …… . …… 이 프로세스는 최종 파셀이 프로세스될때까지 각 파셀에 반복된다. " 전자 산란 효과를 위한 보정 이외에, 개선된 계산방법은 이미지 포스트프로세싱 스테이지 도중에 사용되고 따라서 익스포우져 런타임 도중에 행해진다.
다른 부분 해결책은 애쉬톤 등의 1991년 9월 24일자 미국특허 5,051,598호에 나타나 있다. 라이크 충 등과 같이, 애쉬톤 등은 데이터의 포스트프로세싱 도중에 수행되고 전자 산란 효과에 대한 보정이 나타나 있다. 애쉬톤 등은 "후방산란 및 전방산란 보정을 적용하는 높은 전압 및/또는 매우 밀접한 패턴에 적합한 전자 빔 리소그래피를 위한 근접효과 보정방법"을 제공한다.
엠. 파리크, J. Appl. Phys., 50(6), 1979년 6월 4371, 4378 및 4383, "전자빔 리소그래피에서 근접효과의 보정.(I. Theory)(II. Implementation)(III. Experiments)"에 의해 제안된 또다른 방법은 패턴을 보다 작은 부분으로 분할하고 균일한 레지스트 도우스를 보장하기 위해 각 부분의 익스포우져를 변형시키지만, 이 방법은 모든 근접효과를 고려하지도 않고 런타임 계산에 적합하지도 않다.
오토 등, "ABELE-150에서의 근접 보정", J. Vac. Sci. Technol. B Vol.6(1) 1988 1월/2월. p. 443 에 의해 제안된 또다른 방법은 패턴 영역의 나머지 부분으로부터 분리되어 형태 에지의 익스포우져 도우스를 제어함으로써 근접효과 산란을 보정하는 방법이 기술되어 있다. 그러나, 파리크와 마찬가지로 이 방법은 모든 근접효과를 고려하지도 않고 런타임 계산에 적합하지도 않다.
이들과 다른 많은 방법은 벡터 데이터 포맷에서 데이터를 사용하여 보정을 계산한다. 벡터 포맷에 있는 데이터는 인접부분이 쉽게 발견되도록 조직화될 필요가 없으므로, 보정을 결정하기 위해 필요한 보정은 매우 복잡하다. 또한, 벡터 데이터는 가열 보정을 하는데 요구되는 익스포우져 시퀀스와 타이밍에 대한 고유 정보를 거의 포함하지 않는다.
보정이 벡터 데이터로부터 계산될 때 데이터는 보정이 계산되기 전에 먼저 공간적으로 그리고 일시적으로 소트되어야 한다. 데이터베이스가 디스크리트 형태(discrete feature)를 한정함에 따라, 계산은 보다 작은 콤포넌트로 패턴 형태를 분리해야 하고 각 콤포넌트에 보정 도우스를 할당한다. 분산 범위가 증가하고 형태가 작아지는 경우 각 형태 보정의 계산은 데이터 파일에서 각 형태에 대한 많은 소팅과 기하 오퍼레이션을 필요로 하는 매우 많은 인접 형태에서의 기여를 고려해야 한다. 따라서 근접보정에 대한 프리프로세싱이 느려지고 익스포우져 또는 런타임 중에 벡터 데이터 화상을 근접 보정하는 것이 현실적이 아니다.
익스포우져를 위한 다른 데이터 화상을 이용하는 전자 빔 리소그래피 시스템은 콜리어 등의 1975년 8월 19일자 미국특허 3,900,737호에 나타나 있다. 개시된 익스포우져 시스템은 텔레비젼처럼 많은 주기성 라스터 스캔을 사용하는 패턴을 익스포우즈한다. 이러한 시스템은 각 구성 펙셀의 익스포우져가 특정화되어 있는 소정의 미세한 도톨도톨한 그리드 상에 익스포우즈되기 위해 벡터 데이터 화상을 "라스터화" 또는 "픽셀화" 데이터 포맷 화상 패턴으로 변환한다. 라스터 스캔 포맷에서 전체 패턴 데이터베이스의 저장은 실용적이 되기 위해 너무 많은 메모리를 필요로 하고 이들 시스템은 보다 컴팩트한 벡터 데이터 포맷으로 스타트하고 익스포우져 중에 런타임에서 라스터화 데이터를 발생시킨다.
벡터 데이터로부터 발생된 라스터 포맷은 공간적으로 그리고 일시적으로 소트되는 픽셀내로 분리되고, 근접 보정의 계산을 단순화하고 빠르게 한다. 레지스트 가열 보정은 이들이 픽셀 익스포우져의 위치와 시간 사이의 규칙적인 관계를 요구하기 때문에 라스터화된 포맷을 이용한다. 그러나, 라스터화된 데이터가 단지 익스포우져 중에 유용하기 때문에 라스터화된 데이터로부터 근접효과 보정을 위한 계산은 런타임중에 행해져야 한다.
종래의 한 방법인 "고스트" 방법은 런타임 보정방법의 일례이다(오웬 등의 1984년 7월 31일자 미국특허 4,463,265호 참조). "고스트" 방법은 하나는 집속 빔이 있는 패턴을 익스포우즈하고 다른 하나는 분산 범위와 유사한 크기의 초점이 흐려진 빔이 있는 상보성 패턴인 두 개의 분리된 익스포우져 "패스"를 사용한다. 실시간 계산(평가)이 필요하지 않다. 그러나, "고스트" 방법은 익스포우져 시간을 2배로 한다. 또한, 익스포우져의 콘트라스트를 감소시켜 프로세스 범위와 형태 크기 제어에 역효과를 갖는다. 끝으로, "고스트"는 근거리 산란과 해상도 효과를 보정할 수 없고, 레지스트 가열을 보정할 수도 없다. 따라서, "고스트"는 최적 근접 보정 방법이 아니다.
따라서, 런타임중에 모든 전자 산란 근접효과 보정을 계산하는 방법을 갖는 것이 요구되고 있다. 또한 전자 산란 뿐만 아니라 레지스트 가열과 기판 팽창을 위한 근접효과 보정을 계산하기 위한 방법이 요구되고 있다.
<발명의 개요>
본 발명은 익스포우져 런타임 동안 패턴에 대한 근접효과를 위한 보정을 할수 있는 래스터 스캔 기록 스트레티지를 적용하는 패턴 발생 시스템 및 그 방법에 관한 것이다. 설명된 실시형태들이 전자 빔 시스템에 관한 것인 반면, 본 발명은 다른 하전 입자 시스템에도 또한 적용할 수 있는 것이다.
본 발명은 라스터화된 패턴 화상으로 벡터화된 패턴 화상을 변환하고 픽셀화된 익스포우져 레벨 데이터 처럼 화상을 일시적으로 저장하기 위한 장치를 제공하는 것에 관한 것이다. 그래서 상기 데이터는 상기 저장 장치로부터 다시 복귀되어 근접효과 보정이 수행된다.
원 거리 산란과 가열 효과의 보정을 위해, 필셀화된 익스포우져 레벨 데이터는 디스크리트 셀로 분리된 거친 커버리지 맵을 계산하기 위해 사용된다. 평균 익스포우즈 영역 또는 패턴 커버리지가 각 셀을 위해 계산되고 원거리 산란과 가열 효과 도우스 멀티플라이어를 결정하기 위해 미리 계산된 컨벌루션 커널로 컨벌브된다. 또한, 거친 커버리지 맵은 글로벌 열팽창 맵을 계산하기 위해 바람직하게 이용된다. 글로벌 열팽창 맵은 가열로 인한 크기와 형상의 변화를 계산함에 의해 보정이 도우스 익스포우져와 위치 데이터로 되도록 하는 위치 히스토리와 도우스 익스포우져를 포함한다. 이런 방식으로 열팽창에 기인하는 근접효과의 보정이 계산된다.
근거리 근접효과 보정은 먼저 가장 인접한 픽셀의 익스포우져 도우스 데이터를 평가하여 각각의 픽셀의 패턴 상황을 식별함에 의해 수행된다. 식별된 패턴 상황은 각각의 픽셀에 대해 회수된 근거리 도우스 멀티플라이어와 조사 테이블에서 발견된다. 이들 도우스 멀티플라이어는 미리 결정된 패턴 가장자리에 있는 픽셀을위한 도우스 변형을 미리 계산하고 산란과 가열 컨벌루션 커널이 있는 패턴 커버리지를 컨벌브함에 의해 계산된다. 원거리 도우스 멀티플라이어와 근거리 도우스 멀티플라이어는 각각의 필셀을 위한 도우스 변조 데이터를 형성하기 위해 미리 계산된 익스포우져 조사 테이블을 이용하여 결합된다.
각 타입의 보정이 이루어지기 위해, 계산을 수행하고 보정 결과의 임시 저장을 제공하는 장치가 제공된다. 각각의 임시 저장 장치는 보정의 삽입과 복귀를 위한 데이터 경로 뿐만 아니라 전체 패턴 부분, 및/또는 중간 결과를 저장한다.
익스포우져 도우스 변조장치는 픽셀의 익스포우져 직전에 패턴의 각각의 픽셀을 위한 보정된 도우스를 결정하기 위해 원거리 도우스 변조 데이터와 근거리 도우스 변조 데이터를 결합하고 회수할 수 있는 것이 제공된다. 글로벌 열팽창 맵은 각각의 픽셀의 위치를 위한 보정을 결정하기 위해 제공되고 사용된다. 각 픽셀을 위한 보정된 익스포우져 도우스 및 위치 데이터는 보정 익스포우져 도우스가 있는 보정 위치에서 각 픽셀의 익스포우져를 지향하기 위해 제공되는 익스포우져 장치에 제공된다.
본 발명은 일반적으로 기판위에 패턴을 형성하기 위해 패턴 발생 시스템에 의해 사용되는 패턴 발생 시스템 및 방법에 관한 것으로, 특히 발생된 패턴이 런타임(run-time) 동안 보정되는 시스템의 오퍼레이션과 래스터 스캔 기록 스트레티지(raster scan writing strategy)를 적용하는 반도체 산업에 사용되는 형태의 전자빔 패턴 발생 시스템에 관한 것이다.
도 1은 레귤러 픽셀 격자 위에 빔을 위치시키기 위해 주기적인 래스터 스캔과 스테이지 이동을 사용하는 래스터 스캔 기록 스트레티지의 단순화된 화상이다.
도 2는 본 발명의 실시형태에서 래스터화된 패턴으로부터 유도된 거친 커버리지 맵의 단순화된 화상이다.
도 3은 라스터화된 데이터 베이스로부터 원거리 산란과 가열 근접효과의 런타임 보정을 위해, 본 발명의 실시형태에서 적용된 중간 근접효과 보정출력을 형성하도록 요구된 단계를 도시하는 블럭도 이다.
도 4는 본 발명의 실시형태에서 가장자리와 코너 등의 패턴 형상을 인식하도록 래스터화된 데이터 베이스로부터 가장 인접한 픽셀 익스포우져 데이터의 이용의 단순화된 도시이다.
도 5는 본 발명의 실시형태에서 적용된 래스터화된 데이터 베이스로부터 근거리 근접효과의 보정을 위해 중간 근접효과 보정출력을 생성하도록 요구된 단계를 도시하는 블럭도 이다.
도 6은 본 발명의 실시형태에서 사용된 래스터화된 데이터 베이스로부터 원거리 근접효과와 근거리 근접효과를 위해 보정하도록 중간 근접효과 보정출력을 생성하도록 요구된 단계를 도시하는 블럭도 이다.
도 7은 본 발명의 실시형태에서 가장 인접한 조사 테이블 방법을 사용하는 래스터화된 데이터 베이스로부터 미리 프로그램된 패턴 데이터의 변형의 일 예를 도시한다.
도 8은 본발명의 실시형태에서 패턴 커버리지 데이터를 사용하는 보정과 글로벌 열팽창효과의 단순화된 도시이다.
본 발명의 실시태양은 도 1에서 도 8에 도시된 방법과 구조를 설명함으로 자세히 설명될 것이다. 이들 도시들은 쉬운 이해와 설명을 위해 제공된 단순화된 도면이다. 본 발명의 실시태양의 다양한 변형은 설명된 것과 같은 기술분야의 수련된자들에게 명백하게 될 수 있다. 예를 들어, 래스터화된 픽셀 데이터의 화상은 픽셀 익스포우져을 묘사하도록 64레벨중 하나를 사용하는 것으로 설명되는데 비해, 본 기술분야의 숙련된 자들은 만들어 질 수 있는 레벨의 다른 적당한 수를 사용하는 선택적인 형상을 이해할 것이다. 유사하게, 선택적인 보정과정에서 유사한 단계를 수행하는 선택적인 전자의 배열이 계획될 수 있다. 본 발명의 기술구성을 토대로한 모든 변형, 변화, 응용은 본 발명의 사상과 범위 내에 속한다.
여기서 공개된 런타임 근접보정은 전자 빔 패턴 발생 시스템에서 스트레티지와 래스터화된 패턴 데이터 화상을 기록하는 래스터 스캔을 이용한다. 도 1은 스트레티지를 기록하는 래스터 스캔의 단순화된 도시이다. 하나 또는 그 이상 원 또는 다르게 형상된 전자 빔(10)은 각 픽셀(40)의 익스포우져을 위해 제공하는 제 2의 직각 방향에서 단계이동(30) 동안, 제 1 방향(20)에서 주기적으로 스캔된다. 그 때마다 빔(10)은 하나 또는 그 이상 픽셀(40) 열을 익스포우즈하는 제 1 방향(20)에서 스캔된다. 스트레티지 기록을 지지하기 위해 데이터는 익스포우져 데이터의 보통 배열처럼 구성된다. 픽셀들은 약 0.2 내지 2.0μm의 범위에 크기를 갖는 형태를 기록하기 위한 직경에서 일반적으로 약 0.05 내지 0.2마이크론(μm)의 범위에 있다. 각 픽셀(40)을 위한 익스포우져 데이터는 격자(50)에 관한 지표들인 i와 j가 있는 하나의 익스포우져 레벨 Pij를 구성한다. 각각 Pij= 1 또는 0인 곳에서 전자 빔(10)은 온 또는 오프된다. 더욱 복잡한 시스템은 Pij= 1 또는 0인 64익스포우져 레벨을 사용할 수 있다.
여기서 논의된 근접효과는 세개의 주요 카테고리인 원거리 효과, 근거리 효과 그리고 글로벌 열팽창 효과 중 하나로 나뉜다. 이들 다양한 근접효과의 보정은 만일 도우스 변화가 기록하는 동안 각 픽셀에 제공될 것인지를 결정하기 위해 계산이 필요하다. 어떤 계산은 상기 설명된 방법에서 런타임 보정을 결과하는 다른 것을 갖는 다양한 기능을 컨벌브(convolve)하는 것을 수반한다. 어떤 계산은 보정을 발생시키기 위해 미리 계산된 조사 테이블과 컨벌루션 커널(convolution kernel)을 사용한다. 부가적으로, 어떤 계산은 다른 보정을 발생시키기 위해 계산된 초기 데이터를 사용한다. 그래서, 글로벌 열팽창은 원거리 근접효과를 위해 계산된 패널 커버리지 데이터로부터 판단된다.
도 2를 참조하면, 래스터 포맷에서 패턴 데이터(120)의 영역이 도시된다. 원거리 가열 및 산란 보정을 결정하기위해, 영역(120)에서 각 사이트(site)에 컨벌루션 계산이 요구된다. 그런 컨벌루션 계산은 모든 인접 사이트로부터 익스포우즈 도우스 보급을 합산하여 일반적으로 아래와 같이 설명된다.
여기서 P(x,y)는 사이트(x,y)에서 패턴 익스포우져의 진폭을 나타내는 수학적 함수이고 f(x',y')은 모든 인접 사이트로부터 전체 감응의 비율 처럼 사이트(x',y')에서 단위 익스포우져의 상대적인 감응을 나타내는 전개 또는 브러링(blurring) 수학적 함수 부분이다. 상기 함수가
도 2에서 보여진 것 처럼 지표 i,j를 포함하는 독립 사이트를 갖는격자(110)위에 래스터화된 포맷에서 표현될 때, 격자 사이트 k,l에서 컨벌루션 C = (P*f)는 다음과 같이 표현될 수 있다.
여기서 전개 함수f는 정상으로 되고 여기서 C는 컨벌루션에 사용된 커널 f에 의해 산란 또는 가열을 제공할 수 있다. 상기 전개 함수는 단지 반경(120) 내에서 중요한 가치를 갖고, 그러므로 방정식(2)의 컨벌루션은 단지 도시된 전 영역의 값을 구한다.
각 픽셀 사이트를 위해 방정식(2)의 반복 계산은 근접효과를 설명하는 수정된 맵을 생성한다. 각 픽셀의 크기가 근접효과 상호작용의 범위보다 작을 때, 각 픽셀을 위해 요구된 계산의 수는 런타임 보정에 관해 너무 크다. 예를 들어, 측면당 50μ의 계산영역과 0.05μm의 빔 직경을 갖는 50kV 전자빔은 영역(100) 내에 1,000,000픽셀을 갖는다. 그러므로 각 픽셀을 위해 1,000,000 계산수치가 요구된다. 래스터 스캔 리소그래피에서 처럼 여기서 이것은 너무 많기에 픽셀 익스포우져 율은 100MHz를 초과 할 수 있다.
어찌됐건, 기본 단위로 픽셀을 사용하는 것보다 바람직하다면, 상기 패턴은 상술된 빔 촉진 볼트를 위해 픽셀보다 크고 산란 범위보다 작은 셀(130)을 갖는 거친 커버리지 격자에 표현되고, 계산치수는 단순화된다. N × N픽셀 데이터 영역보다 나은 k,l로 지표된 M × M셀 단위 데이터 영역은 생성되고, 여기서 각 단위는 각 픽셀(N/M)2을 포함한다. 이런 단일화는 각 단위의 중앙에서 전개 함수fk,l값을 천천히 변화시키는 값을 정한다. 여기 셀에서 각 픽셀에 관한 전개 함수는 결과적으로 계산비가 크게 상승된 값에 의하여 표현된다.
도 2의 중심에 있는 셀(140)에 관하여, 방정식(2)에서 컨벌루션의 값은 아래와 같이 된다.
픽셀 사이클 당 하나의 부가적인 작동은 각 셀을 위해 지역 커버리지 Pk,l= 1/4i0j0ΣPi,j를 찾기위해 요구되는 반면, 거친 격자위에서 컨벌루션을 위해 복합적이고 부가적인 작동수는 감소된다. N2작동 보다 났다면, 단지 M2작동은 일 셀을 위해 Ckl을 찾도록 요구된다. 그래서, 일반적인 100MHz 픽셀 익스포우져 비에 관하여, M × M 컨벌버에서 각 작동비는 가능한 원거리 근접효과의 실제 계산수치를 이루는 10MHz 이하이다.
이런 단순화가 제공되어 각 셀을 위해 컨벌루션 값 Ckl이 계산될 때, 셀을 포함하는 모든 픽셀의 도우스 변화에 관한 일정한 알고리즘은 보정 맵을 계산하도록 제공된다. 사용된 일정한 알고리즘이 변화할 수 있는 반면, 상기 선택된 알고리즘은 필연적으로 레지스트 증가를 위한 가장 적절한 초기 에너지와 같은 모든 형상의 테두리에 저장된 효과 에너지가 있는 익스포우져 도우스 함수를 결과하도록 재단된 선택 디자인이다. 이런 Eeff= 1/2인 최적의 초기 에너지는 저장된 에너지의 변화정도가 가장 급해지고 테두리는 도우스 오류에 의해 적어도 변화할 것 같은 곳에 위치된다.
원거리 산란 및 가열효과를 겸하는 가능한 알고리즘의 일 예는
η는 상방으로 지나는 후방산란된 전자로부터 아래로 이동하는 전자에 의하여 저장된 에너지로 레지스트에 저장된 전체 에너지의 비율이다.
μ는 이미 익스포우즈된 플래쉬 사이트를 에워싸는 모든 것이 열효과가 없는 동일한 익스포우져에 대비될 때 열효과를 발생시키며 익스포우즈될 때 저장된 부가적 도우스 효과를 나타내는 상수이다.
γ는 레지스트 아래 회로기판으로부터 간접적인 가열효과를 나타내는 일반화된 확산함수이다.
β는 레지스트 아래 회로기판으로부터 후방산란 후에 상방으로 지나는 전자에 의하여 레지스트에 저장된 에너지를 나타내는 일반화된 확산함수이다.
컨벌루션 커널 γkl과 βkl은 각 사이트 k,l을 위한 컨벌루션 값 (P*γ)kl과 (P*β)kl이 런타임에서 패턴 데이터로부터 계산되는 동안 미리 계산된다. 가열 및 산란 커버리지(P*β)와 (P*γ)의 각 조화를 위해 유일한 도우스 멀티플리어가 있기 때문에, 상기 데이터는 셀k,l에서 모든 플래쉬를 위해 보정 도우스 멀티플리어 dβγ를 찾기위한 데이터 주소를 조사하는 것과 같이 사용될 수 있다. 상기 커널을 갖는 패턴의 컨벌루션은 런타임에 실질적으로 수행되어야 하는 계산에 의한 패턴이다.
도 3은 본 발명의 일 실시예의 원거리 산란 및 가열 근접효과의 런타임 보정을 도시하는 블럭도를 나타낸다. 일단 발생되고, 래스터된 픽셀 데이터가 예를 들어 패턴 미러 기억 장치(200)에 저장되어 누적 계산 장치(210)에 제공된다. 계산장치(210)는 거친 커버리지 맵 Pkl을 발생시키기 위해 각 셀 k,l내에 모든 픽셀의 ΣPij를 수행한다. 거친 커버리지 맵 Pkl은 맵 버퍼 기억장치(220)에 저장된다. 맵 버퍼 장치(220)가 충만될 때, 맵 Pkl데이터는 멀티플리어/누적 가산장치 또는 컨벌버(230)에 제공되고 각 셀을 위한 컨벌루션 Ckl= (Pkl*fkl)은 커널 버퍼 기억장치(240)로부터 컨벌버(230)으로 제공된 미리 계산된 컨벌루션 커널 데이터fkl을 사용하여 계산된다. 그래서 컨벌루션 총계 ΣPklfkl은 각 셀을 위해 일단 계산된다. 각 ΣPklfkl의 값은 커버리지 Ckl에 상응하는 보정값dkl을 정하는 조사 테이블 장치(250)를 위한 주소로 이용된다. 마지막으로, 상기 도우스 변화 dkl를 위해 선택된 값은 익스포우져 도우스 변화 버퍼 기억장치(260)에 저장된다. 원거리 산란 및 가열보정의 동시계산은 다른 컨벌루션 계산을 요구하기 때문에, 각 요구된 곳에 분리된 커널 기억장치(240)과 컨벌버(230)이 있다.
거친 맵 영역의 테두리 근처 픽셀의 컨벌루션은 인접 영역으로부터 데이터를 요구한다. 그러므로, 패턴 버퍼 기억장치(200)는 일 스캔 스트립(strip)의 세그먼트(segment)와 상기 세그먼트를 에워싸는 가장자리 영역(205)를 위한 데이터를 포함한다. 이들 가장자리 영역(205)는 가열 및 산란범위와 거의 같은 폭을 갖는다.일반적인 빔 전압으로 이 가장자리는 약 10 내지 40μ폭이다. 도 1에 스캔 영역(20)은 일반적으로 10x 더 넓기 때문에, 이 가장자리 데이터의 부가적인 조건은 처리량을 현저하게 감소하지 않는다. 그래서 래스터 스캔 패턴 발생기 데이터 포맷으로부터 분리된 거친 커버리지 맵은 빠르게 증가된 컨벌루션 계산을 제공한다. 부가적으로, 컨벌버 구성은 거친 맵 셀들 내에 도우스 변화를 허용하는 것을 나타내고, 여기서 조사 테이블는 그런 거친 맵 데이터로부터 보정 알고리즘을 수행하도록 사용된다. 여기에 동시에 원거리 가열 및 산란 보정이 요구되고, 유사한 컨벌버와 저장장치가 제공된다. 도 3에 의하여 상기 설명된 각 장치와 이하 도 5와 도 6에서 설명되어질 장치는 선행 기술분야에서 기술을 가진 자에 의하여 효력을 갖는 기술이 될 수 있다. 예를 들어, 컨벌버(230)는 전술된 컨벌루션을 제작하기 위해 설계된 소프트웨어를 운영하는 마이크로프로세서를 일반적인 목적으로 할 수 있거나 또는 컨벌버(230)는 하나 또는 그 이상 반도체 장치를 사용하는 충족된 컨벌루션 엔진이 될 수 있다.
인접 픽셀 사이트로부터 전방 산란과 지역 가열인 제한된 이미지 분석에 기인한 근거리 근접효과의 보정으로 방향을 바꾸어, 도 4a와 도 4b는 중앙 픽셀(300)을 에워싸고 있는 가장 인접한 픽셀의 3×3배열을 도시한다. 만약 가장 인접한 여덟 픽셀 모두가 예를 들어 Pij= 1로 완전히 익스포우즈되면, 픽셀(300)은 형상의 내부 픽셀이다. 만약 어떤 가장 인접한 픽셀들이 예를 들어 Pij= 0으로 익스포우즈되지 않으면, 픽셀(300)은 형상의 가장자리 또는 코너에 있다. 도 4a와 도 4b에 있어서, 중앙 픽셀(300)은 밖같 코너에 거의 직각을 불명확하게 나타낸다.
근거리 근접효과는 각 도 4a와 도 4b에서 선(310)으로 지시된 코너를 둥글게 할 것이다. 하여간, 도우스 보정은 효과적인 익스포우져 도우스가 선(310)을 따른 어떤 지점에서 같다는 것을 확실하게 할 수 있다. 필수적인 도우스 보정을 하기 위해, 가장자리와 코너 픽셀들에서, (P*κ)e와 (P*σ)e의 값이 각 가장자리 픽셀에 관한 도우스 변화를 계산하도록 결정되어 제공될 필요가 있다. 그래서 레지스트를 통해 아래로 이동하는 전자들에 관한 표준된 확산 함수 κ(x',y')를 사용하는 컨벌루션 가장자리 커버리지 함수(P*κ)e와, 직접 가열에 의한 표준된 확산 함수σ(x',y')을 사용하는 영역 가장자리 커버리지 함수(P*σ)e는 가장자리 익스포우져 도우스 보정을 결정하기 위해 사용된다.
그래서, 가장자리 근거리 도우스 보정은 세 단계를 구성한다. 제 1 단계는 컴벌루션과 가장자리 도우스 변화 보정의 사전 계산이다. 이들 계산은 가장인접한 3 × 3배열에서 가능한 각 패턴 경위를 위해 사전에 수행된다. 제 2 단계는 래스터화된 데이터로부터 일정한 패턴을 인식하는 것이고 제 3 단계는 각 가장자리 픽셀로 도우스 변화를 설정함에 의하여 보정을 수행하는 것이다. 단지 마지막 두 단계는 런타임 중에 일어난다.
근거리 근접효과 보정이 또한 컨벌버 회로를 사용하여 사정될수 있는 동안, 가장 인접한 픽셀을 사용하는 조사 테이블 기술은 더 효과적이다. 적은 픽셀의 영역 내를 들여다 보면, 가장자리 및 코너와 같이 매우 제한된 수의 가능한 패턴이있다. 이들은 조사 테이블에서 적당한 멀티플러를 찾음으로 쉽게 인식되고 보정될 수 있다.
도 4a와 도 4b에 있어서, 원(320)은 액트(act)인κ 또는 σ에 의해 반사된 전 상호작용 영역을 지시한다. 만약 보정이 적당히 작용한다면, 향상된 패턴의 가장자리는 샘플링(sampling) 위치(330)를 통과 해야만 한다. 도 4a는 (P*κ)e와 (P*σ)e가 계산된 더큰 형태의 코너를 도시한다. 도 4b는 일 픽셀 넓이 선의 코너를 나타내는 약간 다른 패턴을 도시한다. 도 4b에 있어서, 영역 커버리지는 더 적은 패턴영역이 선(310)을 따라 익스포우져 도우스에 기여하기 때문에 약간더 작다. 그래서 도 4a에 구성을 위한 도우스 변화는 도 4b의 도우스 변화와 다르다.
도 5는 미리 계산된 근거리 보정을 사용하는 가장 인접한 픽셀에 유용한 본 발명의 일 실시예를 도시한다. 버퍼(200)(도 3참조)로부터 패턴 데이터 Pij는 스캔 라인에 의하여 쉬프트 래지스터(shift register)(400) 스캔 라인을 통과하여 지나친다. 이 방법에서, 가장 인접한 아홉 픽셀 모두를 위한 데이터는 매번 픽셀이 진행되는 패턴 메모리로부터 여덟 픽셀모두를 각각 페칭(fetching)할 필연성을 배제하면서 유사하게 이용할 수 있게 된다. 가징 인접한 아홉 픽셀 모두로부터 패턴 데이터 Pij는 각 가능한 패턴을 위해 적절한 가장자리 도우스 보정dijPij를 포함하는 조사 테이블 메모리(410)에 관한 주소로 사용된다. 여기서 아홉 픽셀 모두는 똑같이 방사되고, 픽셀은 내부 픽셀 처럼 자동적으로 인식되고 보정이 설정되지 않는다.
근거리 산란과 근거리 가열효과 모두의 보정은 미리 계산된 도우스 보정에양 효과를 간단히 포함함으로 같은 조사 테이블에 겸비된다. 하여간, 픽셀 익스포우져의 다른 시퀀스에 구성된 코너 또는 가장자리의 각 회전 방향과 같이, 메모리는 가장 인접한 가열을 위해 계산이 더 요구된다.
본 기술분야에 일반적인 기술을 가진 자에게 공지될 것 처럼, 도 5의 구성과 다른 구성은 도우스 변화 보정dij을 수행할 수 있다. 부가적으로, 가장 인접한 도우스 영역은 가장 인접한 여덟 픽셀로 제한되지 않는다. 픽셀은 더 선택될 수 있다. 하여간, 어떤 구성이 선택되든지, 패턴 인식 알고리즘 또는 장치에 의하여 동일화된 미리 계산된 가장자리 도우스 보정은 전자 빔 리소그래피에 근거리 근접효과의 런타임 보정을 위한 방법을 제공한다.
도 6을 참조하면, 래스터화된 데이터 베이스로부터 원거리 및 근거리 근접효과 모두를 위해 중간 근접효과 보정 출력을 발생하는 본 발명의 일 실시예를 나타내는 블럭도가 도시된다. 패턴 버퍼 메모리(200)(도 3참조)로부터 데이터Pij는 원거리 보정 컨벌루션Ckl을 생성하는 하나 또는 그 이상 원거리 보정 프로세서(500)에 의하여 산란 또는 가열을 위해 진행된다. 보정 프로세서(500)는 도 3에 의해 도시되고 설명된 것과 기능적으로 유사하다. 프로세서(500)에 기한 출력은 더 좋은 타이밍을 제공하도록 일시적 보정 버퍼(510)에 저장된다. 픽셀 데이터Pij는 또한 도 5에 도시된 것과 기능상 유사한 가장 인접한 프로세서(520)에 의하여 제공된다. 프로세서(520)에 의한 출력dijPij은 픽셀 데이터 버퍼(530)에 저장된다. 셀 보정버퍼(510)와 픽셀 데이터 버퍼(530)가 보정된 데이터로 로드될 때, 조사 테이블(540)은 도우스 함수Dij(Cij,dij,Pij)를 찾는 데이터 주소 처럼 버퍼(510,530)로부터 데이터를 이용하는 보정 알고리즘을 수행한다. 버퍼(510)로부터 원거리 커버리지 데이터Ckl는 버퍼(530)로부터 근거리 커버리지 데이터deijPij가 패턴경위에 따른 각 픽셀을 위해 변화하는 동안, 각 셀 k,l에서 모든 픽셀을 위해 사용된다. 다수의 커버리지 비율 레벨과 인접한 픽셀이 제한될 때, 메모리 요구조건은 크지 않다.
보정 알고리즘의 결과 Dij는 상기 시스템에 의해 익스포우져가 필요될 때까지 보정된 패턴 데이터 버퍼(550)에 저장된다. 패턴 버퍼 메모리가 픽셀 사이클 당 한번 이상 읽히거나 기록되지 않을 때, 데이터 진행은 동기일 수 있고 동시에 기본 픽셀 비율과 같은 비율일 수 있다.
공지된 것 처럼, 광학 스테퍼(stepper)에 사용되는 레티클(reticle)은 주로 광학 인접 보정(OPC)을 포함한다. OPC보정은 레티클이 광학 옵티컬 스테퍼에서 사용될 때 레티클로부터 발생된 광학 이미지를 향상하기 위해 사용되는 의도된 패턴 내부 변화이다. 일반적으로, 예비공정 순서는 광학 스테퍼에서 사용을 위해 레티클을 만들 때 원래 패턴을 수정하고 OPC보정을 제공하기 위해 사용된다. 그래서 코너들과 좁은 선의 단부들과 가장자리 위치의 내부 치우침과 진행효과를 위해 보충하는 형상 크기와 같은 그런 형상에 대한 표준 보정은 선 전자 빔 익스포우져 작동에서 일반적으로 수행된다.
본 발명의 일 실시태양에 있어서, 가장 인접한 프로세서(400)(도 5참조)는 가장자리와 코너를 인식할 수 있는 것이 아니고, 내에 자동적으로 보충과 OPC 보정을 발생시키는 전술된 방법에서 가장자리와 코너를 이동시킬수 있다. 이것은 도우스 보정이 제공되기 전에 인접 영역 커버리지 함수의 계산을 위해 샘플링 지점을 움직임으로 수행될 수 있거나 또는, 전술된 방법에서 패턴경위를 변화하도록 가장 인접한 데이터를 사용함으로 수행될 수 있다. 제 1 타입의 보정은 상기 패턴에서 모든 유사한 사이트를 발생 시키는 근거리 보정 조사 테이블에서 미리 프로그램될 수 있다.
도 7은 패턴 커버리지 영역의 평가가 어떻게 코너 또는 가장자리처럼 인접 형상을 변형하는 계획적인 가장자리를 유도하도록 변화될 수 있는지 도시한다. 도 4a의 코너 윤곽은 다시 도시되고, 하여간 도 4의 샘플링 위치(330)는 상기 패턴의 코너에 위치된 샘플링 위치(335)가 되도록 밖같쪽으로 이동된다. 도 7의 원(325)과 도 4a의 원(320)을 비교함으로, 적은 패턴 지역은 원(325) 내에 있는 것으로 보여진다. 그래서 커버리지 영역 값(P*κ)e은 코너 픽셀(340)을 위해 더 큰 익스포우져 도우스량을 결과하도록 상응하게 더 작게될 것이다. 의도된 향상 레지스트 윤곽은 선(315)로 지시된다.
형상 위치 결함이 발생되지 않도록 하는 글로버 열팽창의 예비보정으로 방향을 바꾸어, 도 8은 고정된 위치(610)에 대하여 유연하게 연장 또는 회전 가능한 그런 방법으로 세 위치 서스펜션(suspension)(미도시) 위에 설치된 회로기판(600)을도시한다. 회로기판(600)의 원래 형상과 패턴 격자는 점선에 의하여 나타난다. 공지된 것 처럼, 전자 빔(미도시)에 의한 영역(620)의 익스포우져는 영역(620)내에 열 흡수와 회로기판(600)을 가로지르는 온도분포를 결과한다. 상기 열 흡수는 고정된 위치(610)에 관하여 영역(620)의 형상과 위치에 변화를 일으킨다. 그래서 영역(620)이 익스포우즈될 때, 형상 기원(640)은 고정된 위치(610)에 관하여 변화한다. 이 변화는 글로버 열팽창 효과로 불리우며 근접효과의 제 3 타입이다.
글로버 열팽창효과 보정의 계산은 거친 커버리지 맵Pkl에 데이터를 사용한다. 원거리 효과인 글로버 열팽창은 매우 느린 작용이기 때문에, 거친 유한요소 격자가 사용될 수 있다. 예를 들어 약 500μ 사각 패턴 영역인 스캔 스트립 세그먼트 내에 전체 원 패턴 커버리지는 입력 데이터에 적당하다. 스캔 스트립 세그먼트로 유입된 열은 보정된 도우스의 산적한 합계에 비례한다. 스트립 세그먼트의 원 주소는 열이 유입되는 위치로 사용된다. 인접보정 위한 커버지 데이터 처럼 열 입력정보를 제공하도록 패턴 커버리지 데이터Pkl를 사용하여, 글로버 열팽창 맵은 유한요소 해석을 이용하는 런타임 동안 형상기원 변형 보정과 계산을 제공하도록 계산될 수 있다.
열팽창 작용을 계산하기 위한 존재하는 많은 유한요소 해석프로그램이 사용될 수 있다. 상기 위치와 각 위치에 부가된 열 에너지의 양은 주기적으로, 예를 들어 분당 한번, 향상될 수 있고, 향상된 원 세그먼트 위치의 예상이 제공된다. 이 향상된 스트립 세그먼트 변형의 예상은 부가적인 세그멘트가 익스포우즈되기 전에빔 또는 스테이지 오프셋(stage offset)(650)에 부가된다.
익스포우져 동안 영역(620)의 뒤틀림은 익스포우져 동안 유입된 총 열에 의하지 않고 열이 유입된 곳에 의하여 영향을 받는다. 예를 들어, 패턴이 점차적으로 익스포우즈되지 않는 다면, 일 스캔 스트립 증가에서 제 1 측(622)에서 제 2 측(624)으로 움직임으로, 뒤틀림은 사다리꼴화 되는 것으로 보인다. 본 발명의 일 실시태양에서, 얽혀진 궤도(660)는 더 균일한 열 영역(620)으로 사용된다. 그런 균일한 가열 한계는 뒤틀림을 변형하고 필수로 글로버 팽창을 감소하며 보정의 계산을 단순화 한다.
그래서 전자 빔 리소그래피에서 근접효과의 런타임 보정을 제공하기 위한 새로운 방법은 명백해졌다. 상기 방법은 가열과 산란에 기인한 원거리 및 근거리 효과의 보정을 위해 제공한다. 부가적으로, 상기 방법은 글로버 열효과의 보정을 위해 제공한다.
본 발명의 실시태양들은 근접효과 보정 방법인 선행기술 전체에 실질적인 이익을 제공한다. 래스터화된 패턴 데이터 베이스를 사용함으로, 모든 보정은 패턴 데이터의 예비공정을 제거함에 의해 중대한 시간 절약을 실현함으로 런타임동안 수행될 수 있다. 부가적으로, 본 발명의 실시태양들은 또한 런타임동안 보정 계산을 수행하고 하나 이상 보정을 계산하도록 결과하는 조정자를 이용함으로 제공되는 이전 방법들 보다 부가적인 근접효과 보정을 제공한다. 마지막으로, 본 발명의 실시태양들은 런타임 동안 OPC보정 적용이 제공되었다. 이런 방법에서, 원 패턴 데이터 베이스는 유지될 수 있고 OPC보정은 다른 공정 가능성을 위해 레티클을 재단하도록각 레티클을 위해 변형된다.

Claims (20)

  1. 픽셀로서 패턴의 벡터화 화상을 갖는 제1 데이터베이스를 패턴의 라스터화 화상을 갖고, 여기서 라스터화 화상의 각 픽셀이 제1 익스포우져 도우스를 갖는, 제2 데이터베이스로 변환하는 단계,
    근거리 근접효과 도우스(SRPED) 보정 멀티플라이어가 빔 산란 및/또는 가열로 생기는 상기 래스터화 데이터베이스로부터 상기 근거리 근접효과 도우스(SRPED) 보정 멀티플라이어를 계산하는 단계; 원거리 근접효과 도우스(LRPED) 보정 멀티플라이어가 빔 산란 및/또는 가열로 생기는 상기 래스터화 데이터베이스로부터 상기 원거리 근접효과 도우스(LRPED) 보정 멀티플라이어를 계산하는 단계; 및 보정 인자가 빔 가열로 생기는 상기 래스터화 데이터베이스로부터 글로벌 열팽창 근접효과 보정 인자를 형성하는 단계로 이루어진 군으로부터 선택된 하나 이상의 단계, 및
    빔이 선택된 근접효과를 위해 보정되는 한편 상기 패턴이 상기 빔에 의해 익스포우즈되는 상기 빔이 있는 상기 패턴을 익스포우즈하는 단계
    를 포함하는 빔을 갖는 패턴 발생 리소그래피 시스템을 이용하는 패턴 형성 방법.
  2. 제 1 항에 있어서, 상기 패턴을 익스포우즈하는 단계는 런타임중에 상기 제2 데이터베이스의 각 픽셀을 위한 빔 위치 보정을 계산하고 상기 빔의 위치에 영향을 주기 위해 상기 위치 보정을 이용하는 것을 부가적으로 포함하는 빔을 갖는 패턴발생 리소그래피 시스템을 이용하는 패턴 형성 방법.
  3. 제 1 항에 있어서, 상기 근거리 근접효과 도우스 보정 멀티플리어를 계산하는 단계는 가장 인접한 픽셀로부터 익스포우져 도우스 데이터를 이용하는 상기 제 2 데이터 베이스의 각 픽셀을 위해 패턴 경향을 동일화하는 것을 특징으로 하는 빔을 갖는 패턴 발생 리소그래피 시스템을 이용하는 패턴 형성 방법.
  4. 제 3 항에 있어서, 근거리 근접효과 도우스 보정 멀티플리어를 계산하는 단계는 각 픽셀을 위해 익스포우져 도우스 데이터에 대한 미리 계산된 가장자리 보정을 제공하도록 상기 패턴 경향을 이용하는 것을 포함하는 빔을 갖는 패턴 발생 리소그래피 시스템을 이용하는 패턴 형성 방법.
  5. 제 1 항에 있어서, 원거리 근접효과 도우스 보정 멀티플리어 계산단계는 상기 래스터화된 데이터 베이스를 사용하는 상기 빔 가속을 위해 지정된 볼트로 인해 일 픽셀보다 더 크고 산란범위 보다 적은 치수를 갖는 다수의 셀을 규정하는 것을 포함하는 것을 갖는 패턴 발생 리소그래피 시스템을 이용하는 패턴 형성 방법.
  6. 제 5 항에 있어서, 거친 커버리지 맵은 상기 다수의 셀들의 각 셀 내에 각 픽셀 익스포우져 도우스의 축적 총량을 형성함으로 발생되는 것을 특징으로 하는 빔을 갖는 패턴 발생 리소그래피 시스템을 이용하는 패턴 형성 방법.
  7. 제 5 항에 있어서, 원거리 근접효과 도우스 보정 멀티플리어를 발생시키는 단계는 상기 다수의 셀들의 각 셀을 위한 컨벌루션 값을 계산함으로 보정 맵을 발생시키는 것을 특징으로 하는 빔을 갖는 패턴 발생 리소그래피 시스템을 이용하는 패턴 형성 방법.
  8. 제 7 항에 있어서, 원거리 근접효과 도우스 보정 멀티플리어를 발생시키는 단계는 선 계산된 컨벌루션 커널과 함께 상기 거친 커버리지 맵으로부터 값을 컨벌브하는 것을 특징으로 하는 빔을 갖는 패턴 발생 리소그래피 시스템을 이용하는 패턴 형성 방법.
  9. 제 1 항에 있어서, 글로벌 열팽창 근접효과 보정 인자를 발생시키는 단계는 형상기원 변형을 계산하는 것을 특징으로 하는 빔을 갖는 패턴 발생 리소그래피 시스템을 이용하는 패턴 형성 방법.
  10. 제 1 항에 있어서, 상기 패턴을 익스포우즈 하는 단계는 원거리 근접효과 도우스 보정 멀티플리어와 근거리 근접효과 도우스 보정 멀티플리어를 이용하는 제 2 익스포우져 도우스를 계산하는 것을 특징으로 하는 빔을 갖는 패턴 발생 리소그래피 시스템을 이용하는 패턴 형성 방법.
  11. 제 1 항에 있어서, 상기 패턴을 익스포우즈하는 단계는 원거리 근접효과 도우스 보정 멀티플리어를 이용하는 제 2 익스포우져 도우스를 계산하는 것과 글로벌 열팽창 근접효과 보정 인자를 이용하는 형상기원 변형을 계산하는 것을 특징으로 하는 빔을 갖는 패턴 발생 리소그래피 시스템을 이용하는 패턴 형성 방법.
  12. 다수의 픽셀들을 구성하는 상기 패턴의 래스터화된 데이터 베이스로 패턴의 벡터화된 데이터 베이스를 전환하고, 여기서 각 픽셀은 상기 빔의 지정된 익스포우져 도우스로 화상되는 단계;
    상기 래스터화된 데이터 베이스로부터 다수의 셀들을 정하고, 여기서 각 셀은 일 픽셀 보다 크고 미리 결정된 상기 빔의 산란 범위 보다 작은 치수를 갖는 단계;
    상기 다수의 셀들의 각 셀에 관한 컨벌루션 값을 포함하는 거친 커버리지 맵을 생성하는 단계;
    상기 패턴을 위해 상기 컨벌루션 값 이용이 계산된 글로벌 열팽창 맵을 발생시키는 단계;
    미리 계산된 컨벌루션 커널과 함께 상기 거친 커버리지 맵으로부터 값을 컨벌브함으로 각 셀을 위해 제 1 도우스 보정 멀티플리어를 발생 시키는 단계;
    각 가장 인접한 픽셀의 상기 정해진 익스포우져 도우스를 이용하는 상기 래스터화된 데이터 베이스의 각 픽셀을 위해 패턴 경향을 동일화 하는 단계;
    상기 패턴경향에 따라서 미리 계산된 가장자리 보정 인자를 제공함으로 각픽셀을 위해 제 2 도우스 보정 멀티플리어를 발생시키는 단계;
    조사 테이블을 이용하는 상기 제 1 및 제 2 도우스 보정 멀티플리어를 겸하여 도우스 변조를 계산하는 단계;
    상기 도우스 변조를 이용하는 각 셀을 위해 보정된 익스포우져 도우스를 계산하는 단계;
    상기 글로벌 열팽창 맵을 이용하는 각 픽셀을 위해 형상 기원 변형을 계산하는 단계; 및
    상기 맵을 이용하는 레지스트 도포된 회로기판에 상기 패턴을 익스포우즈하고, 여기서 상기 패턴의 각 픽셀은 상기 보정된 익스포우져 도우스와 상기 형상기원 변형을 이용하여 익스포우즈되는 단계;
    를 포함하는 근접효과를 위해 보정된 빔을 이용하는 패턴형성 방법.
  13. 제 12 항에 있어서, 상기 빔과 함께 상기 패턴을 익스포우즈 하는 동안 거친 커버리지 맵을 형성하는 단계, 글로벌 열보정 맵을 발생시키는 단계, 각 픽셀을 위해 패턴 경향을 동일화하는 단계, 제 1 및 제 2 도우스 보정 멀티플라이어를 발생시키는 단계, 익스포우져 도우스 변조를 계산하는 단계가 수행되는 특징으로 하는 근접효과를 위해 보정된 빔을 이용하는 패턴형성 방법.
  14. 제 12 항에 있어서, 제 1 도우스 보정 멀티플라이어를 발생시키는 상기 단계는 상기 빔의 전자 산란을 나타내는 미리 계산된 컨벌루션 커널 이용을 특징으로하는 근접효과를 위해 보정된 빔을 이용하는 패턴형성 방법.
  15. 제 14 항에 있어서, 제 1 도우스 보정 멀티플라이어를 발생시키는 상기 단계는 상기 빔에 의하여 상기 레지스트의 가열을 나타내는 미리 계산된 컨벌루션 커널 이용을 더 포함하는 것을 특징으로 하는 근접효과를 위해 보정된 빔을 이용하는 패턴형성 방법.
  16. 제 12 항에 있어서, 제 1 도우스 보정 멀티플라이어를 발생시키는 상기 단계는 가열 및 산란 근접효과를 나타내는 미리 계산된 컨벌루션 커널 이용을 특징으로 하는 근접효과를 위해 보정된 빔을 이용하는 패턴형성 방법.
  17. 제 12 항에 있어서, 글로벌 열팽창 맵을 발생시키는 단계는 상기 패턴이 익스포우즈하는 동안 발생된 평균 전자 빔 흐름과 전자 빔 위치 히스토리에 기초되어 상기 회로기판의 열로 유발된 변화 계산을 포함하는 것을 특징으로 하는 근접효과를 위해 보정된 빔을 이용하는 패턴형성 방법.
  18. 제 12 항에 있어서, 회로기판에 패턴을 익스포우즈하는 단계는 얽혀진 주기적 스캔 패턴을 이용하는 상기 회로기판을 가로지르는 상기 빔 스캔을 포함하는 것을 특징으로 하는 근접효과를 위해 보정된 빔을 이용하는 패턴형성 방법.
  19. 제 12 항에 있어서, 회로기판에 패턴을 익스포우즈 하는 단계는 상기 글로벌 열팽창 맵에 기초된 상기 빔의 위치 변화를 포함하는 것을 특징으로 하는 근접효과를 위해 보정된 빔을 이용하는 패턴형성 방법.
  20. 하전 입자 빔의 소스;
    표면 위에 상기 하전 입자 빔을 주기적으로 스캔하기 위한 장치;
    패턴 데이터베이스를 벡터화된 화상에서 라스터화된 화상으로 변환하기 위한 패턴 변환 장치;
    상기 패턴 데이터 베이스의 상기 라스터화된 화상 부분이 다수의 제 1 픽셀 데이터로 저장되고, 각각의 픽셀이 제 1 익스포우져 레벨에서 상기 빔을 위한 익스포우져 도우스를 갖는, 상기 패턴 변환 장치에 결합된 제1 데이터 저장 장치;
    상기 제 1 데이터 저장장치에 저장된 각각의 픽셀의 상기 제 1 익스포우져 레벨이 상기 다수의 제 1 데이터에 상당하는 다수의 제 2 픽셀 데이터를 형성하기 위해 근접효과를 위한 보정으로 복귀 및 변형되고, 각각의 픽셀이 제 2 익스포우져 레벨에서 상기 빔을 위한 익스포우져 도우스를 갖는, 상기 제 1 데이터 저장 장치에 결합된 근접효과 보정 장치;
    상기 다수의 제 2 픽셀 데이터를 저장하기 위해 상기 근접효과 보정 장치에 결합된 제 2 데이터 저장 장치; 및
    다수의 상기 제 2 픽셀 데이터가 상기 제 2 데이터 저장 장치로부터 복귀되고 상기 기판상에 각각의 픽셀을 익스포우즈하기 위해 상기 전자 빔 소스를 제어하기 위해 사용되는, 상기 제 2 데이터 저장 장치에 결합된 익스포우져 변조기 및 빔 위치 장치를 포함하고, 여기서 상기 하전 입자 빔에 의해 상기 패턴의 익스포우져를 위한 복귀가 완료될때까지 상기 패턴의 한 부분을 위한 픽셀 데이터가 상기 제 1 저장 장치 및 제 2 저장 장치 내에 저장되도록 상기 익스포우져 도우스 변조기 및 빔 위치 장치가 상기 제 1 저장 장치로부터 복귀될 때 상기 근접효과 보정 장치는 픽셀 데이터가 상기 제 1 저장 장치와 상기 제 2 저장 장치로 입력되게 하는 패턴 발생 장치.
KR10-1998-0707704A 1997-01-28 1998-01-23 패턴발생에서근접효과의런타임보정을위한방법및장치 KR100393129B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/789,246 1997-01-28
US08/789,246 US5847959A (en) 1997-01-28 1997-01-28 Method and apparatus for run-time correction of proximity effects in pattern generation
US08/789,246 1997-01-28

Publications (2)

Publication Number Publication Date
KR20000064800A KR20000064800A (ko) 2000-11-06
KR100393129B1 true KR100393129B1 (ko) 2003-10-24

Family

ID=25147038

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0707704A KR100393129B1 (ko) 1997-01-28 1998-01-23 패턴발생에서근접효과의런타임보정을위한방법및장치

Country Status (7)

Country Link
US (1) US5847959A (ko)
EP (1) EP0895652B1 (ko)
JP (1) JP4364310B2 (ko)
KR (1) KR100393129B1 (ko)
CA (1) CA2249573A1 (ko)
DE (1) DE69813689T2 (ko)
WO (1) WO1998033197A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101822676B1 (ko) 2010-04-15 2018-01-26 꼼미사리아 아 레네르지 아토미끄 에뜨 옥스 에너지스 앨터네이티브즈 복사 에너지와 설계안 지오메트리의 최적화를 조합하는 리소그래피 방법

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3999301B2 (ja) * 1997-03-07 2007-10-31 富士通株式会社 露光データ作成方法
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6757645B2 (en) 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6453452B1 (en) * 1997-12-12 2002-09-17 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6035113A (en) * 1998-01-05 2000-03-07 International Business Machines Corporation Electron beam proximity correction method for hierarchical design data
SE9800665D0 (sv) * 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6360134B1 (en) 1998-07-20 2002-03-19 Photronics, Inc. Method for creating and improved image on a photomask by negatively and positively overscanning the boundaries of an image pattern at inside corner locations
US6259106B1 (en) * 1999-01-06 2001-07-10 Etec Systems, Inc. Apparatus and method for controlling a beam shape
US6262429B1 (en) 1999-01-06 2001-07-17 Etec Systems, Inc. Raster shaped beam, electron beam exposure strategy using a two dimensional multipixel flash field
US6214496B1 (en) * 1999-03-29 2001-04-10 Infineon Technologies North America Corp. Method for reducing corner rounding in mask fabrication utilizing elliptical energy beam
WO2000060415A1 (de) * 1999-04-01 2000-10-12 Sigma-C Gmbh Verfahren zur korrektur von abbildungsfehlern
US6424879B1 (en) * 1999-04-13 2002-07-23 Applied Materials, Inc. System and method to correct for distortion caused by bulk heating in a substrate
US6467076B1 (en) * 1999-04-30 2002-10-15 Nicolas Bailey Cobb Method and apparatus for submicron IC design
US6373071B1 (en) 1999-06-30 2002-04-16 Applied Materials, Inc. Real-time prediction of proximity resist heating and correction of raster scan electron beam lithography
US6720565B2 (en) * 1999-06-30 2004-04-13 Applied Materials, Inc. Real-time prediction of and correction of proximity resist heating in raster scan particle beam lithography
US6521901B1 (en) * 1999-09-20 2003-02-18 Applied Materials, Inc. System to reduce heat-induced distortion of photomasks during lithography
JP2001168017A (ja) * 1999-12-13 2001-06-22 Canon Inc 荷電粒子線露光装置、荷電粒子線露光方法及び制御データの決定方法、該方法を適用したデバイスの製造方法。
JP2001168018A (ja) 1999-12-13 2001-06-22 Canon Inc 荷電粒子線露光装置、荷電粒子線露光方法及び露光補正データの決定方法、該方法を適用したデバイスの製造方法。
US6584609B1 (en) * 2000-02-28 2003-06-24 Numerical Technologies, Inc. Method and apparatus for mixed-mode optical proximity correction
US6420717B1 (en) * 2000-04-11 2002-07-16 Applied Materials, Inc. Method and apparatus for real-time correction of resist heating in lithography
US6647137B1 (en) * 2000-07-10 2003-11-11 International Business Machines Corporation Characterizing kernel function in photolithography based on photoresist pattern
US6379851B1 (en) * 2000-07-31 2002-04-30 Applied Materials, Inc. Methods to predict and correct resist heating during lithography
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
GB2367228A (en) * 2000-09-21 2002-03-27 Leica Microsys Lithography Ltd Method for ascertaining the radiation dose for a layout
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6792590B1 (en) * 2000-09-29 2004-09-14 Numerical Technologies, Inc. Dissection of edges with projection points in a fabrication layout for correcting proximity effects
US6539521B1 (en) 2000-09-29 2003-03-25 Numerical Technologies, Inc. Dissection of corners in a fabrication layout for correcting proximity effects
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6584610B1 (en) * 2000-10-25 2003-06-24 Numerical Technologies, Inc. Incrementally resolved phase-shift conflicts in layouts for phase-shifted features
US6622288B1 (en) 2000-10-25 2003-09-16 Numerical Technologies, Inc. Conflict sensitive compaction for resolving phase-shift conflicts in layouts for phase-shifted features
US6665856B1 (en) 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
US6653026B2 (en) 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US6505327B2 (en) 2001-04-13 2003-01-07 Numerical Technologies, Inc. Generating an instance-based representation of a design hierarchy
US6789237B1 (en) * 2001-05-11 2004-09-07 Northwestern University Efficient model order reduction via multi-point moment matching
US6560766B2 (en) 2001-07-26 2003-05-06 Numerical Technologies, Inc. Method and apparatus for analyzing a layout using an instance-based representation
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
US6738958B2 (en) 2001-09-10 2004-05-18 Numerical Technologies, Inc. Modifying a hierarchical representation of a circuit to process composite gates
US6735752B2 (en) 2001-09-10 2004-05-11 Numerical Technologies, Inc. Modifying a hierarchical representation of a circuit to process features created by interactions between cells
US6670082B2 (en) * 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
KR100435260B1 (ko) 2001-12-03 2004-06-11 삼성전자주식회사 포토리소그래피 공정의 얼라인 계측방법
US6792592B2 (en) 2002-08-30 2004-09-14 Numerical Technologies, Inc. Considering mask writer properties during the optical proximity correction process
US7172838B2 (en) * 2002-09-27 2007-02-06 Wilhelm Maurer Chromeless phase mask layout generation
JP2004128196A (ja) * 2002-10-02 2004-04-22 Hitachi High-Technologies Corp 電子線描画装置と電子線描画方法
US6872507B2 (en) 2002-11-01 2005-03-29 Taiwan Semiconductor Manufacturing Company Radiation correction method for electron beam lithography
US7462848B2 (en) * 2003-10-07 2008-12-09 Multibeam Systems, Inc. Optics for generation of high current density patterned charged particle beams
US20090008579A1 (en) * 2003-10-07 2009-01-08 Tokyo Electron Limited Electron beam lithography apparatus and design method of patterned beam-defining aperture
US7928404B2 (en) * 2003-10-07 2011-04-19 Multibeam Corporation Variable-ratio double-deflection beam blanker
JP2005183577A (ja) 2003-12-18 2005-07-07 Sony Corp 露光装置、露光方法、および半導体装置の製造方法
US7025280B2 (en) * 2004-01-30 2006-04-11 Tokyo Electron Limited Adaptive real time control of a reticle/mask system
US7148496B2 (en) * 2004-04-13 2006-12-12 Massachusetts Institute Of Technology System and method for proximity effect correction in imaging systems
US7529421B2 (en) * 2004-07-01 2009-05-05 Applied Materials, Inc. Optical proximity correction in raster scan printing based on corner matching templates
US7207029B2 (en) * 2004-09-29 2007-04-17 Synopsys, Inc. Calculating etch proximity-correction using image-precision techniques
US20060183025A1 (en) * 2005-02-14 2006-08-17 Micron Technology, Inc. Methods of forming mask patterns, methods of correcting feature dimension variation, microlithography methods, recording medium and electron beam exposure system
JP2007110087A (ja) 2005-09-13 2007-04-26 Hitachi High-Technologies Corp 電子線装置及び電子線照射パターン生成方法
WO2007035166A2 (en) * 2005-09-26 2007-03-29 Micronic Laser Systems Ab Methods and systems for pattern generation based on multiple forms of design data
US7417233B2 (en) * 2005-09-28 2008-08-26 Applied Materials, Inc. Beam exposure correction system and method
US7265361B2 (en) * 2005-09-28 2007-09-04 Applied Materials, Inc. Beam blanker driver system and method
US7476879B2 (en) * 2005-09-30 2009-01-13 Applied Materials, Inc. Placement effects correction in raster pattern generator
US7498591B2 (en) * 2005-09-30 2009-03-03 Applied Materials, Inc. Critical dimension effects correction in raster pattern generator
JP4857963B2 (ja) * 2006-07-05 2012-01-18 ソニー株式会社 パターン抽出方法,パターン抽出装置および半導体装置の製造方法
US7897008B2 (en) * 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7902528B2 (en) * 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
US7824828B2 (en) * 2007-02-22 2010-11-02 Cadence Design Systems, Inc. Method and system for improvement of dose correction for particle beam writers
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
CN104795303B (zh) * 2009-05-20 2017-12-05 迈普尔平版印刷Ip有限公司 用于处理图案数据的方法
JP5801289B2 (ja) * 2009-05-20 2015-10-28 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフシステムのためのパターンデータ変換
US8178280B2 (en) * 2010-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-contained proximity effect correction inspiration for advanced lithography (special)
JP5636238B2 (ja) * 2010-09-22 2014-12-03 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5792513B2 (ja) * 2011-05-20 2015-10-14 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5894856B2 (ja) 2012-05-22 2016-03-30 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US9484186B2 (en) * 2012-10-23 2016-11-01 Synopsys, Inc. Modeling and correcting short-range and long-range effects in E-beam lithography
FR3000234B1 (fr) * 2012-12-21 2015-02-27 Commissariat Energie Atomique Procede d'estimation de motifs a imprimer sur plaque ou sur masque par lithographie a faisceau d'electrons et dispositif d'impression correspondant
TWI534528B (zh) 2013-03-27 2016-05-21 Nuflare Technology Inc Drawing an amount of the charged particle beam to obtain the modulation factor of a charged particle beam irradiation apparatus and method
US10274503B2 (en) 2013-05-08 2019-04-30 Vegenics Pty Limited Methods of using VEGF-C biomarkers for age-related macular degeneration (AMD) diagnosis
NL2014314B1 (en) * 2014-02-21 2016-07-19 Mapper Lithography Ip Bv Proximity effect correction in a charged particle lithography system.
US9443699B2 (en) 2014-04-25 2016-09-13 Ims Nanofabrication Ag Multi-beam tool for cutting patterns
EP2950325B1 (en) 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using overlapping exposure spots
JP6890373B2 (ja) * 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
JP2016184605A (ja) * 2015-03-25 2016-10-20 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び描画データ作成方法
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
KR102395198B1 (ko) 2015-09-22 2022-05-06 삼성전자주식회사 마스크 패턴의 보정 방법 및 이를 이용하는 레티클의 제조 방법
US10460071B2 (en) * 2015-11-04 2019-10-29 D2S, Inc. Shaped beam lithography including temperature effects
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10444629B2 (en) 2016-06-28 2019-10-15 D2S, Inc. Bias correction for lithography
US10325757B2 (en) * 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
JP7034825B2 (ja) * 2018-05-16 2022-03-14 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US11604451B2 (en) 2018-12-22 2023-03-14 D2S, Inc. Method and system of reducing charged particle beam write time
US10884395B2 (en) 2018-12-22 2021-01-05 D2S, Inc. Method and system of reducing charged particle beam write time
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
US11756765B2 (en) 2019-05-24 2023-09-12 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US10748744B1 (en) 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
JP2023138912A (ja) 2022-03-21 2023-10-03 アイエムエス ナノファブリケーション ゲーエムベーハー リソグラフィ描画法における熱膨張の補正

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900017155A (ko) * 1989-04-04 1990-11-15 다니이 아끼오 근접효과보정방법
JPH065502A (ja) * 1992-06-18 1994-01-14 Fujitsu Ltd 露光データ変換方法および露光データ変換装置
KR960011567A (ko) * 1994-09-16 1996-04-20 기다오까 다까시 광근접 효과 보정 시스템 및 그의 방법과 패턴 형성방법
KR970002451A (ko) * 1995-06-30 1997-01-24 노광용 마스크 제작장치에 있어서의 주사용 데이타 작성 장치 및 그 작성 방법
KR970012218A (ko) * 1995-08-25 1997-03-29 니시무로 타이조 하전빔 묘화방법

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3900737A (en) * 1974-04-18 1975-08-19 Bell Telephone Labor Inc Electron beam exposure system
CA1100237A (en) * 1977-03-23 1981-04-28 Roger F.W. Pease Multiple electron beam exposure system
US4243866A (en) * 1979-01-11 1981-01-06 International Business Machines Corporation Method and apparatus for forming a variable size electron beam
US4463265A (en) * 1982-06-17 1984-07-31 Hewlett-Packard Company Electron beam proximity effect correction by reverse field pattern exposure
US4988284A (en) * 1986-10-08 1991-01-29 Hewlett-Packard Company Method for compensating for the E-beam proximity effect
US4812962A (en) * 1987-04-09 1989-03-14 Harris Corp. Area feature sorting mechanism for neighborhood-based proximity correction in lithography processing of integrated circuit patterns
US5051598A (en) * 1990-09-12 1991-09-24 International Business Machines Corporation Method for correcting proximity effects in electron beam lithography
JP3192157B2 (ja) * 1990-09-17 2001-07-23 株式会社東芝 電子ビーム描画方法及び描画装置
US5294800A (en) * 1992-07-31 1994-03-15 International Business Machines Corporation E-beam control data compaction system and method
US5304441A (en) * 1992-12-31 1994-04-19 International Business Machines Corporation Method of optimizing exposure of photoresist by patterning as a function of thermal modeling
US5393987A (en) * 1993-05-28 1995-02-28 Etec Systems, Inc. Dose modulation and pixel deflection for raster scan lithography
JP2647000B2 (ja) * 1994-05-25 1997-08-27 日本電気株式会社 電子ビームの露光方法
US5657235A (en) * 1995-05-03 1997-08-12 International Business Machines Corporation Continuous scale optical proximity correction by mask maker dose modulation
US5736281A (en) * 1996-06-07 1998-04-07 Lucent Technologies Inc. Dose modification proximity effect compensation (PEC) technique for electron beam lithography

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900017155A (ko) * 1989-04-04 1990-11-15 다니이 아끼오 근접효과보정방법
JPH065502A (ja) * 1992-06-18 1994-01-14 Fujitsu Ltd 露光データ変換方法および露光データ変換装置
KR960011567A (ko) * 1994-09-16 1996-04-20 기다오까 다까시 광근접 효과 보정 시스템 및 그의 방법과 패턴 형성방법
KR970002451A (ko) * 1995-06-30 1997-01-24 노광용 마스크 제작장치에 있어서의 주사용 데이타 작성 장치 및 그 작성 방법
KR970012218A (ko) * 1995-08-25 1997-03-29 니시무로 타이조 하전빔 묘화방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101822676B1 (ko) 2010-04-15 2018-01-26 꼼미사리아 아 레네르지 아토미끄 에뜨 옥스 에너지스 앨터네이티브즈 복사 에너지와 설계안 지오메트리의 최적화를 조합하는 리소그래피 방법

Also Published As

Publication number Publication date
WO1998033197A1 (en) 1998-07-30
CA2249573A1 (en) 1998-07-30
KR20000064800A (ko) 2000-11-06
DE69813689T2 (de) 2003-12-18
EP0895652A1 (en) 1999-02-10
JP2000508839A (ja) 2000-07-11
EP0895652B1 (en) 2003-04-23
DE69813689D1 (de) 2003-05-28
US5847959A (en) 1998-12-08
JP4364310B2 (ja) 2009-11-18

Similar Documents

Publication Publication Date Title
KR100393129B1 (ko) 패턴발생에서근접효과의런타임보정을위한방법및장치
US5103101A (en) Multiphase printing for E-beam lithography
KR100403056B1 (ko) 패턴발생을위한라스터형상빔기록스트레티지방법
US5393987A (en) Dose modulation and pixel deflection for raster scan lithography
US7592103B2 (en) Electron beam writing method and lithography mask manufacturing method
JP2502418B2 (ja) 電子ビ―ムリトグラフィにおける近接効果を補正する方法
KR100310279B1 (ko) 패턴발생장치용라스터라이저
US6433348B1 (en) Lithography using multiple pass raster-shaped beam
US7476879B2 (en) Placement effects correction in raster pattern generator
JPH0210967A (ja) プリントパターンの形成方法
JP3512946B2 (ja) 電子ビーム描画装置および電子ビーム描画方法
US7498591B2 (en) Critical dimension effects correction in raster pattern generator
US10796882B2 (en) Charged particle beam writing apparatus and charged particle beam writing method
JP2003338460A (ja) 電子ビーム描画装置および電子ビーム描画方法
US20220221800A1 (en) Writing data generating method and multi charged particle beam writing apparatus
JP3930411B2 (ja) 荷電ビーム描画装置及び描画方法
KR20010052201A (ko) 비임 형상을 제어하는 방법 및 장치
US7420710B2 (en) Optical proximity correction in raster scan printing based on grayscale manipulation of the bitmap
JP4563682B2 (ja) 画像形成方法および装置
JPH05121303A (ja) 荷電粒子ビーム描画方法及び描画装置
Newman et al. Evaluation of OPC mask printing with a raster scan pattern generator
Newman et al. Raster-shaped beam pattern generation for 70-nm photomask production

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110629

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee