FR2786491A1 - Agent de reticulation pour photoresist, et composition de photoresist comprenant celui-ci - Google Patents

Agent de reticulation pour photoresist, et composition de photoresist comprenant celui-ci Download PDF

Info

Publication number
FR2786491A1
FR2786491A1 FR9914920A FR9914920A FR2786491A1 FR 2786491 A1 FR2786491 A1 FR 2786491A1 FR 9914920 A FR9914920 A FR 9914920A FR 9914920 A FR9914920 A FR 9914920A FR 2786491 A1 FR2786491 A1 FR 2786491A1
Authority
FR
France
Prior art keywords
photoresist
chemical formula
branched
linear
poly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
FR9914920A
Other languages
English (en)
Other versions
FR2786491B1 (fr
Inventor
Jae Chang Jung
Keun Kyu Kong
Myoung Soo Kim
Hyoung Gi Kim
Hyeong Soo Kim
Ki Ho Baik
Jin Soo Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hyundai Electronics Industries Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR10-1998-0051355A external-priority patent/KR100400296B1/ko
Priority claimed from KR10-1999-0005823A external-priority patent/KR100499869B1/ko
Application filed by Hyundai Electronics Industries Co Ltd filed Critical Hyundai Electronics Industries Co Ltd
Publication of FR2786491A1 publication Critical patent/FR2786491A1/fr
Application granted granted Critical
Publication of FR2786491B1 publication Critical patent/FR2786491B1/fr
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F216/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an alcohol, ether, aldehydo, ketonic, acetal or ketal radical
    • C08F216/38Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an alcohol, ether, aldehydo, ketonic, acetal or ketal radical by an acetal or ketal radical
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C43/00Ethers; Compounds having groups, groups or groups
    • C07C43/30Compounds having groups
    • C07C43/303Compounds having groups having acetal carbon atoms bound to acyclic carbon atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/04Acids; Metal salts or ammonium salts thereof
    • C08F220/06Acrylic acid; Methacrylic acid; Metal salts or ammonium salts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Abstract

L'invention se rapporte à un agent de réticulation et à une composition de photorésist le comprenant.Selon l'invention, l'agent de réticulation de photorésist est un homopolymère ou copolymère d'un monomère d'agent de réticulation comprenant un composé représenté par la Formule Chimique 1. L'invention trouve application dans des procédés de photolithographie utilisant des sources de lumière KrF (248 nm), ArF (180 nm), un faisceau E, un faisceau d'ions ou des UVE. Formule Chimique 1 (CF DESSIN DANS BOPI)

Description

<Desc/Clms Page number 1>
Domaine de l'invention
La présente invention se rapporte à des agents de réticulation ("agents réticulants") utilisables pour des compositions de photorésist, aux polymères de ceux-ci, et à des compositions de photorésist les comprenant. Plus spécifiquement, elle se rapporte à des agents de réticulation utilisés dans des photorésists appropriés pour des procédés de photolithographie utilisant une source de lumière KrF (248 nm) , Arf (193 nm) , un faisceau E, un faisceau d'ions ou des UVE lors de la préparation d'un microcircuit d'un élément semi-conducteur hautement intégré, et à des compositions de photorésist les employant.
Contexte de l'invention
Récemment, les photorésists UVD (ultraviolet lointain) du type à amplification chimique se sont prouvés être utiles pour atteindre une sensibilité élevée dans les procédés pour préparer des microcircuits dans la fabrication de semi-conducteurs. Ces photorésists sont préparés par mélange d'un générateur de photo-acide avec des macromolécules de matrice polymérique ayant des structures à acide labile.
Selon le mécanisme de réaction d'un tel photorésist, le générateur de photo-acide génère un acide lorsqu'il est irradié par la source de lumière, et la chaîne principale ou la chaîne ramifiée de la macromolécule de la matrice polymérique est réticulée avec l'acide généré pour former une structure réticulée.
Ainsi, la portion exposée à la lumière ne peut pas être dissoute par la solution de développement et reste inchangée, produisant ainsi une image négative d'un masque sur le substrat. Dans le procédé de lithographie, la résolution dépend de la longueur d'onde de la source lumineuse - plus la longueur d'onde est courte, plus le motif qui peut être formé est petit. Cependant, lorsque
<Desc/Clms Page number 2>
la longueur d'onde de la source lumineuse est diminuée de façon à former un micromotif [par exemple, dans le cas de l'utilisation d'une lumière d'une longueur d'onde de 193 nm ou d'UVE (ultraviolet extrême) ], cela est désavantageux en ce que la lentille du dispositif d'exposition est déformée par la source lumineuse, racourcissant ainsi sa durée de vie.
La mélamine, un agent de réticulation conventionnel, a un nombre limité (trois) de groupes fonctionnels qui peuvent former un réseau avec un acide.
De plus, une grande quantité d'acide doit être générée lorsque la mélamine est utilisée en tant qu'agent de réticulation, parce l'acide est consommé par la réaction de réticulation. Comme résultat, une exposition à une lumière d'énergie élevée est requise pour de tels agents de réticulation.
De façon à surmonter les avantages décrits cidessus, des composés du type à amplification chimique qui réticulent avec une résine de photorésist et utilisent des quantités moindres d'énergie sont souhaitables.
Cependant, de tels agents de réticulation du type à amplification chimique n'ont pas encore été développés.
De plus, dans un motif d'intégrité élevée, la solution de développement peut être imbibée dans le site réticulé, pour gonfler le site réticulé. Ainsi, de façon à former un motif d'intégrité plus élevée, l'incorporation d'un nouvel agent de réticulation, qui effectue une réticulation de façon plus élaborée, est requise.
La figure 1 montre un motif de photorésist qui a été formé en utilisant une composition de photorésist comprenant un agent de réticulation conventionnel (J.
Photopolymer Science and Technology, Vol. 11, No. 3,
<Desc/Clms Page number 3>
1998, 507-512) . Le motif est un motif de 0,225 m de L/S obtenu par un procédé de photolithographie employant une source de lumière ArF et un agent de réticulation monomérique.
Comme cela est montré en Figure 1, un gonflement se produit dans un motif de photorésist conventionnel, de sorte qu'un motif de moins de 0,225 m de L/S est difficile à obtenir.
RESUME DE L'INVENTION
L'objet de la présente invention est de fournir un agent de réticulation de photorésist, et un procédé pour préparer celui-ci.
Un autre objet de la présente invention est de fournir une composition de photorésist comprenant l'agent de réticulation, et un procédé pour préparer la composition.
Encore un autre objet de l'invention est de fournir un élément semi-conducteur fabriqué en utilisant la composition de photorésist.
De façon à atteindre ces objets, la présente invention fournit un monomère d'agent de réticulation qui comprend un composé représenté par la Formule Chimique 1 suivante .
<Formule Chimique 1>
Figure img00030001

où R1 et R2 représentent individuellement un alkyle en Ci-10 linéaire ou ramifié, un ester en Cl-10 linéaire ou
<Desc/Clms Page number 4>
ramifié, une cétone en C1-10 linéaire ou ramifiée, un acide carboxylique en Cl-10 linéaire ou ramifié, un acétal en Ci-10 linéaire ou ramifié, un alkyle en Ci-10 linéaire ou ramifié incluant au moins un groupe hydroxyle, un ester en Ci-10 linéaire ou ramifié incluant au moins un groupe hydroxyle, une cétone en Ci-10 linéaire ou ramifiée incluant au moins un groupe hydroxyle, un acide carboxylique en C1-10 linéaire ou ramifié incluant au moins un groupe hydroxyle, et un acétal en Cl-10 linéaire ou ramifié incluant au moins un groupe hydroxyle ; et R3 représente un hydrogène ou un méthyle.
L'invention fournit aussi un agent de réticulation de photorésist comprenant un homopolymère ou copolymère représenté par la Formule Chimique 1 ci-dessus.
Selon une première caractéristique, cet agent de réticulation comprend de plus un ou plus composés sélectionnés dans le groupe consistant en l'acide acylique, l'acide méthacrylyique et l'anhydride maléïque.
De préférence, l'agent de réticulation de photorésist de l'invention est sélectionné dans le groupe consistant en le poly(3,3-diméthoxypropène) ; le poly(3,3-diéthoxypropène) ; le poly(3,3-diméthoxypropène / acide acrylique) ; lepoly(3,3-diéthoxypropène / acide acrylique) ; le poly(3,3-diméthoxypropène / anhydride maléïque) ; le poly(3,3-diéthoxypropène / anhydride maléïque) ; le poly(3,3-diméthoxy-2-méthylpropène) ; et le poly(3,3-diéthoxy-2-méthylpropène).
L'invention procure encore une composition de photorésist qui comprend (i) un polymère de photorésist, (ii) l'agent de réticulation de l'invention, (iii) un générateur de photo-acide et (iv) un solvant organique.
<Desc/Clms Page number 5>
Selon une première caractéristique, le polymère de photorésist de la composition de photorésist de l'invention comprend des groupes hydroxyle.
De préférence, le polymère de photorésist de la composition de photorésist de l'invention est sélectionné dans le groupe consistant en les composés représentés par les Formules Chimiques 15 à 20 suivantes : <Formule Chimique 15>
Figure img00050001

<Formule Chimique 16>
Figure img00050002

<Formule Chimique 17>
Figure img00050003
<Desc/Clms Page number 6>
<Formule Chimique 18>
Figure img00060001

<Formule Chimique 19>
Figure img00060002

<Formule Chimique 20>
Figure img00060003
Selon une autre caractéristique de la composition de photorésist de l'invention, le générateur de photoacide est un ou plus composés sélectionnés dans le groupe consistant en le diphényl iodure hexafluorophosphate, le diphényl iodure hexafluoroarsenate, le diphényl iodure hexafluoroantimonate, le diphényl p-méthoxyphényl triflate, le diphényl p-toluényl triflate, le diphényl pisobutylphényl triflate, le diphényl p-tert-butylphényl triflate, le triphénylsulfonium hexafluorophosphate, le
<Desc/Clms Page number 7>
triphénylsulfonium hexafluoro-arsenate, le triphénylsulfonium hexafluoroantimonate, le triphénylsulfonium triflate et le dibutylnaphthyl-sulfonium triflate.
Selon une autre caractéristique de la composition de photorésist de l'invention, le solvant organique est sélectionné dans le groupe consistant en la cyclohexanone, le méthyl 3-méthoxypropionate, l'éthyl 3- éthoxypropionate et le propylène glycol méthyl éther acétate.
Un autre objet de l'invention est un procédé pour former un motif de photorésist, qui comprend les étapes de (a) revêtement de la composition de photorésist de l'invention sur une tranche (b) l'exposition de la tranche à une lumière en employant un appareil d'exposition, et (c) le développement de la tranche exposée.
Selon une caractéristique de ce procédé, la source de lumière est sélectionnée dans le groupe consistant en ArF (193 nm) , KrF (248 nm) un faisceau, des rayons UVE et UVD (ultraviolet lointain).
Selon une autre caractéristique du procédé de l'invention, l'étape de développement est mise en oeuvre en utilisant une solution de développement alcaline.
Selon encore une autre caractéristique du procédé de l'invention, la solution de développement alcaline est une solution de TMAH aqueuse à 2,38 % pds ou 2,5 % pds.
Toujours un autre objet de l'invention est un élément semi-conducteur fabriqué par le procédé de l'invention.
<Desc/Clms Page number 8>
BREVE DESCRIPTION DES FIGURES
La figure 1 montre un motif de photorésist préparé en utilisant l'agent de réticulation conventionnel ;
Les figures 2 à 12 montrent des motifs de photorésist préparés en utilisant un agent de réticulation selon la présente invention.
DESCRIPTION DETAILLEE DE L'INVENTION
Les inventeurs ont effectué des études intensives pour atteindre les objets de l'invention décrits cidessus, et ont trouvé que des composés représentés par la Formule Chimique 1 suivante ont les propriétés voulues pour servir en tant que monomère de réticulation dans la formation de polymères de photorésist négatifs.
<Formule Chimique 1>
Figure img00080001
Dans la Formule, R1 et R2 représentent individuellement un alkyle en Cl-10 linéaire ou ramifié, un ester en Cl-10 linéaire ou ramifié, une cétone en Ci-1. linéaire ou ramifiée, un acide carboxylique en Ci-10 linaire ou ramifié, un acétal en Cl-10 linéaire ou ramifié, un alkyle en Cl-10 linéaire ou ramifié incluant au moins un groupe hydroxyle, un ester en Cl-10 linéaire ou ramifié incluant au moins un groupe hydroxyle, une cétone en Ci-10 linéaire ou ramifiée incluant au moins un groupe hydroxyle, un acide carboxylique en Cl-10 linéaire ou ramifié incluant au moins un groupe hydroxyle, et un acétal en Cl-10 linéaire ou ramifié incluant au moins un groupe hydroxyle ; et R3 représente un hydrogène ou un méthyle.
<Desc/Clms Page number 9>
Les polymères d'agent de réticulation ayant des unités récurrentes dérivées des composés de Formule Chimique 1 réagissent avec une résine de photorésist ayant des groupes hydroxyle en présence d'un acide, pour induire une réaction de réticulation entre les polymères de photorésist. Le composé est un agent de réticulation du type à amplification chimique, et donc se combine de plus avec la résine de photorésist pour générer un acide (H ) pour induire une réticulation en chaîne continue.
Ainsi, la portion exposée de la résine de photorésist peut être polymérisée à une densité élevée au cours de l'étape de post-cuisson du procédé de fabrication du semi-conducteur, donnant ainsi un excellent motif.
L'agent de réticulation de photorésist selon la présente invention peut être un homopolymère du composé représenté par la Formule Chimique 1 ; il est plus préférable que l'agent de réticulation soit un copolymère de (i) le composé représenté par la Formule Chimique 1 et (ii) un ou plus composés sélectionnés dans le groupe consistant en un acrylate, un méthacrylate et un anhydride maléïque, en tant que second comonomère.
Dans ce cas, l'agent de réticulation selon la présente invention peut être présenté par la Formule Chimique 2 ou la Formule Chimique 3 ci-après .
<Formule Chimique 2>
Figure img00090001

où, R1 et R2 représentent individuellement un alkyle en Ci-10 linéaire ou ramifié, un ester en cl-10 linéaire ou ramifié, une cétone en Cl-10 linéaire ou ramifiée, un acide carboxylique en Ci-10 linéaire ou ramifié, un acétal en Ci-10 linéaire ou ramifié, un alkyle en Cl-10 linéaire
<Desc/Clms Page number 10>
ou ramifié incluant au moins un groupe hydroxyle, un ester en Cl-10 linéaire ou ramifié incluant au moins un groupe hydroxyle, une cétone en Cl-10 linéaire ou ramifiée incluant au moins un groupe hydroxyle, un acide carboxylique en Cl-10 linéaire ou ramifié incluant au moins un groupe hydroxyle, et un acétal en C1-10 linéaire ou ramifié incluant au moins un groupe hydroxyle ; R3 et R4 représentent individuellement un hydrogène ou un méthyle ; et a: b = 10 à 100 % en mole : 0 - 90 % moles.
<Formule Chimique 3>
Figure img00100001

où R1 et R2 représentent individuellement un alkyle en C1-10 linéaire ou ramifié, un ester en C1-10 linéaire ou ramifié, une cétone en C1-10 linéaire ou ramifiée, un acide carboxylique en C1-10 linéaire ou ramifié, un acétal en Ci-1. linéaire ou ramifié, un alkyle en CI-,() linéaire ou ramifié incluant au moins un groupe hydroxyle, un ester en CI-10 linéaire ou ramifié incluant au moins un groupe hydroxyle, une cétone en Cl-10 linéaire ou ramifiée incluant au moins une groupe hydroxyle, un acide carboxylique en C1-10 linéaire ou ramifié incluant au moins un groupe hydroxyle et un acétal en C1-10 linéaire ou ramifié incluant au moins un groupe hydroxyle ; R3 représente un hydrogène ou un méthyle ; et a : b = 10 à 90 % moles : 10 à 90 % moles.
Le mécanisme de réaction des agents de réticulation selon la présente invention est décrit ci-après en référence au Schéma Réactionnel 1 montré ci-après.
D'abord, un agent de réticulation selon la présente invention est mélangé avec une résine de photorésist, et
<Desc/Clms Page number 11>
le mélange est revêtu sur un substrat de semi-conducteur conventionnel (étape 1). Ensuite, lorsqu'une région prédéterminée du substrat est exposée à une lumière, la portion exposée génère un acide (étape 2). En raison de l'acide généré à partir de la portion exposée, l'agent de réticulation de la présente invention et le photorésist se combinent ensemble, et une telle réticulation génère de plus de l'acide, mettant ainsi en oeuvre une réticulation en chaîne continue (étape 3).
<Desc/Clms Page number 12>
(étape 3).
<Schéma Réactionnel 1>
Figure img00120001

-a . agent de réticulation 0=0 o o 1100 Ri R2 OHOHCHOH OH OH # OH polymère de photoresist ayant un /WVWNAAMWWWWWV groupe hydroxyle génération d'acide lors de l'exposition bzz b gaz 0 0 1 I OH Ri R2 H+ ---- acide OH OH OH OH 0 A \ C=0 ! Ri ? # + ( H+) + R.OH #OH # sert de calyseur car de l'acide est généré de nouveau après réticulation (réticulation du type à amplification chimique)
<Desc/Clms Page number 13>
Préparation des agents de réticulation
La préparation des polymères de réticulation selon la présente invention est spécifiquement décrite aux Exemples 1 à 8 ci-après.
Dans lex Exemples 1 à 8, l'AIBN est employé en tant qu'initiateur de polymérisation, mais d'autres initiateurs de polymérisation radicalaires tels que le lauryl peroxyde peuvent être employés à la place.
Dans les Exemples, le tétrahydrofurane a été utilisé en tant que solvant de polymérisation, cependant, d'autres solvants tels que le propylène glycol, le toluène un méthyl éther et acétate peuvent être utilisés à la place.
Préparation des compositions de photorésist
Un procédé pour préparer une composition de photorésist négative en utilisant un agent de réticulation de l'invention est décrit ci-après :
Puisque les agents de réticulation de la présente invention sont des agents de réticulation du type à amplification chimique, les compositions de photorésist de la présente invention comprennent (i) une résine de photorésist négative (ii) un agent de réticulation selon la présente invention, et (iii) un générateur de photoacide, avec un (iv) un solvant organique dans lequel ces substances sont mélangées.
En tant que générateur de photo-acide, des composés du type sulfure ou onium sont de préférence utilisés. Par exemple, le générateur de photo-acide peut être un ou plus composés sélectionnés dans le groupe consistant en le diphényl iodure hexafluorophosphate, le diphényl iodure hexafluoroarsenate, le diphényl iodure
<Desc/Clms Page number 14>
hexafluoroantimonate, le diphényl p-méthoxyphényl triflate, le diphényl p-toluényl triflate, le diphényl pisobutylphényl triflate, le diphényl p-tert-butylphényl triflate, le triphénylsulfonium hexafluorophosphate, le triphénylsulfonium hexafluoroarsenate, le triphénylsulfonium hexafluoroantimonate, le triphenylsulfonium triflate et le dibutylnaphthylsulfonium triflate.
En tant que solvant organique, la cyclohexanone, le méthyl 3-méthoxypriopionate, l'éthyl 3-éthoxypropionate, le propylène glycol méthyl éther acétate, ou d'autres solvants organiques peuvent être utilisés.
Formation du motif de photorésist
La composition de photorésist préparée selon la présente invention est revêtue par rotation sur une tranche de silicium pour former un film fin, et le film est "cuit doucement" dans une étuve ou sur une plaque chaude à 70 à 200 C, de préférence à 80 à 150 C pendant 1 à 5 minutes. Ensuite, le film de photorésist est exposé à une lumière en utilisant un appareil d'exposition aux ultraviolets lointains ou un appareil d'exposition à laser excimer, et ensuite "post-cuit" à 10 à 200 C, plus préférablement, à 100 à 200 C. En tant que source de lumière, une lumière ArF, une lumière KrF, un faisceau E, des rayons X, des UVE (ultraviolets extrêmes), des UVD (ultraviolets lointains) ou analogues peuvent être utilisés. L'énergie d'exposition est de préférence de 0,1 à 100mJ/cm2.
La tranche exposée est développée par imprégnation de la tranche dans une solution de développement alcaline telle qu'une solution de tétraméthylammonium hydroxyde (TMAH) aqueuse à 2,38 ,% pds ou 2,5 % pds pendant un temps prédéterminé, de préférence pendant 1,5 minutes, pour obtenir un ultramicro-motif (Figure 2).
<Desc/Clms Page number 15>
Lorqu'une composition de photorésist est préparée en utilisant le nouvel agent de réticulation selon la présente invention, la différence d'aptitude à la polymérisation de la résine de photorésist entre la portion exposée et la portion non exposée est prononcée, donnant ainsi un motif de photorésist ayant un profil encore plus excellent. De plus, les agents de réticulation de la présente invention peuvent atteindre des résultats suffisants en utilisant seulement une petite quantité de générateur de photo-acide, puisque l'agent de réticulation est du type à amplification chimique. Ainsi, les problèmes provoqués par l'utilisation d'une grande quantité de générateur de photo-acide peuvent être évités. La composition de photorésist présente une excellente sensibilité à la lumière, et donc une exposition suffisante peut être atteinte en utilisant une énergie d'une irradiation faible pour l'exposition. Par conséquent, elle est appropriée pour une photolithographie employant une source de lumière d'une longueur d'onde extrêmement courte, telle que ArF (193 nm).
Description détaillée du mode de réalisation préféré
L'invention est décrite plus en détail en se référant aux exemples ci-après, mais il doit être noté que la présente invention n'est pas restreinte à ces exemples.
Exemple 1 : Synthèse dupoly(3,3-diméthoxypropène)
De l'acroléine (30 g) représentée par la Formule Chimique 4 ci-après, de l'AIBN (0,6 g) et du tétrahydrofurane (75 g) ont été placés dans un flacon de 200 ml, et mis à réagir à 65 C sous une atmosphère d'azote ou d'argon pendant 8 heures. Après que la
<Desc/Clms Page number 16>
polymérisation était terminée, la polyacroléine a été précipitée à partir d'éthyl éther (rendement : 60 %).
La polyacryléine ainsi obtenue (20 g) et du méthanol (200 g) ont été placés dans un flacon à fond rond de 500 ml et mélangés intimement. De l'acide trifluorométhanesulfonique (0,5 g) a été ajouté à cela, et le mélange résultant a été chauffé sous reflux à 80 C pendant 24 heures, puis neutralisé à pH 7-8 en utilisant une solution de TMAH. Ensuite, le mélange réactionnel a été concentré en utilisant un évaporateur rotatif, et le résidu a été précipité à partir d'eau distillée. Le précipité a été séché in vacuo pour obtenir une résine de poly(3,3-diméthoxypropène) de Formule Chimique 5 (rendement : 60 %). Le composé de Formule Chimique 5 a été confirmé sur un spectre de RMN de celui-ci par la disparition du pic aldéhyde à 8 à 9 ppm. Le poids moléculaire du composé de Formule Chimique 5 est de préférence dans l'intervalle de 4000 à 6000.
<Formule Chimique 4>
Figure img00160001

<Formule Chimique 5>
Figure img00160002
Dans la formule, n représente le nombre de monomères participants à l'homopolymérisation.
<Desc/Clms Page number 17>
Exemple 2 Synthèse du poly(3,3-diméthoxypropène acide acrylique)
De l'acroléine de Formule Chimique 4 (30 g), de l'acide acrylique (3 g), de l'AIBN (0,66 g) et du tétrahydrofurane (80 g) ont été placés dans un flacon de 200 ml, le mélange a été mis à réagir à 60 C sous une atmosphère d'azote ou d'argon pendant 8 heures. Après que la polymérisation était complète, les polymères ont été obtenus par précipitation avec de l'eau (16 g rendement : 50 %). Les polymères ainsi obtenus (16 g) et le méthanol (300 g) ont été placés dans un flacon à fond rond, et mélangés intimement. De l'acide trifluorométhanesulfonique (0,8 ml) a été ajouté à cela, le mélange résultant a été chauffé sous reflux à 80 C pendant 8 heures, puis neutralisé à pH 7-8 en utilisant une solution de TMAH. Ensuite, le mélange réactionnel a été concentré en utilisant l'évaporateur rotatif, et la solution résultante a été dissoute dans du chloroforme (300 g). La solution a été placée dans un entonnoir de séparation, et de l'eau distillée (300 g) a été ajoutée à cela. Puis la couche d'eau distillée a été séparée et concentrée par évaporation sous pression réduite pour obtenir une résine de poly(3,3-diméthoxypropène / acide acrylique) de Formule Chimique 6 (rendement : 70 %, poids moléculaire : 4000 à 7000).
<Formule Chimique 6>
Figure img00170001
Dans la formule, a et b représentent individuellement le rapport de polymérisation de chaque comonomère.
<Desc/Clms Page number 18>
Exemple 3 : Synthèse du poly(3,3-diéthoxypropène)
La procédure selon l'Exemple 1 a été répétée mais en utilisant de l'éthanol à la place du méthanol, pour obtenir le composé représenté par la Formule Chimique 7 le poly(3,3-diéthoxypropène) (rendement : 67 %).
<Formule Chimique 7>
Figure img00180001
Dans la formule, n représente le nombre de monomères participant à l'homopolymérisation.
Exemple 4 : Synthèse du poly(3,3-diéthoxypropène acide acrylique)
La procédure selon l'Exemple 2 a été répétée mais en utilisant de l'éthanol à la place du méthanol, pour obtenir le composé représenté par la Formule Chimique 8, le poly(3,3-diéthoxypropène / acide acrylique) (rendement : 67 %).
<Formule Chimique 8>
Figure img00180002
Dans la formule, a et b représentent individuellement le rapport de polymérisation de chaque comonomère.
<Desc/Clms Page number 19>
Exemple 5 : Synthèse du poly(3,3-diméthoxypropène/ anhydride maléïaue)
Du 3,3-diméthoxypropène de Formule Chimique 9 (0,3 mole), de l'anhydride maléïque (0,1 mole), de l'AIBN (0,8 g) et du tétrahydrofurane (42 g) ont été placés dans un flacon de 100 ml et le mélange a été mis à réagir à 65 C sous une atmosphère d'azote ou d'argon pendant 8 heures.
Après que la polymérisation était complète, les polymères ont été précipités à partir d'éthyl éther. Le précipité de polymère a été séché in vacuo pour obtenir une résine pure représentée par la Formule Chimique 10 suivante : <Formule Chimique 9>
Figure img00190001

<Formule Chimique 10>
Figure img00190002

ou, a et b représentent individuellement le rapport de polymérisation de chaque comonomère.
Exemple 6 Synthèse du poly(3,3-diéthoxypropène/ anhydride maléïque)
La procédure selon l'Exemple 5 a été répétée mais en utilisant du 3,3diéthoxypropène (0,3 mole) de Formule Chimique 11 à la place du 3,3-diméthoxypropène (0,3 mole) de Formule Chimique 9, pour obtenir le composé représenté par la Formule Chimique 12 (rendement : 51 %).
<Desc/Clms Page number 20>
<Formule Chimique 11>
Figure img00200001

<Formule Chimique 12>
Figure img00200002
Dans la formule a et b représentent individuellement le rapport de polymérisation de chaque comonomère.
Exemple 7 Synthèse du poly(3,3-diméthoxy-2- méthylpropène)
La procédure selon l'Exemple 1 a été répétée mais en utilisant de la méthacroléine à la place de l'acroléine pour obtenir le composé représenté par la Formule Chimique 13, le poly(3,3-diméthoxy-2méthylpropène).
<Formule Chimique 13>
Figure img00200003
Dans la formule, n représente le nombre de monomères participant à l'homopolymérisation.
<Desc/Clms Page number 21>
Exemple 8 Synthèse du poly(3,3-diéthoxy-2- méthylpropène)
La procédure selon l'Exemple 3 a été répétée mais en utilisant de la méthacroléine à la place de l'acroléine pour obtenir le composé représenté par la Formule Chimique 14, le poly(3,3-diéthoxy-2méthylpropène) .
<Formule Chimique 14>
Figure img00210001
Dans la formule, n représente le nombre de monomères participant à l'homopolymérisation.
Exemple 9 (i) La résine de photorésist est représentée par la Formule Chimique 15 suivante qui est le poly(bicyclo[2.2.1]hept-5-ène / 2-hydroxyéthylbicyclo[2.2.1]hept-5-ène 2-carboxylate / anhydride maléïque) (20 g), (ii) un agent de réticulation le poly(3,3-diméthoxypropène) obtenu à l'Exemple 1 de la présente invention (5g) et (iii) du triphénylfonium triflate en tant que générateur de photo-acide (0,6 g) ont été dissous dans du propylène glycol méthyl éther acétate (200 g) en tant que solvant organique pour préparer une composition de photorésist.
<Formule Chimique 15>
Figure img00210002
<Desc/Clms Page number 22>
La composition de photorésist ainsi préparée a été revêtue sur une tranche de silicium, et cuite doucement à 110 C pendant 90 secondes, exposée à une lumière en utilisant un appareil d'exposition à ArF, post-cuite à 110 C pendant 90 secondes, et ensuite développée avec une solution de développement de TMAH à 2,38 % pds. Comme résultat, un ultramicro motif négatif de 0,13 m de L/S a été obtenu, comme illustré en Figure 3.
A ce moment, l'énergie exposée était de 18 mJ/cm2.
La sensibilité de polymérisation de la composition de photorésist était vraiment excellente à l'énergie d'exposition d'une telle faible intensité. Comme cela est montré en Figure 1, aucun gonflement n'était observé. Les résultats sont dus à la vraiment excellente aptitude à la polymérisation de la résine de poly(3,3diméthoxypropène), à l'agent de réticulation selon la présente invention, et à la réticulation intime résultant de ceux-ci. Ainsi, un ultramicro-motif présente un excellent profil de motif.
Exemple 10 (i) La résine de photorésist représentée par la Formule Chimique 15, c'est-à-dire, le poly (bicyclo [2 . 2 . 1] hept-5-ène 2-hydroxyéthylbicyclo[2.2.1.]hept-5-ène 2carboxylate / anhydride maléïque) (20 g), (ii) un agent de réticulation le poly(3,3-diméthoxypropène / acide acrylique) obtenu à l'Exemple 2 de la présente invention (20 g), et (iii) le triphénylsulfonium triflate en tant que générateur de photo-acide (0,7 g) ont été dissout dans du propylène glycol méthyl éther acétate (200 g) en tant que solvant organique, pour préparer une composition de photorésist.
La composition de photorésist ainsi préparée a été revêtue sur une tranche de silicium, et cuite doucement à
<Desc/Clms Page number 23>
110 C pendant 90 secondes, exposée à une lumière en utilisant un appareil d'exposition à ArF, post-cuite à 110 C pendant 90 secondes, et ensuite développée avec une solution de développement de TMAH à 2,38 % pds, pour obtenir un ultramicro motif négatif de 0, 13 m de L/S. A ce moment également, bien que l'énergie exposée était très faible (18 mJ/cm ) comme elle l'était à l'Exemple 1, un ultramicro-motif ayant un excellent profil de motif était obtenu (Figure 4).
Exemples 11 à 16
Les mêmes procédures ont été répétées pour les agents de réticulation obtenus aux Exemples 3 à 8, et comme résultat, d'excellents micromotifs ont été obtenus comme aux Exemples 9 et 10 (Figures 5 à 10).
Exemple 17 (i) La résine de photorésist représentée par la Formule Chimique 16 (20 g), (ii) un agent de réticulation le poly(3,3,-diméthoxypropène/acide acrylique) obtenu à l'Exemple 2 de la présente invention (10 g), et (iii) du triphénylsulfonium triflate en tant que générateur de photo-acide (0,6 g) ont été dissous dans du propylène glycol méthyl éther acétate (200 g) en tant que solvant organique, pour préparer une composition de photorésist.
La composition de photorésist ainsi préparée a été revêtue sur une tranche de silicium, et cuite doucement à 110 C pendant 10 secondes, exposée à une lumière en utilisant un appareil d'exposition à ArF, post-cuite à 110 C pendant 90 secondes, et ensuite développée avec une solution de développement de TMAH à 2,38 % pds, pour obtenir un ultramicro motif négatif de 0,13 m de L/S. A ce moment également, bien que l'énergie exposée était aussi très faible (15 mJ/cm2) comme elle l'était à
<Desc/Clms Page number 24>
l'Exemple 1, un ultramicro motif ayant un excellent profil de motif a été obtenu (Figure 11).
<Formule Chimique 16>
Figure img00240001
Exemple 18
La procédure selon l'Exemple 17 a été répétée mais en utilisant la résine de photorésist de Formule Chimique 17 à la place de la résine de Formule Chimique 16 pour obtenir un ultramicro-motif négatif de 0,18 m de L/S (Figure 12).
<Formule Chimique 17>
Figure img00240002
Exemple 19
La procédure selon l'Exemple 17 a été répétée mais en utilisant la résine de photorésist de Formule Chimique 18 à la place de la résine de Formule Chimique 16 pour obtenir un ultramicro-motif négatif de 0,20 m de L/S.
<Desc/Clms Page number 25>
<Formule Chimique 18>
Figure img00250001
Exemple 20
La procédure selon l'Exemple 17 a été répétée mais en utilisant la résine de photorésist de Formule Chimique 19 à la place de la résine de Formule Chimique 16 pour obtenir un ultramicro motif négatif de 0,20 m de L/S.
<Formule Chimique 19>
Figure img00250002
Exemple 21
La procédure selon l'Exemple 17 a été répétée mais en utilisant la résine de photorésist de Formule Chimique 20 à la place de la résine de Formule Chimique 16 pour obtenir un ultramicro-motif négatif de 0,20 m de L/S.
<Desc/Clms Page number 26>
<Formule Chimique 20>
Figure img00260001

Claims (14)

REVENDICATIONS
1. Monomère d'agent de réticulation de photorésist caractérisé en ce qu'il comprend un composé représenté par la Formule Chimique 1 : <Formule Chimique 1>
Figure img00270001
où, R1 et R2 représentent individuellement un alkyle en Cl-10 linéaire ou ramifié, un ester en Cl-10 linéaire ou ramifié, une cétone en CI-10 linéaire ou ramifiée, un acide carboxylique en Cl-10 linéaire ou ramifié, un acétal en Cl-10 linéaire ou ramifié, un alkyle en Cl-10 linéaire ou ramifié incluant au moins un groupe hydroxyle, un ester en Cl-10 linéaire ou ramifié incluant au moins un groupe hydroxyle, une cétone en Cl-10 linéaire ou ramifiée incluant au moins un groupe hydroxyle, un acide carboxylique en Cl-10 linéaire ou ramifié incluant au moins un groupe hydroxyle, et un acétal en Cl-10 linéaire ou ramifié incluant au moins un groupe hydroxyle ; et R3 représente un hydrogène ou un méthyle.
2. Agent de réticulation de photorésist caractérisé en ce qu'il comprend -un homopolymère ou copolymère du composé représenté par la Formule Chimique 1.
3. Agent de réticulation de photorésist selon la revendication 2, caractérisé en ce que le copolymère comprend de plus un ou plus composés sélectionnés dans le groupe consistant en l'acide acrylique, l'acide méthacrylique et l'anhydride maléïque.
4. Agent de réticulation de photorésist selon la revendication 2, caractérisé en ce qu'il est sélectionné dans le groupe consistant en le poly(3,3-diméthoxypropène) ; le poly(3,3-diéthoxypropène) ; le poly(3,3-
<Desc/Clms Page number 28>
diméthoxypropène / acide acrylique) ; le poly(3,3diéthoxypropène / acide acrylique) ; le poly(3,3diméthoxypropène / anhydride maléique) ; le poly(3,3diéthoxypropène / anhydride maléïque) ; le poly(3,3diméthoxy-2-méthylpropène) ; et le poly(3,3-diéthoxy-2méthylpropène) .
5. Composition de photorésist caractérisée en ce qu'elle comprend (i) un polymère de photorésist, (ii) l'agent de réticulation selon la revendication 2, (iii) un générateur de photo-acide et (iv) un solvant organique.
6. Composition de photorésist selon la revendication 5, caractérisée en ce que le polymère de photorésist comprend des groupes hydroxyle.
7. Composition de photorésist selon la revendication 6, caractérisée en ce que le polymère de photorésist est sélectionné dans le groupe consistant en les composés représentés par les Formules Chimiques 15 à 20 suivantes : <Formule Chimique 15>
Figure img00280001
<Formule Chimique 16>
Figure img00280002
<Desc/Clms Page number 29>
Figure img00290003
<Formule Chimique 19>
Figure img00290002
<Formule Chimique 18>
Figure img00290001
<Formule Chimique 17>
<Desc/Clms Page number 30>
Figure img00300001
<Formule Chimique 20>
8. Composition de photorésist selon la revendication 5, caractérisée en ce que -le générateur de photo-acide est un ou plus-composés sélectionnés dans le groupe consistant en le diphényl iodure hexafluorophosphate, le diphényl iodure hexafluouroarsenate, le diphényl iodure hexafluoroantimonate, le diphényl p-méthoxyphényl triflate, le diphényl ptoluényl triflate, le diphényl p-isobutylphényl triflate, le diphényl p-tert-butylphényl triflate, le triphénylsulfonium hexafluorophosphate, le triphénylsulfonium hexafluoroarsenate, le triphénylsulfonium hexafluoroantimonate, le triphénylsulfonium triflate et le dibutylnaphthylsulfonium triflate.
9. Composition de photorésist selon la revendication 5, caractérisée en ce que le solvant organique est sélectionné dans le groupe .consistant en la cyclohexanone, le méthyl 3-méthoxypropionate, l'éthyl 3- éthoxypropionate et le propylène glycol méthyl éther acétate.
10. Procédé de formation d'un motif de photorésist, caractérisé en ce qu'il comprend les étapes de (a) revêtement de la composition de la revendication 5 sur une tranche, (b) exposition de la tranche à une lumière en employant un appareil d'exposition, et (c) développement de la tranche exposée.
11. Procédé selon la revendication 10, caractérisé en ce que la source de lumière est sélectionnée dans le
<Desc/Clms Page number 31>
groupe consistant en un ArF (193 nm), KrF (248 nm) faisceau E, des rayons X, des UVE et UVD (ultraviolet lointain) .
12. Procédé selon la revendication 10, caractérisé en ce que l'étape de développement est mise en oeuvre en utilisant une solution de développement alcaline.
13. Procédé selon la revendication 12, caractérisé en ce que la solution de développement alcaline est une solution de TMAH aqueuse à 2,38% pds ou 2,5 % pds.
14. Elément semi-conducteur caractérisé en ce qu'il est fabriqué par le procédé selon la revendication 10.
FR9914920A 1998-11-27 1999-11-26 Agent de reticulation pour photoresist, et composition de photoresist comprenant celui-ci Expired - Fee Related FR2786491B1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-1998-0051355A KR100400296B1 (ko) 1998-11-27 1998-11-27 신규의포토레지스트가교제및이를이용한포토레지스트조성물
KR10-1999-0005823A KR100499869B1 (ko) 1999-02-22 1999-02-22 신규의 포토레지스트 가교제 및 이를 이용한 포토레지스트 조성물

Publications (2)

Publication Number Publication Date
FR2786491A1 true FR2786491A1 (fr) 2000-06-02
FR2786491B1 FR2786491B1 (fr) 2003-04-18

Family

ID=26634375

Family Applications (1)

Application Number Title Priority Date Filing Date
FR9914920A Expired - Fee Related FR2786491B1 (fr) 1998-11-27 1999-11-26 Agent de reticulation pour photoresist, et composition de photoresist comprenant celui-ci

Country Status (9)

Country Link
US (1) US6368773B1 (fr)
JP (1) JP4127941B2 (fr)
CN (1) CN1163796C (fr)
DE (1) DE19956531A1 (fr)
FR (1) FR2786491B1 (fr)
GB (1) GB2344104B (fr)
IT (1) IT1308659B1 (fr)
NL (1) NL1013685C2 (fr)
TW (1) TW459010B (fr)

Families Citing this family (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100546105B1 (ko) * 1999-11-03 2006-01-24 주식회사 하이닉스반도체 신규의 포토레지스트용 중합체 및 이를 함유하는포토레지스트 조성물
KR100359862B1 (ko) * 1999-12-23 2002-11-09 주식회사 하이닉스반도체 난반사 방지막용 중합체와 그 제조방법
JP4790153B2 (ja) * 2000-09-01 2011-10-12 富士通株式会社 ネガ型レジスト組成物、レジストパターンの形成方法及び電子デバイスの製造方法
KR100415091B1 (ko) * 2002-03-26 2004-01-13 주식회사 하이닉스반도체 미세패턴 형성 방법
KR100478982B1 (ko) * 2002-05-02 2005-03-25 금호석유화학 주식회사 신규 산발생제 및 이를 함유한 박막 조성물
JP4393258B2 (ja) * 2003-08-29 2010-01-06 富士フイルム株式会社 画像記録材料及び平版印刷版
KR100680405B1 (ko) * 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
KR100732301B1 (ko) * 2005-06-02 2007-06-25 주식회사 하이닉스반도체 포토레지스트 중합체, 포토레지스트 조성물 및 이를 이용한반도체 소자의 제조 방법
US7666575B2 (en) * 2006-10-18 2010-02-23 Az Electronic Materials Usa Corp Antireflective coating compositions
US7749680B2 (en) * 2007-01-05 2010-07-06 Hynix Semiconductor Inc. Photoresist composition and method for forming pattern of a semiconductor device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5840899B2 (ja) * 2011-08-29 2016-01-06 積水化学工業株式会社 ポリビニルアセタール樹脂の製造方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP5927095B2 (ja) * 2012-09-28 2016-05-25 富士フイルム株式会社 感活性光線性又は感放射線性組成物、並びに、それを用いたレジスト膜、マスクブランクス、及びレジストパターン形成方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP5920322B2 (ja) * 2013-11-28 2016-05-18 信越化学工業株式会社 ネガ型レジスト材料並びにこれを用いたパターン形成方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
TWI746628B (zh) * 2016-12-08 2021-11-21 南韓商三星電子股份有限公司 光阻組成物以及使用該光阻組成物形成精細圖案的方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (fr) 2018-02-14 2020-11-18 ASM IP Holding B.V. Procédé de dépôt d'un film contenant du ruthénium sur un substrat par un processus de dépôt cyclique
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (fr) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Procédés de dépôt cyclique pour former un matériau contenant du métal et films et structures comprenant le matériau contenant du métal
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB695789A (en) * 1950-02-11 1953-08-19 Distillers Co Yeast Ltd Oxygen containing propene derivatives
US3468857A (en) * 1965-10-04 1969-09-23 Ashland Oil Inc Thermosetting polymers of unsaturated acetals
DE3714276A1 (de) * 1987-04-29 1988-11-17 Hoechst Ag Hydrophile, vernetzte polymerisate, verfahren zu ihrer herstellung und ihre verwendung

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2866813A (en) * 1956-03-29 1958-12-30 Union Carbide Corp Aldehyde diacylates and process for producing the same
US4476033A (en) * 1982-07-30 1984-10-09 Phillips Petroleum Company Method and compositions for acidizing and fracturing wells
US4562009A (en) * 1983-11-28 1985-12-31 The Dow Chemical Company Halonitriles, their preparation and use to make halopyridines
DE3346266A1 (de) * 1983-12-21 1985-07-11 Lentia Gmbh Verfahren zur herstelung von glyoxal, alkylglyoxalen und von deren acetalen
DE3403426A1 (de) * 1984-02-01 1985-08-01 Degussa Ag, 6000 Frankfurt Verfahren zur herstellung von acetalen
PH23983A (en) * 1986-12-23 1990-02-09 Biopolymers Ltd Biostatic and biocidal composition
US5200051A (en) * 1988-11-14 1993-04-06 I-Stat Corporation Wholly microfabricated biosensors and process for the manufacture and use thereof
EP0720052A1 (fr) * 1994-12-27 1996-07-03 Mitsubishi Chemical Corporation Composition photosensible et plaque d'impression photolithographique
US5958995A (en) * 1996-08-29 1999-09-28 Xerox Corporation Blends containing photosensitive high performance aromatic ether curable polymers
US6121399A (en) * 1997-10-23 2000-09-19 Eastman Chemical Company Polymers of 3-butene esters, their preparation and use

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB695789A (en) * 1950-02-11 1953-08-19 Distillers Co Yeast Ltd Oxygen containing propene derivatives
US3468857A (en) * 1965-10-04 1969-09-23 Ashland Oil Inc Thermosetting polymers of unsaturated acetals
DE3714276A1 (de) * 1987-04-29 1988-11-17 Hoechst Ag Hydrophile, vernetzte polymerisate, verfahren zu ihrer herstellung und ihre verwendung

Also Published As

Publication number Publication date
JP2000181064A (ja) 2000-06-30
IT1308659B1 (it) 2002-01-09
CN1163796C (zh) 2004-08-25
US6368773B1 (en) 2002-04-09
GB2344104A (en) 2000-05-31
FR2786491B1 (fr) 2003-04-18
TW459010B (en) 2001-10-11
GB9927637D0 (en) 2000-01-19
JP4127941B2 (ja) 2008-07-30
GB2344104B (en) 2004-04-07
ITTO991042A1 (it) 2001-05-26
CN1255652A (zh) 2000-06-07
ITTO991042A0 (it) 1999-11-26
NL1013685A1 (nl) 2000-05-30
DE19956531A1 (de) 2000-05-31
NL1013685C2 (nl) 2001-06-21

Similar Documents

Publication Publication Date Title
FR2786491A1 (fr) Agent de reticulation pour photoresist, et composition de photoresist comprenant celui-ci
US20030091927A1 (en) Photoresist monomers, polymers and photoresist compositions for preventing acid diffusion
KR20010081753A (ko) 부분적으로 가교화된 2층 포토레지스트용 중합체
US6312868B1 (en) Photoresist cross-linker and photoresist composition comprising the same
US6235448B1 (en) Photoresist monomers, polymers thereof, and photoresist compositions containing the same
KR100362937B1 (ko) 신규의포토레지스트가교제,이를포함하는포토레지스트중합체및포토레지스트조성물
TWI311235B (fr)
US6482565B1 (en) Photoresist cross-linker and photoresist composition comprising the same
JP4347179B2 (ja) 新規の重合体及びこれを含有した化学増幅型レジスト
US6291131B1 (en) Monomers for photoresist, polymers thereof, and photoresist compositions using the same
KR20020000059A (ko) 부분적으로 가교화된 2층 포토레지스트용 중합체
JP2008045125A (ja) 極紫外線及び深紫外線用感光性高分子及びこれを含むフォトレジスト組成物
US6322948B1 (en) Photoresist cross-linker and photoresist composition comprising the same
FR2782715A1 (fr) Nouveau monomere de photoresist ayant un groupe hydroxy et un groupe carboxy, son copolymere et composition de photoresist l&#39;utilisant
US6465147B1 (en) Cross-linker for photoresist, and process for forming a photoresist pattern using the same
JP3536015B2 (ja) フォトレジスト用単量体とその製造方法、フォトレジスト用共重合体とその製造方法、フォトレジスト組成物、フォトレジストパターン形成方法、及び半導体素子
KR100400296B1 (ko) 신규의포토레지스트가교제및이를이용한포토레지스트조성물
US20030054285A1 (en) Chemically amplified negative photoresist, and photoresist composition
US7208260B2 (en) Cross-linking monomers for photoresist, and process for preparing photoresist polymers using the same
KR100249453B1 (ko) 초고집적 반도체의 고해상도 레지스트 재료용 지환족 유도체 및그의 제조 방법
US20020015917A1 (en) Multi-oxygen containing compound for preventing acid diffusion, and photoresist composition containing the same
KR100400297B1 (ko) 신규의포토레지스트가교제및이를이용한포토레지스트조성물
KR100499869B1 (ko) 신규의 포토레지스트 가교제 및 이를 이용한 포토레지스트 조성물
KR20000056474A (ko) 신규의 포토레지스트 가교제 및 이를 이용한 포토레지스트 조성물
JP2005344115A (ja) 感光性高分子樹脂及びこれを含む化学増幅型フォトレジスト組成物

Legal Events

Date Code Title Description
ST Notification of lapse

Effective date: 20100730