EP2452932A2 - Basenreaktive Fotosäuregeneratoren und diese enthaltende Fotoresiste - Google Patents

Basenreaktive Fotosäuregeneratoren und diese enthaltende Fotoresiste Download PDF

Info

Publication number
EP2452932A2
EP2452932A2 EP11189108A EP11189108A EP2452932A2 EP 2452932 A2 EP2452932 A2 EP 2452932A2 EP 11189108 A EP11189108 A EP 11189108A EP 11189108 A EP11189108 A EP 11189108A EP 2452932 A2 EP2452932 A2 EP 2452932A2
Authority
EP
European Patent Office
Prior art keywords
group
alkyl
base
heteroatom
chosen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP11189108A
Other languages
English (en)
French (fr)
Inventor
Emad Aqad
Mingqi Li
Cheng-Bai Xu
Deyan Wand
Cong Liu
Joon Seok Oh
Shintaro Yamada
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of EP2452932A2 publication Critical patent/EP2452932A2/de
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C303/00Preparation of esters or amides of sulfuric acids; Preparation of sulfonic acids or of their esters, halides, anhydrides or amides
    • C07C303/32Preparation of esters or amides of sulfuric acids; Preparation of sulfonic acids or of their esters, halides, anhydrides or amides of salts of sulfonic acids
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/04Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing only one sulfo group
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/06Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing halogen atoms, or nitro or nitroso groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/07Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton
    • C07C309/08Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton containing hydroxy groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/07Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton
    • C07C309/12Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton containing esterified hydroxy groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/17Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing carboxyl groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/19Sulfonic acids having sulfo groups bound to acyclic carbon atoms of a saturated carbon skeleton containing rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/20Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic unsaturated carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/23Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an unsaturated carbon skeleton containing rings other than six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/24Sulfonic acids having sulfo groups bound to acyclic carbon atoms of a carbon skeleton containing six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C63/00Compounds having carboxyl groups bound to a carbon atoms of six-membered aromatic rings
    • C07C63/68Compounds having carboxyl groups bound to a carbon atoms of six-membered aromatic rings containing halogen
    • C07C63/72Polycyclic acids
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • C07D307/02Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings
    • C07D307/26Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings having one double bond between ring members or between a ring member and a non-ring member
    • C07D307/30Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings having one double bond between ring members or between a ring member and a non-ring member with hetero atoms or with carbon atoms having three bonds to hetero atoms with at the most one bond to halogen, e.g. ester or nitrile radicals, directly attached to ring carbon atoms
    • C07D307/32Oxygen atoms
    • C07D307/33Oxygen atoms in position 2, the oxygen atom being in its keto or unsubstituted enol form
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/02Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings
    • C07D333/46Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings substituted on the ring sulfur atom
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F228/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a bond to sulfur or by a heterocyclic ring containing sulfur
    • C08F228/02Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a bond to sulfur or by a heterocyclic ring containing sulfur by a bond to sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/085Photosensitive compositions characterised by adhesion-promoting non-macromolecular additives
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2602/00Systems containing two condensed rings
    • C07C2602/36Systems containing two condensed rings the rings having more than two atoms in common
    • C07C2602/42Systems containing two condensed rings the rings having more than two atoms in common the bicyclo ring system containing seven carbon atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means

Definitions

  • This invention relates to new photoacid generator compounds ("PAGs") and photoresist compositions that comprise such compounds.
  • the invention relates to photoacid generator compounds that comprise base-reactive groups.
  • Positive- and negative-acting chemically amplified resists that contain such PAGs and that are imaged with short wavelength radiation such as sub-300 nm and sub-200 nm radiation are particularly preferred.
  • Photoresists are photosensitive films for transfer of images to a substrate. They form negative or positive images. After coating a photoresist on a substrate, the coating is exposed through a patterned photomask to a source of activating energy such as ultraviolet light to form a latent image in the photoresist coating.
  • the photomask has areas opaque and transparent to activating radiation that define an image desired to be transferred to the underlying substrate. A relief image is provided by development of the latent image pattern in the resist coating.
  • the use of photoresists is generally well-known to those skilled in the art.
  • Such photoacid generators may assist in lithographic performance of photoresists, such as exhibiting reduced defects associated with a resist relief image formed from the photoresist composition, and/or providing improved exposure latitude (EL), and/or reduced mask error factor (MEF).
  • EL exposure latitude
  • MEF mask error factor
  • photoacid generator compounds for use in either positive-acting or negative-acting photoresist compositions.
  • photoacid generator compounds are provided that have one or more base-reactive moieties, particularly base-reactive moieties that are reactive after exposure and post-exposure lithographic processing steps.
  • the base-reactive moiety will react upon treatment with aqueous alkaline developer compositions, such as 0.26N tetramethylammonium hydroxide aqueous developer compositions.
  • the present invention provides a photoacid generator compound of formula (I) or (II) R 5 M + R 6 R 7 r - O 3 S-R 1 p -X y -(R 2 Z w R 3 ) x (I) (P g -R 4 -Z 2 ) g1 R 5 M + R 6 R 7 r - O 3 S-R 1 p -X y -(R 2 Z w R 3 ) x1 (R 2 Z 1 -R 4 P g ) g2 (II) wherein each R 1 is chosen from (C 1 -C 10 )alkyl, heteroatom-containing (C 1 -C 10 )alkyl, fluoro(C 1 -C 10 )alkyl, heteroatom-containing fluoro(C 1 -C 10 )alkyl, (C 6 -C 10 )aryl, and fluoro(C 6 -C 10 )aryl; each R 2 is a chemical bond or a
  • the present invention provides polymers comprising as polymerized units one or more of the photoacid generator compounds of formula (II) described above. Such polymers are useful as photoacid generator compoundss in photoresist compositions.
  • photoresist composition comprising any of the above described photoacid generator compounds.
  • the present invention provides a method of forming a relief image comprising (a) applying a coating layer of a photoresist composition described above on a substrate; and (b) exposing the photoresist coating layer to patterned activating radiation and developing the exposed photoresist layer to provide a relief image.
  • the relief images e.g. a patterned line having essentially vertical sidewalls
  • alkyl includes linear, branched and cyclic alkyl.
  • fluoroalkyl refers to an alkyl group having one or more of its hydrogens replaced with one or more fluorine atoms. Fluoroalkyl includes all amounts of fluorine substitution from monofluoroalkyl to perfluoroalkyl.
  • (meth)acrylate includes both acrylate and methacrylate.
  • (meth)acrylic includes acrylic and methacrylic.
  • a base-reactive group will not react significantly (e.g. will not undergo a bond-breaking reaction) prior to a development step of the photoresist that comprises the base-reactive group.
  • a base-reactive group will be substantially inert during pre-exposure soft-bake, exposure and post-exposure bake steps.
  • substantially inert it is meant that ⁇ 5%, preferably ⁇ 1%, of the base-reactive groups (or moieties) will decompose, cleave or react during the pre-exposure soft-bake, exposure and post-exposure bake steps.
  • a base-reactive group as referred to herein will typically be reactive under typical photoresist development condition, e.g. single puddle development with 0.26N tetrabutyl ammonium hydroxide developer composition.
  • Preferred base-reactive groups of a component of photoacid generator compounds of the invention may provide upon treatment with base (such as aqueous alkaline developer) one or more hydroxy groups, one or more carboxylic acid groups, one or more sulfonic acid groups, and/or one or more other polar groups that will render the resist coating layer more hydrophilic.
  • base such as aqueous alkaline developer
  • photoresists of the invention can exhibit reduced defects by providing a more hydrophilic surface of the photoresist relief image as a result of reaction of the base-reactive groups and production of more polar (hydrophilic) groups on the photoacid generator compound during the development step, which can reduce occurrence of defects, particularly organic material residues in substrates areas intended to be bared upon development.
  • photoacid generator compounds of the invention can address desired low diffusivity of PAG acid in the resist film as well as reduce after-develop defectivity. It is further believed that the photoresist compositions containing the present PAGs show improved exposure latitude (EL), and/or reduced mask error factor (MEF), compared to conventional photoresists.
  • the PAGs of the present invention have the formula (I) or (II) R 5 M + R 6 R 7 r - O 3 S-R 1 p -X y -(R 2 Z w R 3 ) x (I) (P g -R 4 -Z 2 ) g1 R 5 M + R 6 R 7 r - O 3 S-R 1 p -X y -(R 2 Z w R 3 ) x1 (R 2 Z 1 -R 4 P g ) g2 (II) wherein each R 1 is chosen from (C 1 -C 10 )alkyl, heteroatom-containing (C 1 -C 10 )alkyl, fluoro(C 1 -C 10 )alkyl, heteroatom-containing fluoro(C 1 -C 10 )alkyl, (C 6 -C 10 )aryl, and fluoro(C 6 -C 10 )aryl; each R 2 is a chemical bond or a (C
  • R 3 may be any suitable (C 1 -C 30 )hydrocarbyl group.
  • exemplary hydrocarbyl groups include (C 1 -C 30 )alkyl, fluoro(C 1 -C 30 )alkyl and (C 6 -C 20 )aryl. It is preferred R 3 is chosen from H, (C 1 -C 30 )alkyl, fluoro(C 1 -C 30 )alkyl and (C 6 -C 20 )aryl.
  • R 4 may be any suitable (C 1 -C 3 )hydrocarbyl group.
  • exemplary hydrocarbyl groups include (C 1 -C 30 )alkyl, fluoro(C 1 -C 30 )alkyl and (C 6 -C 20 )aryl. It is preferred R 4 is chosen from a chemical bond, (C 1 -C 30 )alkyl, fluoro(C 1 -C 30 )alkyl and (C 6 -C 20 )aryl.
  • X is preferably a divalent linking group.
  • divalent linking groups include C 1 -C 30 -containing groups, preferably those having one or more heteroatoms chosen from O, N, S, and combinations thereof.
  • Preferred divalent linking groups include any divalent group having one or more of the following: -C(O)O-, - C(O)S-, -SO 3 - -S(O)-,-SO 2 -, and combinations thereof.
  • the present PAGs comprise one or more base-reactive moieties, that is Z in formula (I) and Z 1 or Z 2 in formula (II).
  • Z represents a base-reactive group.
  • Such base-reactive group may be bonded to a (C 1 -C 10 )hydrocarbyl group, such as when Z is an anhydride.
  • hydrocarbyl group is preferably chosen from (C 1 -C 10 )alkyl, heteroatom-containing (C 1 -C 10 )alkyl, fluoro(C 1 -C 10 )alkyl, heteroatom-containing fluoro(C 1 -C 10 )alkyl, (C 6 -C 10 )aryl, and fluoro(C 6 -C 10 )aryl.
  • Exemplary ⁇ -heteroatom-substituted lactones include, without limitation, those of the following formulas: wherein r1 and r2 are independently 1-10; q1 and q2 are independently 1-10; R 3 and R 4 are independently chosen from (C 1 -C 10 )hydrocarbyl, R 5 is H or (C 1 -C 10 )hydrocarbyl.
  • Preferred ⁇ -heteroatom-substituted lactones include the following:
  • the group -COO-R f - includes both -C(O)-O-R f - and -O-C(O)-R f -.
  • the group-SO 3 -R f - includes both -SO 2 -O-R f - and -O-S(O 2 )-R f -.
  • the (C 5 -C 30 )cyclohydrocarbyl groups comprising a base-reactive group may be aromatic or aliphatic, and may optionally contain or more heteroatoms chosen from O, S and N.
  • Exemplary base-reactive groups in such (C 5 -C 30 )cyclohydrocarbyl groups include hydroxyl, fluoroalkyl esters, fluorosulfonate esters, and -C(CF 3 ) 2 O-.
  • Preferred (C 5 -C 30 )cyclohydrocarbyl groups comprising a base-reactive group are phenol, and hydroxynaphthylene.
  • Z 1 may be any suitable base reactive group, which is polyvalent. Polyvalent refers to a base-reactive group bonded to two other group (divalent) or more than two.
  • Z 1 is a divalent base-reactive group.
  • Exemplary ⁇ -heteroatom-substituted lactones are those
  • Exemplary ⁇ -heteroatom-substituted lactones are those described above for Z. Suitable (C 5 -C 30 )cyclohydrocar
  • P g may be any suitable polymerizable group.
  • polymerizable group means any group which may be polymerized by itself (homopolymerization) or with one or more other polymerizable groups (copolymerization) to form a polymer. It is preferred that P g be a group that can be polymerized by either free-radical polymerization or by condensation. A free-radical polymerizable group is preferred, and more preferably P g comprises a (meth)acrylic group or a vinyl group.
  • At least one of g1 and g2 ⁇ 0, that is, at least one polymerizable group attached to a base-reactive group (either Z 1 or Z 2 ) must be present. It is preferred that either g1 or g2 1.
  • M is an organic sulfonium cation.
  • Suitable cations for M are sulfonium cations of formula (III) and iodonum cations of formula (IV): R 5 -I + -R 6 (IV) wherein R 5 to R 7 independently represents a carbocylic aryl group which may contain a substituent group (that is, may be optionally substituted), an allyl group, a (C 1 -C 20 )alkyl group which may contain a substituent group (that is may be optionally substituted) such as a perfluoro(C 1 -C 20 )alkyl group or a (C 6 -C 15 )aralkyl group such as benzyl and phenethyl, preferably at least one of R 5 to R 7 represents a carbocyclic aryl group; alternatively, R 5 and R 6 , or R 6 and R 7 are mutually bonded to form a ring together with the sulfur ion to
  • Particularly preferred sulfonium cations of formula (3c) are shown by structures C1-C6, particularly suitable sulfonium cations of formula (3d) are shown by structures D1 and D2, and a particularly suitable structure of formula (3e) is shown by structure E1.
  • the compounds of formula (II) comprise at least one polymerizable group (P g ) bonded to a base-reactive group, which is itself bonded to R 5 .
  • P g polymerizable group
  • the present PAGs may comprise one or more than one base-reactive group as described above.
  • fluorinated PAGs are provided that comprises one or more base-reactive groups.
  • a fluorinated sulfonic acid group e.g. -CF 2 SO 3 - , -CHFSO 3 - ,-(ester)CF 2 SO 3 - ,
  • adamantine components can be substituted with other bulky cage structures such as norbornane or dinorbornane that contain alcohol or carboxylic acid groups to incorporate the alkali-cleavable unit.
  • either or both anion and cation components may be covalently tethered to the resin.
  • Such polymers may be prepared according to known procedures.
  • Suitable PAGs of formula (II) may comprise structures as follows: wherein at least one of M 1 and M 2 are polymerizable group; X 1-4 represent base-reactive group; Y represents fluorinated linker; Q 1-4 represent divalent group; each of n1 and n2 represent an integer of 0 or 1, and n1 ⁇ n2; m1 and m2 represent an integer of 0 or 1, and m1 # m2.
  • R 1 , R 2 and R 3 are each independently a substituted or unsubstituted, straight or branched (C 1 -C 10 )alkyl, alkenyl or oxoalkyl group, or a substituted or unsubstituted (C 6 -C 18 )aryl, arkyl or aryloxoalkyl group, or any two or more of R 1 , R 2 and R 3 may be bonded together to form a ring with the sulfur atom; R f1 and R f2 are perfluorinated or partially fluorinated, substituted or unsubstituted, straight or branched (C 1 -C 10 )alkyl group or cyclic alkyl groups; X is H, CH 3 , F, CF 3 or other substitutes; Y and Z is substituted or unsubstituted, straight or branched (C 1 -C 20
  • PAGs of the invention are used in positive-acting or negative-acting chemically amplified photoresists, i.e. negative-acting resist compositions which undergo a photoacid-promoted crosslinking reaction to render exposed regions of a coating layer of the resist less developer soluble than unexposed regions, and positive-acting resist compositions which undergo a photoacid-promoted deprotection reaction of acid labile groups of one or more composition components to render exposed regions of a coating layer of the resist more soluble in an aqueous developer than unexposed regions.
  • Photoresists of the invention contain an imaging-effective amount of one or more of the present PAGs. Such PAGs may be a separate component, or may be bound to the resin. In yet a further alternative, photoresists of the invention may comprise both an imaging-effective amount of one or more of the individual PAGs and one or more resins comprising one or more of the present PAGs as polymerized units. Resists of the invention also may comprise a mixture of distinct PAGs, typically a mixture of 2 or 3 different PAGs, more typically a mixture that consists of a total of 2 distinct PAGs. At least one PAG of the mixture have one or more base-cleavable groups as disclosed herein.
  • Photoresists of the invention typically comprise a resin binder (polymer), a PAG as described above, and optionally one or more other components such as a base (quencher), solvent, actinic and contrast dyes, anti-striation agents, plasticizers, speed enhancers, sensitizers, and the like. More than one of any of these photoresist components may be used. Such optional additives if used are typically present in the composition in minor amounts such as from 0.1 to 10 wt% based on total solids of the photoresist composition.
  • the resin binder has functional groups that impart alkaline aqueous developability to the photoresist composition.
  • resin binders that comprise polar functional groups such as hydroxyl or carboxylate.
  • the resin binder is used in a resist composition in an amount sufficient to render the resist developable with an aqueous alkaline solution.
  • Preferred resins that have acid-labile deblocking groups for use in a positive-acting chemically-amplified photoresist of the invention have been disclosed in European Pat. App. No. 0829766 (resins with acetal and ketal resins) and European Pat. App. No. EP 0783136 (terpolymers and other copolymers including units of 1) styrene; 2) hydroxystyrene; and 3) acid labile groups, particularly alkyl acrylate acid labile groups such as t-butylacrylate or t-butylmethacrylate).
  • resins having a variety of acid labile groups will be suitable, such as acid sensitive esters, carbonates, ethers, imides, etc.
  • the photoacid labile groups will more typically be pendant from a polymer backbone, although resins that have acid labile groups that are integral to the polymer backbone also may be employed.
  • Preferred imaging wavelengths of the photoresists of the invention include sub-300 nm wavelengths, such as 248 nm, and more preferably sub-200 nm wavelengths, such as 193 nm and EUV, although other sub-200 nm wavelengths may be used, such as electron beam, ion beam and x-ray, or other ionizing radiation.
  • phenolic resins are typically preferred.
  • Preferred phenolic resins are poly (vinylphenols) which may be formed by block polymerization, emulsion polymerization or solution polymerization of the corresponding monomers in the presence of a catalyst.
  • Particularly preferred resins useful for imaging at these wavelengths include: i) polymers that contain polymerized units of a vinyl phenol and an alkyl (meth)acrylate, where the polymerized alkyl (meth)acrylate units can undergo a deblocking reaction in the presence of photoacid.
  • Exemplary alkyl (meth)acrylates that can undergo a photoacid-induced deblocking reaction include e.g.
  • t-butyl acrylate, t-butyl methacrylate, methyladamantyl acrylate, methyl adamantyl methacrylate, and other noncyclic alkyl and alicyclic acrylates that can undergo a photoacid-induced reaction such as polymers in U.S. Pat. Nos. 6,042,997 and 5,492,793 , incorporated herein by reference; ii) polymers that contain polymerized units of a vinyl phenol, an optionally substituted vinyl phenyl (e.g.
  • styrene that does not contain a hydroxy or carboxy ring substituent, and an alkyl (meth)acrylate such as those deblocking groups described with polymers i) above, such as polymers described in U.S. Pat. No. 6,042,997 , incorporated herein by reference; and iii) polymers that contain repeat units that comprise an acetal or ketal moiety that will react with photoacid, and optionally aromatic repeat units such as phenyl or phenolic groups.
  • Resins suitable for imaging at sub-200 nm, such as at 193 nm include various (meth)acrylate monomers and are well known in the art, such as those disclosed in U.S. Pat. Nos. 7,968,268 , 7,700,256 ; 7,432,035 ; 7,122,589 ; 7,041,838 ; 6,492,091 ; 6,280,898 ; and 6,239,231 , and U.S. Pat. Pub. Nos. 2009/0117489 and 2011/0003257 .
  • Exemplary resins include those comprising units of the following general formulae (I), (II) and (III): wherein: R 1 is a (C 1 -C 3 )alkyl group; R 2 is a (C 1 -C 3 )alkylene group; L 1 is a lactone group; and n is 1 or 2.
  • Suitable monomers for forming units of formula (I) include, for example, the following:
  • Suitable monomers for forming units of general formula (II) include, for example, the following:
  • Monomers for forming the unit of formula (III) include 3-hydroxy-1-adamantyl methacrylate (HAMA) and preferably 3-hydroxy-1-adamantyl acrylate (HADA).
  • HAMA 3-hydroxy-1-adamantyl methacrylate
  • HADA 3-hydroxy-1-adamantyl acrylate
  • the resin can include one or more additional units of general formulae (I), (II) and/or (III) different from the first units. Where additional such units are present in the resin, they will preferably include an additional leaving group-containing unit of formula (I) and/or a lactone-containing unit of formula (II).
  • the resin can include one or more additional monomer units which are not of general formula (I), (II) or (III).
  • additional monomer units may be used to prepare photoresist resins useful in the present invention.
  • the additional units for the resin will include the same or similar polymerizable group as those used for the monomers used to form the units of general formula (I), (II) or (III), but may include other, different polymerizable groups in the same polymer backbone, such as those which contain polymerized units of vinyl or a non-aromatic cyclic olefin (endocyclic double bond) such as an optionally substituted norbornene.
  • the resin is typically substantially free (that is, less than 15 mole%) of phenyl, benzyl or other aromatic groups where such groups are highly absorbing of the radiation.
  • the additional units if used are typically present in the polymer in an amount of from 10 to 30 mol%.
  • Blends of two or more resins can be used in the compositions of the invention.
  • the resin is present in the resist composition in an amount sufficient to obtain a uniform coating of desired thickness.
  • the resin is present in the composition in an amount of from 70 to 95 wt% based on total solids of the photoresist composition.
  • useful molecular weights for the resin are not limited to lower values, but cover a very broad range.
  • the weight average molecular weight M w of the polymers is typically less than 100,000, for example, from 5000 to 50,000, more typically from 6000 to 30,000 or from 7,000 to 25,000.
  • Suitable monomers used in forming the resins are commercially available and/or can be synthesized using known methods.
  • the resins can readily be synthesized by persons skilled in the art using the monomers with known methods and other commercially available starting materials.
  • a preferred optional additive of photoresists of the invention is an added base, particularly tetrabutylammonium hydroxide (TBAH) or various amides, which can enhance resolution of a developed resist relief image.
  • the added base is suitably used in relatively small amounts, e.g. 1 to 10 wt% relative to the PAG, more typically 1 to 5 wt%.
  • ammonium sulfonate salts such as piperidinium p-toluenesulfonate and dicyclohexylammonium p-toluenesulfonate
  • alkyl amines such as tripropylamine and dodecylamine
  • aryl amines such as diphenylamine, triphenylamine, aminophenol, 2-(4-aminophenyl)-2-(4-hydroxyphenyl)propane, etc.
  • the present photoresist compositions typically comprise a solvent.
  • suitable solvents include, for example: glycol ethers such as 2-methoxyethyl ether (diglyme), ethylene glycol monomethyl ether, and propylene glycol monomethyl ether; propylene glycol monomethyl ether acetate; lactates such as methyl lactate and ethyl lactate; propionates such as methyl propionate, ethyl propionate, ethyl ethoxy propionate and methyl-2-hydroxy isobutyrate; Cellosolve esters such as methyl Cellosolve acetate; aromatic hydrocarbons such as toluene and xylene; and ketones such as acetone, methylethyl ketone, cyclohexanone and 2-heptanone.
  • glycol ethers such as 2-methoxyethyl ether (diglyme), ethylene glycol monomethyl ether, and propylene glycol monomethyl ether
  • a blend of solvents such as a blend of two, three or more of the solvents described above also are suitable.
  • the solvent is typically present in the composition in an amount of from 90 to 99 wt%, more typically from 95 to 98 wt%, based on the total weight of the photoresist composition.
  • the photoresists of the invention are generally prepared following known procedures.
  • a resist of the invention can be prepared as a coating composition by dissolving the components of the photoresist in a suitable solvent.
  • the resin binder component of resists of the invention are typically used in an amount sufficient to render an exposed coating layer of the resist developable such as with an aqueous alkaline solution. More particularly, a resin binder will suitably comprise 50 to 90 wt% of total solids of the resist.
  • the photoactive component should be present in an amount sufficient to enable generation of a latent image in a coating layer of the resist. More specifically, the photoactive component will suitably be present in an amount of from 1 to 40 wt% of total solids of a resist. Typically, lesser amounts of the photoactive component will be suitable for chemically amplified resists.
  • the desired total solids content of the present photoresist compositions will depend on factors such as the particular polymers in the composition, final layer thickness and exposure wavelength. Typically the solids content of the photoresist varies from 1 to 10 wt%, more typically from 2 to 5 wt%, based on the total weight of the photoresist composition.
  • Preferred negative-acting photoresist compositions of the invention comprise a mixture of materials that will cure, crosslink or harden upon exposure to acid, and a photoactive component of the invention.
  • Preferred negative acting compositions comprise a resin binder such as a phenolic or non-aromatic resin, a crosslinker component and a photoactive component of the invention.
  • a resin binder such as a phenolic or non-aromatic resin
  • a crosslinker component such as a phenolic or non-aromatic resin
  • Preferred phenolic resins for use as the resin binder component include novolaks and poly(vinylphenol)s such as those discussed above.
  • Preferred crosslinkers include amine-based materials, including melamine, glycolurils, benzoguanamine-based materials and urea-based materials. Melamine-formaldehyde resins are generally most preferred.
  • Such crosslinkers are commercially available, e.g. the melamine resins sold by Cytec under the trade names Cymel 300, 301 and 303.
  • Glycoluril resins are sold by Cytec under trade names Cymel 1170, 1171, 1172, urea-based resins are sold under the trade names of Beetle 60, 65 and 80, and benzoguanamine resins are sold under the trade names Cymel 1123 and 1125.
  • the photoresists of the invention can be used in accordance with known procedures. Though the photoresists of the invention may be applied as a dry film, they are preferably applied on a substrate as a liquid coating composition, dried by heating to remove solvent preferably until the coating layer is tack free, exposed through a photomask to activating radiation, optionally post-exposure baked to create or enhance solubility differences between exposed and nonexposed regions of the resist coating layer, and then developed preferably with an aqueous alkaline developer to form a relief image.
  • the substrate on which a resist of the invention is applied and processed suitably can be any substrate used in processes involving photoresists such as a microelectronic wafer.
  • the substrate can be a silicon, silicon dioxide or aluminum-aluminum oxide microelectronic wafer.
  • Gallium arsenide, ceramic, quartz or copper substrates may also be employed.
  • Printed circuit board substrates such as copper clad laminates are also suitable substrates.
  • Substrates used for liquid crystal display and other flat panel display applications are also suitably employed, e.g. glass substrates, indium tin oxide coated substrates and the like.
  • a liquid coating resist composition may be applied by any standard means such as spinning, dipping or roller coating.
  • the photoresist layer (with overcoated barrier composition layer, if present) may be preferably exposed to activating radiation in an immersion lithography system, i.e. where the space between the exposure tool (particularly the projection lens) and the photoresist coated substrate is occupied by an immersion fluid, such as water or water mixed with one or more additives such as cesium sulfate, which can provide a fluid of enhanced refractive index.
  • an immersion fluid such as water or water mixed with one or more additives such as cesium sulfate, which can provide a fluid of enhanced refractive index.
  • the immersion fluid e.g., water
  • immersion fluid e.g., water
  • immersion fluid e.g., water
  • References herein to "immersion exposing" or other similar term indicates that exposure is conducted with such a fluid layer (e.g., water or water with additives) interposed between an exposure tool and the coated photoresist composition layer.
  • the exposure energy should be sufficient to effectively activate the photoactive component of the radiation sensitive system to produce a patterned image in the resist coating layer. Suitable exposure energies typically range from about 1 to 300 mJ/cm 2 .
  • Suitable post-exposure bake temperatures are from 50° C or greater, more specifically from 50 to 140° C.
  • a post-development bake may be employed if desired at temperatures of from 100 to 150° C for several minutes or longer to further cure the relief image formed upon development.
  • the substrate surface bared by development may then be selectively processed, for example chemically etching or plating substrate areas bared of photoresist in accordance with procedures known in the art. Suitable etchants include a hydrofluoric acid etching solution and a plasma gas etch such as an oxygen plasma etch.
  • the invention also provide methods for forming relief images of the photoresists of the invention, including methods for forming highly resolved patterned photoresist images (for example, a patterned line having essentially vertical sidewalls) of sub-quarter ⁇ m dimensions or less, such as sub-0.2 or sub-0.1 ⁇ m dimensions.
  • the invention further provides articles of manufacture comprising substrates such as a microelectronic wafer or a flat panel display substrate having coated thereon the photoresists and relief images of the invention.
  • compound 3 (45 g, 85.35 mmol) was dissolved in 200 mL acetonitrile.
  • Sodium dithionite 32.69 g, 187.75 mmol
  • sodium bicarbonate (21.5 g, 255.9 mmol) were dissolved in 200 mL deionized water.
  • the aqueous solution was added to the stirred acetonitrile solution and the reaction mixture was stirred at 70° C for 16 hours. Reaction monitoring by 19 F NMR indicated complete conversion.
  • the acetonitrile solution was used in the oxidation step without further isolation of the intermediary product.
  • Photoacid generator compound TPS NBHFA-TFPS from Example 1 was evaluated lithographically, and compared to a conventional PAG, triphenylsulfonium perfluorobutane sulfonate.
  • the photoresists were formulated using the components and proportions described below.
  • a photoresist polymer (A1) for use in the lithographic evaluations (below) was prepared using monomers M1-M5 below, according to the following procedure.
  • the photoresists were formulated using the components and proportions shown in Table 1, where the weight percentages were based on the total solids content of the composition.
  • the base used was t-butyloxycarbonyl-4-hydroxypyridine (TBOC-4HP), and SLA (surface leveling agent or surfactant) was PF 656, available from Omnova.
  • SLA surface leveling agent or surfactant
  • the photoresists were further formulated using as solvents propylene glycol methyl ether acetate (S1) and methyl 2-hydroxyisobutyrate (S2) in a 1:1 ratio by weight.
  • S1 propylene glycol methyl ether acetate
  • S2 methyl 2-hydroxyisobutyrate
  • the photoresist and comparative photoresist were each diluted to a final solids of 4 wt%.
  • Photoresists from Example 1 and Comparative Example 1 were lithographically processed as follows.
  • the photoresist was spin coated onto a 200 mm silicon wafer having an organic antireflective coating (ARTM77, Dow Electronic Materials) and baked at 110 °C for 60 seconds, to form a resist film 100 nm in thickness.
  • the photoresist was exposed with ArF excimer laser radiation (193 nm) using an ASML /1100 exposure tool (manufactured by ASML) with a numerical aperture (NA) of 0.75, under annular illumination with outer/inner sigma of 0.89/0.64 and focus offset/step 0.10/0.05.
  • a line-space pattern mask targeting a linewidth of 90 nm and a pitch of 180 nm was used to image the features.
  • the patterned resist was post exposure baked (PEB) at 100° C for 60 seconds followed by development with 0.26N aqueous tetramethylammonium hydroxide (TMAH) solution and subsequent water wash.
  • PEB post exposure baked
  • TMAH aqueous tetramethylammonium hydroxide
  • MEF Mask Error Factor
  • EL Exposure Latitude
  • Exposure latitude was defined as a difference in exposure energy to print +/-10% of the target diameter normalized by the sizing energy.
  • Mask Error Factor was defined as the ratio of critical dimension (CD) change for the resolved photoresist pattern to the relative dimension change on the mask pattern.
  • the photoresist formulation of the invention (PR1) prepared using the PAG from example 1 shows a higher exposure latitude and lower MEF values when compared with the nearly identical Comparative photoresist formulation but prepared using the commercially available triphenylsulfonium perfluorobutane sulfonate as the PAG.
  • PAGs from the present invention show the improved lithographic performance based on exposure latitude (EL) and mask error factor (MEF).
  • 2,2-Difluoro-3-(methacryloyloxy)propanoic acid To a mixture of 250 mL dichloromethane with 10.1 g (100 mmol) of triethylamine is added 12.6 g (100 mmol) of 2,2-difluoro-3-hydroxypropanoic acid and the resulting mixture is placed into an ice bath. 10.5 g (100 mmol) of methacryloyl chloride is added slowly into the flask and reaction is kept stirring for overnight. The resulting mixture is then washed with 200 mL of 1 % NaHC03 solution and the solvent is then subsequently removed. The product is then recrystallized from methanol to provide 2,2-difluoro-3-(methacryloyloxy)propanoic acid, in expected good yield, which is used for following synthesis without further purifications.
  • Vazo® 52 low-temperature polymerization initiator E. I. du Pont de Nemours and Company
  • Vazo® 52 low-temperature polymerization initiator E. I. du Pont de Nemours and Company
  • the monomers and initiator solution are degassed for 10 minutes. Under inert atmosphere, 5 mL of the monomer and initiator solution are introduced into a reactor which is preheated to 80° C (oil bath). The remainder of the monomer and initiator mixture is fed into the reactor at 80° C over a two hour period. Upon completion of the addition, the reaction mixture is refluxed for two additional hours. The mixture is next cooled to room temperature and the polymerization solution is precipitated into a large amount of diisopropyl ether, filtered and is then dried under vacuum. The resulting crude polymer is dissolved in 25-30 wt% tetrahydrofuran (THF) and precipitated into diisopropyl ether.
  • THF wt% tetrahydrofuran
  • the precipitated polymer is isolated by filtration and dried overnight at 40° C under vacuum.
  • the monomer structures for the polymeric bond PAG are summarized in following table. Monomer s Monomer 1 Monomer 2 Molar ratio(%) 38 38 Chemical Structures Monomer s Monomer 3 Monomer 4 Molar ratio(%) 19 5 Chemical Structures
  • Polymers having monomers similar to those in Example 3 are prepared according to the general procedure of Example 3, except that each of the following PAG monomers (P1-P3) is used to prepare a PAG polymer.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
EP11189108A 2010-11-15 2011-11-15 Basenreaktive Fotosäuregeneratoren und diese enthaltende Fotoresiste Withdrawn EP2452932A2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US45801410P 2010-11-15 2010-11-15

Publications (1)

Publication Number Publication Date
EP2452932A2 true EP2452932A2 (de) 2012-05-16

Family

ID=45062937

Family Applications (1)

Application Number Title Priority Date Filing Date
EP11189108A Withdrawn EP2452932A2 (de) 2010-11-15 2011-11-15 Basenreaktive Fotosäuregeneratoren und diese enthaltende Fotoresiste

Country Status (6)

Country Link
US (1) US9156785B2 (de)
EP (1) EP2452932A2 (de)
JP (2) JP2012136507A (de)
KR (1) KR20120052884A (de)
CN (1) CN102603586A (de)
TW (1) TWI541226B (de)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6064360B2 (ja) * 2011-05-11 2017-01-25 Jsr株式会社 パターン形成方法及びレジスト下層膜形成用組成物
TWI527792B (zh) * 2012-06-26 2016-04-01 羅門哈斯電子材料有限公司 光酸產生劑、含該光酸產生劑之光阻劑及含該光阻劑之經塗覆物件
JP6031420B2 (ja) * 2012-08-31 2016-11-24 ダウ グローバル テクノロジーズ エルエルシー 光酸発生剤を含む末端基を含むポリマー、前記ポリマーを含むフォトレジストおよびデバイスの製造方法
US9046767B2 (en) * 2013-10-25 2015-06-02 Rohm And Haas Electronic Materials Llc Photoacid generator, photoresist, coated substrate, and method of forming an electronic device
CN106030417B (zh) * 2014-02-21 2020-02-28 东京毅力科创株式会社 光增感化学放大型抗蚀剂材料及使用了其的图案形成方法、以及半导体器件、光刻用掩模和纳米压印用模板的制造方法
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
JP6512994B2 (ja) * 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
JP6774814B2 (ja) * 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
US9989849B2 (en) * 2015-11-09 2018-06-05 Jsr Corporation Chemically amplified resist material and resist pattern-forming method
US11613519B2 (en) * 2016-02-29 2023-03-28 Rohm And Haas Electronic Materials Llc Photoacid-generating monomer, polymer derived therefrom, photoresist composition including the polymer, and method of forming a photoresist relief image using the photoresist composition
JP6999330B2 (ja) * 2016-09-07 2022-01-18 住友化学株式会社 酸発生剤、レジスト組成物及びレジストパターンの製造方法
JP6963972B2 (ja) * 2016-12-01 2021-11-10 住友化学株式会社 酸発生剤、レジスト組成物及びレジストパターンの製造方法
JP6902896B2 (ja) * 2017-03-29 2021-07-14 東京応化工業株式会社 レジスト組成物、レジストパターン形成方法、含フッ素高分子化合物、及び化合物
JP7135456B2 (ja) * 2017-06-27 2022-09-13 住友化学株式会社 塩、酸発生剤、レジスト組成物及びレジストパターンの製造方法
JP6841183B2 (ja) * 2017-07-27 2021-03-10 信越化学工業株式会社 スルホニウム塩、ポリマー、レジスト組成物、及びパターン形成方法
US11650506B2 (en) 2019-01-18 2023-05-16 Applied Materials Inc. Film structure for electric field guided photoresist patterning process
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
JP2021175791A (ja) 2020-04-28 2021-11-04 信越化学工業株式会社 フルオロカルボン酸含有モノマー、フルオロカルボン酸含有ポリマー、レジスト材料及びパターン形成方法
US11914301B2 (en) * 2021-07-16 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0164248A2 (de) 1984-06-01 1985-12-11 Rohm And Haas Company Lichtempfindliche Beschichtungszusammensetzung, aus diesem hergestellte thermisch stabile Beschichtungen und Verfahren zur Herstellung von thermisch stabilen Polymerbildern
EP0232972A2 (de) 1986-01-13 1987-08-19 Rohm And Haas Company Negativ arbeitende Photolack-Zusammensetzung und Verfahren zur Herstellung von thermisch stabilen negativen Bildern damit
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5492793A (en) 1992-11-03 1996-02-20 International Business Machines Corporation Photoresist composition
EP0783136A2 (de) 1995-12-05 1997-07-09 Shipley Company LLC Chemisch verstärkte Positiv-Photoresists
EP0829766A2 (de) 1996-09-13 1998-03-18 Shipley Company LLC Neue Polymere und Photoresistzusammensetzungen
US6042997A (en) 1996-06-11 2000-03-28 Ibm Corporation Copolymers and photoresist compositions comprising copolymer resin binder component
US6239231B1 (en) 1998-08-26 2001-05-29 Sumitomo Chemical, Company Limited Chemical amplifying type positive resist composition
US6280898B1 (en) 1998-09-25 2001-08-28 Shin-Etsu Chemical Co., Ltd. Lactone-containing compounds, polymers, resist compositions, and patterning method
US6492091B2 (en) 2000-08-08 2002-12-10 Fuji Photo Film Co., Ltd. Positive photosensitive composition
US7041838B2 (en) 2000-12-06 2006-05-09 Mitsubishi Rayon Co., Ltd. (Meth)acrylate esters, starting alcohols for the preparation thereof, processes for preparing both, polymers of the esters, chemically amplifiable resist compositions, and method for forming patterns
US7122589B2 (en) 2002-09-30 2006-10-17 Fuji Photo Film Co., Ltd Positive resist composition and pattern formation method using the same
US7432035B2 (en) 1998-07-03 2008-10-07 Nec Corporation (Meth)acrylate derivative, polymer and photoresist composition having lactone structure, and method for forming pattern by using it
US20090117489A1 (en) 2007-11-05 2009-05-07 Rohm And Haas Electronics Materials Llc Compositons and processes for immersion lithography
US20100081088A1 (en) 2008-09-30 2010-04-01 Tokyo Ohka Kogyo Co., Ltd. Resist composition, method of forming resist pattern, compound and acid generator including the same
US7700256B2 (en) 1999-10-08 2010-04-20 Rohm And Haas Electronic Materials Llc Phenolic/alicyclic copolymers and photoresists
US20110003257A1 (en) 2009-06-08 2011-01-06 Rohm And Haas Electronic Materials Llc Processes for photolithography
US7968268B2 (en) 2005-05-01 2011-06-28 Rohm And Haas Electronic Materials Llc Compositions and processes for immersion lithography

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU721081B2 (en) 1996-12-10 2000-06-22 Zeria Pharmaceutical Co., Ltd. 1,5-benzodiazepine derivatives
US6841333B2 (en) 2002-11-01 2005-01-11 3M Innovative Properties Company Ionic photoacid generators with segmented hydrocarbon-fluorocarbon sulfonate anions
US7122294B2 (en) 2003-05-22 2006-10-17 3M Innovative Properties Company Photoacid generators with perfluorinated multifunctional anions
US7393627B2 (en) * 2004-03-16 2008-07-01 Cornell Research Foundation, Inc. Environmentally friendly photoacid generators (PAGs) with no perfluorooctyl sulfonates (PFOS)
JP4484681B2 (ja) * 2004-12-03 2010-06-16 富士フイルム株式会社 感光性組成物及び該感光性組成物を用いたパターン形成方法
EP1780198B1 (de) 2005-10-31 2011-10-05 Shin-Etsu Chemical Co., Ltd. Neuartige Fluorosulfonyloxyalkylsulfonatsalze und Derivate, Generatoren von Photosäure, Resistzusammensetzungen, sowie Musterübertragungsverfahren
JP4905666B2 (ja) * 2005-10-31 2012-03-28 信越化学工業株式会社 新規スルホン酸塩及びその誘導体、光酸発生剤並びにこれを用いたレジスト材料及びパターン形成方法
JP5070814B2 (ja) * 2005-11-21 2012-11-14 住友化学株式会社 化学増幅型レジスト組成物の酸発生剤用の塩
JP4682064B2 (ja) * 2006-03-09 2011-05-11 富士フイルム株式会社 感光性組成物、該組成物を用いたパターン形成方法及び該組成物に用いる化合物
JP4548616B2 (ja) * 2006-05-15 2010-09-22 信越化学工業株式会社 熱酸発生剤及びこれを含むレジスト下層膜材料、並びにこのレジスト下層膜材料を用いたパターン形成方法
JP5124806B2 (ja) * 2006-06-27 2013-01-23 信越化学工業株式会社 光酸発生剤並びにこれを用いたレジスト材料及びパターン形成方法
TWI412888B (zh) * 2006-08-18 2013-10-21 Sumitomo Chemical Co 適合作為酸產生劑之鹽及含有該鹽之化學放大型正光阻組成物
GB2441032B (en) * 2006-08-18 2008-11-12 Sumitomo Chemical Co Salts of perfluorinated sulfoacetic acids
JP5083528B2 (ja) * 2006-09-28 2012-11-28 信越化学工業株式会社 新規光酸発生剤並びにこれを用いたレジスト材料及びパターン形成方法
US7569326B2 (en) * 2006-10-27 2009-08-04 Shin-Etsu Chemical Co., Ltd. Sulfonium salt having polymerizable anion, polymer, resist composition, and patterning process
JP4893580B2 (ja) * 2006-10-27 2012-03-07 信越化学工業株式会社 重合性アニオンを有するスルホニウム塩及び高分子化合物、レジスト材料及びパターン形成方法
JP5401800B2 (ja) * 2007-02-15 2014-01-29 セントラル硝子株式会社 光酸発生剤用化合物及びそれを用いたレジスト組成物、パターン形成方法
TWI438182B (zh) * 2007-07-25 2014-05-21 Sumitomo Chemical Co 適用於酸產生劑之鹽以及含有該鹽之化學放大正型抗蝕劑組成物
JP2009160205A (ja) * 2008-01-04 2009-07-23 Toshiba Corp 医療支援装置、医療支援プログラム及び放射線撮影装置
KR100940915B1 (ko) * 2008-03-13 2010-02-08 금호석유화학 주식회사 화학증폭형 레지스트 조성물용 산발생제
JP5245956B2 (ja) 2008-03-25 2013-07-24 信越化学工業株式会社 新規光酸発生剤並びにこれを用いたレジスト材料及びパターン形成方法
JP4998746B2 (ja) * 2008-04-24 2012-08-15 信越化学工業株式会社 スルホニウム塩を含む高分子化合物、レジスト材料及びパターン形成方法
JP5407203B2 (ja) * 2008-07-14 2014-02-05 セントラル硝子株式会社 新規スルホン酸塩及びその誘導体、光酸発生剤並びにスルホン酸塩の製造方法
JP5125832B2 (ja) * 2008-07-14 2013-01-23 Jsr株式会社 感放射線性樹脂組成物
JP5201363B2 (ja) * 2008-08-28 2013-06-05 信越化学工業株式会社 重合性アニオンを有するスルホニウム塩及び高分子化合物、レジスト材料及びパターン形成方法
KR101054485B1 (ko) * 2008-09-23 2011-08-04 금호석유화학 주식회사 오늄염 화합물, 이를 포함하는 고분자 화합물, 상기 고분자화합물을 포함하는 화학증폭형 레지스트 조성물 및 상기 조성물을 이용한 패턴 형성 방법
TWI400226B (zh) * 2008-10-17 2013-07-01 Shinetsu Chemical Co 具有聚合性陰離子之鹽及高分子化合物、光阻劑材料及圖案形成方法
JP5401910B2 (ja) * 2008-10-17 2014-01-29 セントラル硝子株式会社 重合性アニオンを有する含フッ素スルホン塩類とその製造方法、含フッ素樹脂、レジスト組成物及びそれを用いたパターン形成方法
KR100998503B1 (ko) * 2008-10-30 2010-12-07 금호석유화학 주식회사 방향족 환을 포함하는 산 발생제
JP5573098B2 (ja) * 2008-11-14 2014-08-20 住友化学株式会社 化学増幅型フォトレジスト組成物
JP5398246B2 (ja) * 2008-12-10 2014-01-29 東京応化工業株式会社 レジスト組成物およびレジストパターン形成方法
JP5417150B2 (ja) * 2008-12-18 2014-02-12 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、それを用いたパターン形成方法、及び樹脂
JP5433251B2 (ja) * 2009-02-16 2014-03-05 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物及びそれを用いたパターン形成方法
JP5427436B2 (ja) * 2009-02-26 2014-02-26 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物及び該組成物を用いたパターン形成方法
JP5549288B2 (ja) * 2009-03-12 2014-07-16 セントラル硝子株式会社 フルオロアルカンスルホン酸アンモニウム塩類およびその製造方法
TWI473783B (zh) 2009-05-28 2015-02-21 Sumitomo Chemical Co 鹽及含有該鹽之光阻組成物
JP5808902B2 (ja) * 2009-11-10 2015-11-10 住友化学株式会社 塩及びレジスト組成物
JP5851688B2 (ja) 2009-12-31 2016-02-03 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 感光性組成物

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0164248A2 (de) 1984-06-01 1985-12-11 Rohm And Haas Company Lichtempfindliche Beschichtungszusammensetzung, aus diesem hergestellte thermisch stabile Beschichtungen und Verfahren zur Herstellung von thermisch stabilen Polymerbildern
EP0232972A2 (de) 1986-01-13 1987-08-19 Rohm And Haas Company Negativ arbeitende Photolack-Zusammensetzung und Verfahren zur Herstellung von thermisch stabilen negativen Bildern damit
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5492793A (en) 1992-11-03 1996-02-20 International Business Machines Corporation Photoresist composition
EP0783136A2 (de) 1995-12-05 1997-07-09 Shipley Company LLC Chemisch verstärkte Positiv-Photoresists
US6042997A (en) 1996-06-11 2000-03-28 Ibm Corporation Copolymers and photoresist compositions comprising copolymer resin binder component
EP0829766A2 (de) 1996-09-13 1998-03-18 Shipley Company LLC Neue Polymere und Photoresistzusammensetzungen
US7432035B2 (en) 1998-07-03 2008-10-07 Nec Corporation (Meth)acrylate derivative, polymer and photoresist composition having lactone structure, and method for forming pattern by using it
US6239231B1 (en) 1998-08-26 2001-05-29 Sumitomo Chemical, Company Limited Chemical amplifying type positive resist composition
US6280898B1 (en) 1998-09-25 2001-08-28 Shin-Etsu Chemical Co., Ltd. Lactone-containing compounds, polymers, resist compositions, and patterning method
US7700256B2 (en) 1999-10-08 2010-04-20 Rohm And Haas Electronic Materials Llc Phenolic/alicyclic copolymers and photoresists
US6492091B2 (en) 2000-08-08 2002-12-10 Fuji Photo Film Co., Ltd. Positive photosensitive composition
US7041838B2 (en) 2000-12-06 2006-05-09 Mitsubishi Rayon Co., Ltd. (Meth)acrylate esters, starting alcohols for the preparation thereof, processes for preparing both, polymers of the esters, chemically amplifiable resist compositions, and method for forming patterns
US7122589B2 (en) 2002-09-30 2006-10-17 Fuji Photo Film Co., Ltd Positive resist composition and pattern formation method using the same
US7968268B2 (en) 2005-05-01 2011-06-28 Rohm And Haas Electronic Materials Llc Compositions and processes for immersion lithography
US20090117489A1 (en) 2007-11-05 2009-05-07 Rohm And Haas Electronics Materials Llc Compositons and processes for immersion lithography
US20100081088A1 (en) 2008-09-30 2010-04-01 Tokyo Ohka Kogyo Co., Ltd. Resist composition, method of forming resist pattern, compound and acid generator including the same
US20110003257A1 (en) 2009-06-08 2011-01-06 Rohm And Haas Electronic Materials Llc Processes for photolithography

Also Published As

Publication number Publication date
TWI541226B (zh) 2016-07-11
US9156785B2 (en) 2015-10-13
KR20120052884A (ko) 2012-05-24
US20120129108A1 (en) 2012-05-24
JP2012136507A (ja) 2012-07-19
TW201229019A (en) 2012-07-16
JP2017008068A (ja) 2017-01-12
CN102603586A (zh) 2012-07-25

Similar Documents

Publication Publication Date Title
US9156785B2 (en) Base reactive photoacid generators and photoresists comprising same
TWI552987B (zh) 光酸產生劑、化學增幅型光阻材料及圖案形成方法
EP2455811B1 (de) Sulfoniumsalzhaltiges Polymer, Resistzusammensetzung, Strukturierungsverfahren und Sulfoniumsalzmonomer sowie Herstellungsverfahren
EP2664633B1 (de) Polymer, herstellungsverfahren, resistzusammensetzung und strukturierungsverfahren
KR101841452B1 (ko) 락톤 광산발생제, 수지 및 이들을 포함하는 포토레지스트
KR102291481B1 (ko) 술포늄 화합물, 포지티브형 레지스트 조성물, 및 레지스트 패턴 형성 방법
KR101401755B1 (ko) 포지티브형 레지스트 조성물 및 레지스트 패턴 형성 방법
KR101761974B1 (ko) 포지티브형 레지스트 재료, 중합성 모노머, 고분자 화합물 및 이것을 이용한 패턴 형성 방법
US8956803B2 (en) Sulfonium salt, resist composition, and patterning process
US8815492B2 (en) Chemically amplified positive resist composition for ArF immersion lithography and pattern forming process
US20130034813A1 (en) CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION FOR ArF IMMERSION LITHOGRAPHY AND PATTERN FORMING PROCESS
US8980527B2 (en) Pattern forming process and resist compostion
JP6848776B2 (ja) スルホニウム化合物、レジスト組成物、及びパターン形成方法
TWI602870B (zh) 高分子化合物、正型光阻組成物、疊層體及光阻圖案形成方法
TWI773975B (zh) 鋶化合物、化學增幅光阻組成物、以及圖案形成方法
KR20180077082A (ko) 화학 증폭 포지티브형 레지스트 조성물 및 레지스트 패턴 형성 방법
JP5212245B2 (ja) レジストパターンの製造方法
KR20040106428A (ko) 고분자 화합물, 이러한 고분자 화합물을 함유하는레지스트 조성물 및 용해 제어제
KR20220154630A (ko) 화학 증폭형 레지스트 조성물, 포토마스크 블랭크, 레지스트 패턴의 형성 방법 및 고분자 화합물의 제조 방법
US7592122B2 (en) Photoresist composition, and low-molecular compound and high-molecular compound for the photoresist composition
JP2022123567A (ja) 化学増幅ポジ型レジスト組成物及びレジストパターン形成方法
US20050069807A1 (en) Photoresist composition
WO2024070091A1 (ja) オニウム塩、光酸発生剤、ポリマー、レジスト組成物及び、該レジスト組成物を用いたデバイスの製造方法
KR20240080137A (ko) 레지스트 조성물 및 패턴 형성 방법
JP2022059112A (ja) スルホニウム塩、化学増幅レジスト組成物及びパターン形成方法

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20111115

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

AX Request for extension of the european patent

Extension state: BA ME

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION HAS BEEN WITHDRAWN

18W Application withdrawn

Effective date: 20130227