EP1676294A2 - Systeme de traitement thermique a systeme d'injection a debit transversal a injecteurs rotatifs - Google Patents

Systeme de traitement thermique a systeme d'injection a debit transversal a injecteurs rotatifs

Info

Publication number
EP1676294A2
EP1676294A2 EP04784778A EP04784778A EP1676294A2 EP 1676294 A2 EP1676294 A2 EP 1676294A2 EP 04784778 A EP04784778 A EP 04784778A EP 04784778 A EP04784778 A EP 04784778A EP 1676294 A2 EP1676294 A2 EP 1676294A2
Authority
EP
European Patent Office
Prior art keywords
flow
injection
cross
liner
wafers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP04784778A
Other languages
German (de)
English (en)
Other versions
EP1676294A4 (fr
Inventor
Dale R. Du Bois
Cole Porter
Robert B. Herring
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aviza Technology Inc
Original Assignee
Aviza Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Technology Inc filed Critical Aviza Technology Inc
Publication of EP1676294A2 publication Critical patent/EP1676294A2/fr
Publication of EP1676294A4 publication Critical patent/EP1676294A4/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Definitions

  • the present invention relates generally to systems and methods for heat- treating objects, such as substrates. More specifically, the present invention relates to an apparatus and method for heat treating, annealing, and depositing layers of material on or removing layers of material from a semiconductor wafer or substrate.
  • Thermal processing apparatuses are commonly used in the manufacture of integrated circuits (ICs) or semiconductor devices from semiconductor substrates or wafers.
  • Thermal processing of semiconductor wafers include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the substrate. These processes often call for the wafer to be heated to a temperature as high asl300°C and as low as 0°C before and during the process, and that one or more fluids, such as a process gas or reactant, be delivered to the wafer.
  • a conventional thermal processing apparatus typically consists of a voluminous process chamber positioned in or surrounded by a furnace. Substrates to be thermally processed are sealed in the . process chamber, which is then heated by the furnace to a desired temperature at which the processing is performed. For many processes, such as Chemical Vapor Deposition (CVD), the sealed process chamber is first evacuated, and once the process chamber has reached the desired temperature a reactive or process gases are introduced to form or deposit reactant species on the substrates.
  • CVD Chemical Vapor Deposition
  • thermal processing apparatus typically and in particular vertical thermal processing apparatuses, required guard heaters disposed adjacent to side alls of the process chamber above and below the process zone in which product wrfers were processed.
  • This arrangement is undesirable since it entails a larger chamber volume that must be pumped down, filled with process gas oi vapor, and backfilled o ⁇ purged, resulting in increased processing time.
  • this configuration takes up a tremendous amount of space and power due to a poor view factor of the wafers from the heaters.
  • Other problems with conventional thermal processing apparatuses include the considerable time required both before processing to ramp up the temperature of the process chamber and the wafer to be treated, and the time required after processing to tamp down the temperature.
  • Another problem with this solution is that increasing the size of the process chamber to accommodate a larger number of wafers increases the thermal mass effects of the process chamber, thereby reducing the rate at which the wafer can be heated or cooled, Moreover, larger process chambers processing larger batches of wafers leads to or compounds a first-in-last-out syndrome in which the first wafers loaded into the chamber are also the last wafers removed, resulting in these wafers being exposed to elevated temperatures for longer periods and reducing uniformity across the batch of wafers.
  • Another problem with the above approach is that systems and apparatuses used for many of the processes before and after thermal processing are not amenable to simultaneous processing of large numbers of wafers.
  • thermal processing of large batches or large numbers wafers while increasing the throughput of the thermal processing apparatus, can do little to improve the overall throughput of the semiconductor fabrication facility and may actually reduce it by requiring wafers to accumulate ahead of the thermal processing apparatus or causing wafers to bottleneck at other systems and apparatuses downstream therefrom.
  • RTP rapid thermal processing
  • RTP systems generally use high intensity lamps to selectively heat a single wafer or small number of wafers within a small, transparent, usually quartz, process chamber, RTP systems minimize or eliminate the thermal mass effects of the process chamber, and since the lamps have very low thermal mass, the wafer can be heated and cooled rapidly by instantly turning the lamps on or off,
  • conventional RTP systems have significant shortcomings including the placement of the lamps, which in the past were arranged in zones or banks each consisting of a number of lamps adjacent to sidewalls of the process chamber, This configuration is problematic because it takes up a tremendous amount of space and power in order to be effective due to their poor view factor, all of which are at a premium in the latest generation of semiconductor processing equipment
  • Another problem with conventional RTP systems is their inability to provide uniform temperature distribution across multiple wafers within a single batch of wafers and even across a single wafer.
  • RTP systems Yet another troublesome area for RTP systems is in maintaining uniform temperature distribution across the outer edges and the center of the wafer.
  • Most conventional RTP systems have no adequate means to adjust for this type of temperature non-uniformity.
  • transient temperature fluctuations occur across the surface of the wafer that can cause the formation of slip dislocations in the wafer at high temperatures, unless a black body susceptor is used that is larger in diameter than the wafer.
  • Conventional lamp-based RTP systems have other drawbacks. For example, there are no adequate means for providing uniform power distribution and temperature uniformity during transient periods, such as when the lamps are powered on and off, unless phase angle control is used which produces electrical noise.
  • the present invention provides a solution to these and other problems, and offers other advantages over the prior art.
  • the present invention provides an apparatus and method for isothermally heating work pieces, such as semiconductor substrates or wafers, for performing processes such as annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the wafer.
  • a thermal processing apparatus is provided for processing substrates held in a carrier at high or elevated temperatures.
  • the apparatus includes a process chamber having a top wall, a side wall and a bottom wall, and a heating source having a number of heating elements proximal to the top wall, the side wall and the bottom wall of the process chamber to provide an isothermal environment in a process zone in which the carrier is positioned to thermally process the substrates.
  • the dimensions of the process chamber are selected to enclose a volume substantially no larger than a .volume necessary to accommodate the carrier, and the process zone extends substantially throughout the process chamber.
  • the process chamber has dimensions selected to enclose a volume substantially no larger than 125% of that necessary to accommodate the carrier.
  • the apparatus further includes a pumping system to evacuate the process chamber prior to processing pressure and a purge system to backfill the process chamber after processing is complete, and the dimensions of the process chamber are selected to provide both a rapid evacuation and a rapid backfilling of the process chamber
  • the bottom wall of the process chamber includes a movable pedestal having at least one heating element therein, and the movable pedestal is adapted to be lowered and raised to enable the carrier with the substrates to be inserted into and removed from the process chamber.
  • the apparatus further includes a removable thermal shield adapted to be inserted between heating element in the pedestal and the substrates held the carrier.
  • the thermal shield is adapted to reflect thermal energy from the heating element in the pedestal back to the pedestal, and to shield the substrates on the carrier from thermal energy from the heating element in the pedestal.
  • the apparatus further includes a shutter adapted to be moved into place above the carrier to isolate the process chamber when the pedestal is in a lowered position.
  • the apparatus includes a pumping system to evacuate the process chamber, and the shutter can be adapted to seal with the process chamber, thereby enabling the pumping system to evacuate the process chamber when the pedestal is in the lowered position
  • the apparatus further includes a magnetically coupled repositioning system that repositions the carrier during thermal processing of the substrates.
  • the mechanical energy used to reposition the carrier is magnetically coupled through the pedestal to the carrier without use of a movable feedthrough into the process chamber, and substantially without moving the heating element in the pedestal.
  • the magnetically coupled repositioning system is a magnetically coupled rotation system that rotates the carrier within the process zone during thermal processing of the substrates.
  • the apparatus further includes a liner separating the carrier from the top wall and the side wall of the process chamber, and a distributive or cross-flow injection system to direct flow of a fluid across surfaces of each of the substrates held in the carrier.
  • the cross-flow injection system generally includes a cross-flow injector having a number of injection ports positioned relative to substrates held in the carrier, and through which the fluid is introduced on one side of the number of substrates.
  • a number of exhaust ports in the liner positioned relative to the substrates held in the carrier cause the fluid to flow across the surfaces of the substrates.
  • Fluids introduced by the cross-flow injection system can include process gas or vapor, and inert purge gases or vapor used for purging or backfilling the chamber or for cooling the substrates therein.
  • the apparatus of the present invention includes an injection system which provides for selectable injection of gases to the process chamber.
  • the injection system of the present invention comprises one or more elongated injection tubes having a plurality of injection ports or orifices distributed in the tubes for directing flow of reactant and other gases across the surface of each substrate.
  • the elongated injection tubes are rotatable about an axis in 360 degrees.
  • the apparatus of the present invention comprises a process chamber providing a process region for a plurality of substrates held in a carrier, a cross-flow liner enclosing the carrier, and a cross-flow injection system disposed between the carrier and the cross-flow liner to direct flow of one or more gases across the surface of each substrate.
  • the cross-flow injection system comprising a plurality of injection ports rotatable about an axis.
  • FIG. 1 is a cross-sectional view of a thermal processing apparatus having a pedestal heater for providing an isothermal control volume according to an embodiment of the present invention, employing conventional up-flow configuration
  • FIG. 2 is a perspective view of an alternative embodiment a base-plate useful in the thermal processing apparatus shown in FIG. 1
  • FIG. 3 is a cross-sectional view of a portion of a thermal processing apparatus having a pedestal heater and a thermal shield according to an embodiment of the present invention
  • FIG, 4 is a diagrammatic illustration of the pedestal heater and thermal shield of FIG.
  • FIG. 5 is a diagrammatic illustration of an embodiment of the thermal shield having a top layer of material with a high absorptivity and a lower layer of material with a high reflectivity according to present invention
  • FIG. 6 is a diagrammatic illustration of another embodiment of the thermal shield having a cooling channel according to present invention
  • FIG. 7 is a perspective view of an embodiment of a thermal shield and an actuator according to present invention
  • FIG. 8 is a cross-sectional view of a portion of a thermal processing apparatus having a shutter according to an embodiment of the pres. ent invention
  • FIG. 9 is a cross-sectional view of a process chamber having a pedestal heater and a magnetically coupled wafer rotation system according to an embodiment of the present invention
  • FIG. 10 is a cross-sectional view of a thermal processing apparatus having a cross-flow injector system according to an embodiment of the present invention
  • FIG. 11 is a cross-sectional side view of a portion of the thermal processing apparatus of FIG, 10 showing positions of injector orifices in relation to the liner and of exhaust slots in relation to the wafers according to an embodiment of the present invention
  • FIG. 12 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to an embodiment of the present invention
  • FIG. 13 is a plan view of a portion of the thermal processing apparatus of FIG.
  • FIG. 14 is a plan view of a portion of the thermal processing apparatus of FIG, 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to another embodiment of the present invention
  • FIG. 14 is a plan view of a portion of the thermal processing apparatus of FIG, 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to yet another embodiment of the present invention
  • FIG, 15 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to still another embodiment of the present invention
  • FIG. 14 is a plan view of a portion of the thermal processing apparatus of FIG, 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of
  • FIG. 16 is a cross-sectional view of a thermal processing apparatus having an alternative up-flow injector system according to an embodiment of the present invention
  • FIG. 17 is a cross-sectional view of a thermal processing apparatus having an alternative down-flow injector system according to an embodiment of the present invention
  • FIG. 18 is flowchart showing an embodiment of a process for thermally processing a batch of wafers according to an embodiment of the present invention whereby each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature
  • FIG. 19 is flowchart showing another embodiment of a process for thermally processing a batch of wafers according to an embodiment of the present invention whereby each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature.
  • FIG. 18 is flowchart showing an embodiment of a process for thermally processing a batch of wafers according to an embodiment of the present invention whereby each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature.
  • FIG. 20 is a cross-sectional view of a thermal processing apparatus including an injection system according to one embodiment of the present invention.
  • FIG. 21 shows an elongated tube having a plurality of injection ports in accordance with one embodiment of the present invention.
  • FIG, 22 is a partial cross-sectional side view of a thermal processing apparatus showing connection of the injection system with a cross-flow liner and a base plate in accordance with one embodiment of the present invention.
  • FIG. 23 is a partial cross-sectional top view of a thermal processing apparatus showing connection of the injection system with a cross-flow liner and a base plate in accordance with one embodiment of the present invention.
  • FIG. 24 is a partial plan view of a liner top plate showing openings having notches.
  • FIG. 25 is an external view of a cross-flow stepped liner showing a longitudinal bulging section according to one embodiment of the present invention.
  • FIG. 26 is an external view of a cross-flo ⁇ V stepped liner showing a plurality of exhaust slots in the liner according to one embodiment of the present invention.
  • FIG. 27 is a plan view of an injection system wifh'a cross-flow liner having a bulging section showing gas flow from orifices that impinges the liner inner wall prior to flowing across a wafer and exiting an exha ⁇ st slot according to one embodiment of the present invention.
  • FIG. 28 is a plan view of an injection system with a cross-flow liner having a bulging section showing gas flow from orifices that impinges each other prior to flowing across a wafer and exiting an exhaust slot according to one embodiment of the present invention.
  • FIG. 29 is a plan view of an injection system with a cross-flow liner having a. bulging section showing gas flow from orifices directing to the center of a wafer and exiting an exhaust slot according to one embodiment of the present invention.
  • FIG. 30 is CFD demonstration for a thermal processing apparatus including an injection system having injection ports facing the center of a substrate in accordance with one embodiment of the present invention for deposition of silicon nitride.
  • FIG. 31 is CFD demonstration for a thermal processing apparatus including an injection system having injection ports facing each other in accordance with one embodiment of the present invention for deposition of silicon nitride.
  • FIG. 32 is CFD demonstration for a thermal processing apparatus including an injection system having injection ports facing the liner inner wall in accordance with one embodiment of the present invention for deposition of aluminum oxide.
  • the present invention is directed to an apparatus and method for processing a relatively small number or mini-batch of one or more work pieces, such as semiconductor substrates or wafers, held in a carrier, such as a cassette or boat, that provides reduced processing cycle times and improved process uniformity.
  • mini-batch means a number of wafers less than the hundreds of wafers found in the typical batch systems, and preferably in the range of from one to about fifty-three semiconductor wafers or wafers, of which from one to fifty are product wafers and the remainder are non-product wafers used for monitoring purposes and as baffle wafers.
  • thermal processing it is meant processes that in which the work piece or wafer is heated to a desired temperature which is typically in the range of about 350°C to 1300°C.
  • Thermal processing of semiconductor wafers can include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, such as chemical vapor deposition or CVD, and etching or removal of material from the wafers.
  • a thermal processing apparatus according to an embodiment will now be described with reference to FIG. 1. For purposes of clarity, many of the details of thermal processing apparatuses that are widely known and are widely known to a person of skill in the art have been omitted. Such detail is described in more detail in, for example, commonly assigned U.S.
  • FIG, 1 is a cross-sectional view of an embodiment of a thermal processing apparatus for thermally processing a batch of semiconductor wafers.
  • the thermal processing apparatus 100 generally includes a vessel 101 that encloses a volume to form a process chamber 102 having a support 104 adapted for receiving a carrier or boat 106 with a batch of wafers 108 held therein, and heat source or furnace 110 having a number of heating elements 112-1, 112-2 and 112-3 (referred to collectively hereinafter as heating elements 112) for raising a temperature of the wafers to the desired temperature for thermal processing.
  • heating elements 112 a number of heating elements 112-1, 112-2 and 112-3
  • the thermal processing apparatus 100 further includes one or more optical or electrical temperature sensing elements, such as a resistance temperature device (RTD) or thermal couple (T/C), for monitoring the temperature within the process chamber 102 and/or controlling operation of the heating elements 112,
  • the temperature sensing element is a profile T/C 114 that has multiple independent temperature sensing nodes or points (not shown) for detecting the temperature at multiple locations within the process chamber 102.
  • the thermal processing apparatus 100 can also include one or more injectors 116 (only one of which is shown) for introducing a fluid, such as a gas or vapor, into the process chamber 102 for processing and/or cooling the wafers 108, and one or more purge ports or vents 118 (only one of which is shown) for introducing a gas to purge the process chamber and/or to cool the wafers.
  • a liner 120 increases the concentration of processing gas or vapor near the wafers 108 in a region or process zone 128 in which the wafers are processed, and reduces contamination of the wafers from flaking or peeling of deposits that can form on interior surfaces of the process chamber 102.
  • the vessel 101 is sealed by a seal, such as an o-ring 122, to a platform or base-plate 124 to form the process chamber 102, which completely encloses the wafers 108 during thermal processing.
  • the dimensions of the process chamber 102 and the base-plate 124 are selected to provide a rapid evacuation, rapid heating and a rapid backfilling of the process chamber.
  • the vessel 101 and the base-plate 124 are sized to provide a process chamber 102 having dimensions selected to enclose a volume substantially no larger than necessary to accommodate the carrier 106 with the wafers 108 held therein.
  • the vessel 101 and the base-plate 124 are sized to provide a process chamber 102 having dimensions of from about 125 to about 150% of that necessary to accommodate the carrier 106 with the wafers 108 held therein, and more preferably, the process chamber has dimensions no larger than about 125% of that necessary to accommodate the carrier and the wafers in order to minimize the chamber volume which aids in pump down and back-fill time required. Openings for the injectors 116, T/Cs 114 and vents 118 are sealed using seals such as o-rings, VCR ® , or CF ® fittings.
  • Gases or vapor released or introduced during processing are evacuated through a foreline or exhaust port 126 formed in a wall of the process chamber 102 (not shown) or in a plenum 127 of the base-plate 124, as shown in FIG. 1.
  • the process chamber 102 can be maintained at atmospheric pressure during thermal processing or evacuated to a vacuum as low as 5 millitorr through a pumping system (not shown) including one or more roughing pumps, blowers, hi- vacuum pumps, and roughing, throttle and foreline valves.
  • a pumping system not shown in FIG.
  • the base-plate 124 further includes a substantially annular flow channel 129 adapted to receive and support an injector 116 including a ring 131 from which depend a number of vertical injector tube or injectors 116A.
  • the injectors 116A can be sized and shaped to provide an up-flow, down flow or cross-flow flow pattern, as described below.
  • the ring 131 and injectors 116A are located so as to inject the gas into the process chamber 102 between the boat 106 and the vessel 101.
  • the injectors 116A are spaced apart around the ring 131 to uniformly introduce process gas or vapor into the process chamber 102, and may, if desired, be used during purging or backfilling to introduce a purge gas into the process chamber.
  • the base-plate 124 is sized in a short cylindrical form with an outwardly extending upper flange 133, a sidewall 135, and an inwardly extending base 137.
  • the upper flange 133 is adapted to receive and support the vessel 101, and contains an o-ring 122 to seal the vessel to the upper flange.
  • the base 137 is adapted to receive and support the liner 120 outside of where the ring 131 of injectors 116 is supported.
  • the base-plate 124 shown in FIG. 2 incorporates various ports including backfill/purge gas inlet ports 139, 143, cooling ports 145,147, provided to circulate cooling fluid in the base-plate 124, and a pressure monitoring port 149 for monitoring pressure within the process chamber 102.
  • the backfill/purge ports 139,143, are provided at the sidewall 135 of the base-plate 124 principally to introduce a gas from a vent/purge gas supply (not shown) to the vents 118.
  • a mass flow controller (not shown) or any other suitable flow controller is placed in line between the gas supplies and the ports 139, 143, 151 and 161 to control the gas flow into the process chamber 102.
  • the vessel 101 and liner 120 can be made of any metal, ceramic, crystalline or glass material that is capable of withstanding the thermal and mechanical stresses of high temperature and high vacuum operation, and which is resistant to erosion from gases and vapors used or released during processing.
  • the vessel 101 and liner 120 are made from an opaque, translucent or transparent quartz glass having a sufficient thickness to withstand the mechanical stresses and that resists deposition of process byproducts, thereby reducing potential contamination of the processing environment. More preferably, the vessel 101 and liner 120 are made from quartz that reduces or eliminates the conduction of heat away from the region or process zone 128 in which the wafers 108 are processed.
  • the batch of wafers 108 is introduced into the thermal processing apparatus 100 through a load lock or loadport (not shown) and then into the process chamber 102 through an access or opening in the process chamber or base-plate 124 capable of forming a gas tight seal therewith.
  • the process chamber 102 is a vertical reactor and the access utilizes a movable pedestal 130 that is raised during processing to seal with a seal, such as an o-ring 132 on the base-plate 124, and lowered to enable an operator or an automated handling system, such as a boat handling unit (BHU) (not shown), to position the carrier or boat 106 on the support 104 affixed to the pedestal.
  • a boat handling unit BHU
  • the heating elements 112 include elements positioned proximal to a top 134 (elements 112-3), side 136 (elements 112-2) and bottom 138 (elements 112-1) of the process chamber 102.
  • the heating elements 112 surround the wafers to achieve a good view factor of the wafers and thereby provide an isothermal control volume or process zone 128 in the process chamber in which the wafers 108 are processed.
  • the heating elements 112-1 proximal to the bottom 138 of the process chamber 102 can be disposed in or on the pedestal 130. If desired, additional heating elements may be disposed in or on the base plate 124 to supplement heat from the heating elements 112-1. In the embodiment shown in FIG.
  • the heating elements 112-1 proximal to the bottom of the process chamber preferably are recessed in the movable pedestal 130.
  • the pedestal 130 is made from a thermally and electrically insulating material or insulating block 140 having an electric, resistive heating elements 112-1 embedded therein or affixed thereto.
  • the pedestal 130 further includes one or more feedback sensors or T/Cs 141 used to control the heating elements 112-1. In the configuration shown, the T/Cs 141 are embedded in the center of the insulating block 140.
  • the side heating elements 112-2 and the top heating elements 112-3 may be disposed in or on an insulating block 110 about the vessel 101. Preferably the side heating elements 112-2 and the top heating elements 112-3 are recessed in the insulating block 110.
  • the heating elements 112 and the insulating blocks 110 and 140 may be configured in any of a variety of ways and may be made in any of a variety of ways and with any of a variety of materials.
  • the heating elements 112-1 proximal to the bottom 138 of the process chamber 102 have a maximum power output of from about 0.1 kW to about 10 kW with a maximum process temperature of at least 1150°C. More preferably, these bottom heating elements 112-1 have a power output of at least about 3.8 kW with a maximum process temperature of at least 950°C.
  • the side heating elements 112-2 are functionally divided into multiple zones, including a lower zone nearest the pedestal 130 and upper zone, each of which are capable of being operated independently at different power levels and duty cycles from each other and from the top heating elements 112-3 and bottom heating elements 112-1.
  • the heating elements 112 are controlled in any suitable manner, either by using a control technique of a type well known in the art. Contamination from the insulating block 140 and bottom heating elements 112-1 is reduced if not eliminated by housing the heating element and insulation block in an inverted quartz crucible 142, which serves as a barrier between the heating element and insulation block and the process chamber 102.
  • the crucible 142 is also sealed against the loadport and BHU environment to further reduce or eliminate contamination of the processing environment.
  • the interior of the crucible 142 is at standard atmospheric pressure, so that the crucible 142 should be strong enough to withstand a pressure differential between the process chamber 102 and the pedestal 130 across the crucible 142 of as much as 1 atmosphere.
  • the bottom heating elements 112-1 are powered to maintain an idle temperature lower than the desired processing temperature.
  • the idle temperature can be from 50-150°, The idle temperature can be set higher for certain processes, such as those having a higher desired processing temperature and/or higher desired ramp up rate, or to reduce thermal cycling effects on the bottom heating elements 112-1, thereby extending element life.
  • the bottom heating elements 112-1 can be ramped to at or below the desired process temperature during the push or load, that is while the pedestal 130 with a boat 106 of wafers 108 positioned thereon is being raised.
  • the bottom heating elements 112-1 reach the desired process temperature at the same time as the heating elements 112-3 and 112-2 located proximal to respectively the top 134 and side 136 of the process chamber 102.
  • the temperature of the bottom heating elements 112-1 can begin being ramped up before the pedestal 130 begins being raised, while the last of the wafers 108 in a batch are being loaded.
  • a purge line for air or an inert purge gas such as nitrogen
  • nitrogen is installed through the insulating block 140.
  • nitrogen is injected through a passage 144 through the center of the insulating block 140 and allowed to flow out between the top of the insulating block 140 and the interior of the crucible 142 to a perimeter thereof.
  • HEPA High Efficiency Particulate Air
  • This center injection configuration facilitates the faster cooling of the center of the wafers 108, and therefore is ideal to minimize the center/edge temperature differential of the bottom wafer or wafers, which could otherwise result in damage due to slip-dislocation of the crystal lattice structure.
  • the idle temperature can be set higher, closer to the desired processing temperature to reduce the effects of thermal cycling.
  • the insulating block 140 can further include an oxygen line (not shown) to promote the formation of the protective oxide surface coat during bake out of the heating elements 112-1.
  • oxygen for bake out can be introduced through the purge line used during processing to supply cooling nitrogen via a three-way valve.
  • FIG. 3 is a cross-sectional view of a portion of a thermal processing apparatus 100.
  • the thermal processing apparatus 100 further includes a thermal shield 146 that can be rotated or slid into place above the pedestal 130 and the lower wafer 108 in the boat 106.
  • the thermal shield 146 is reflective on the side facing the heating elements 112-1 and absorptive on the side facing the wafers 108. Purposes of the thermal shield 146 include increasing the rate of cooling of the wafers 108 lower down in the boat 106, and assisting in maintaining the idle temperature of the pedestal 130 and bottom heating elements 112-1 to decrease the time required to ramp up the process chamber 102 to the desired processing temperature.
  • FIG. 3 also shows an embodiment of a thermal processing apparatus 100 having pedestal heating elements 112-1 and a thermal shield 146.
  • the thermal shield 146 is attached via arm 148 to a rotable shaft 150 that is turned by an electric, pneumatic or hydraulic actuator to rotate the thermal shield 146 into a first position between the heated pedestal 130 and the lowest of the wafers 108 in the boat 106 during the pull or unload cycle, and removed or rotated ia ⁇ a second position not between the pedestal and the wafers during at least a final portion or end of the push or load cycle, just before the bottom of the boat 106 enters into the chamber 102.
  • the rotable shaft 150 is mounted on or affixed to the mechanism (not shown) used for raising and lowering the pedestal 130, thereby enabling the thermal shield 146 to be rotated into position as soon as the top of the pedestal has cleared the process chamber 102. Having the shield 146 in place during the load cycle enables the heating elements 112-1 to be heated to a desired temperature more rapidly than would otherwise be possible.
  • FIG. 4 is a diagrammatic illustration of the pedestal heating elements 112-1 and thermal shield 146 of FIG. 3 illustrating the reflection of thermal energy or heat radiating from the bottom heating elements back to the pedestal 130 and the absorption of thermal energy or heat radiating from the lower wafer 108 in the batch or stack of wafers.
  • the thermal shield 146 can be made from a single material such as silicon-carbide (SiC), opaque quartz or stainless steel which has been polished on one side and scuffed, abraded or roughened on the other, Roughening a surface of the thermal shield 146 can significantly change its heat transfer properties, particularly its reflectivity.
  • the thermal shield 146 can be made from two different layers of material.
  • FIG. 5 is a diagrammatic illustration of a thermal shield 146 having a top layer 152 of material, such as SiC or opaque quartz, with a high absorptivity and a lower layer 154 of material or metal, such as polished stainless steel or polished aluminum, with a high reflectivity.
  • the top layer 152 or the lower layer 154 can have a relatively greater thickness depending on specific requirements for the thermal shield 146, such as minimizing thermal stresses between the layers due to differences in coefficients of thermal expansion.
  • the lower layer 154 can be an extremely thin layer or film of polished metal deposited, formed or plated on a quartz plate that forms the top layer 152. The materials can be integrally formed or interlocking, or joined by conventional means such as bonding or fasteners.
  • the thermal shield 146 further includes an internal cooling channel 156 to further insulate the wafers 108 from the bottom heating elements 112-1. In one version of this embodiment, shown in FIG.
  • the cooling channel 156 is formed between two different layers 152 and 154 of material.
  • the cooling channel 156 can be formed by milling or any other suitable technique in a highly absorptive opaque quartz layer 152, and be covered by a metal layer 154 or coating such as a Titanium or Aluminum coating.
  • the cooling channel 156 can be formed in the metal layer 154 or both the metal layer and the quartz layer 152.
  • FIG. 7 is a perspective view of an embodiment of a thermal shield assembly 153 including the thermal shield 146, arm 148, rotable shaft 150 and an actuator 155. As shown in FIG.
  • the thermal processing apparatus 100 further includes a shutter 158 that can be rotated or slid or otherwise moved into place above the boat 106 to isolate the process chamber 102 from the outside or load port environment when the pedestal 130 is in the fully lowered position.
  • the shutter 158 can be slid into place above the carrier 106 when the pedestal 130 is in a lowered position, and raised to isolate the process chamber 102.
  • the shutter 158 can be rotated or swung into place above the carrier 106 when the pedestal 130 is in a lowered position, and subsequently raised to isolate the process chaniber 102.
  • the shutter 158 may be rotated about or relative to threaded screw or rod to simultaneously raise the shutter to isolate the process chamber 102 as it is swung into place above the carrier 106,
  • the shutter 158 could form a vacuum seal against the base-plate 124 to allow the process chamber 102 to be pumped down to the process pressure or vacuum.
  • Forming a vacuum seal is preferably done with a large diameter seal, such as an o-ring, and thus the shutter 158 can desirably include a number of water channels 160 to cool the seal.
  • the shutter 158 seals with the same o-ring 132 used to seal with the crucible 142 when the pedestal 130 is in the raised position.
  • the shutter 158 is simply an insulating plug designed to reduce heat loss from the bottom of the process chamber.
  • One embodiment for accomplishing this involves the use of an opaque quartz plate, which may or may not further include a number of cooling channels underneath or internal thereto.
  • the actuators are pneumatic actuators using from about 15 to 60 pounds per square inch gauge (PSIG) air, which is commonly available on thermal processing apparatus 100 for operation of pneumatic valves
  • the shutter 158 can comprise a plate having a number of wheels attached via short arms or cantilevers to two sides thereof. In operation, the plate or shutter 158 is rolled into position beneath the process chamber 102 on two parallel guide rails. Stops on the guide rails then cause the cantilevers to pivot translating the motion of the shutter 158 into an upward direction to seal the process chamber 102. As shown in FIG.
  • the thermal processing apparatus 100 further includes a magnetically coupled wafer rotation system 162 that rotates the support 104 and the boat 106 along with the wafers 108 supported thereon during processing. Rotating the wafers 108 during processing improves within wafer (WIW) uniformity by averaging out any non-uniformities in the heating elements 112 and in process gas flows to create a uniform on-wafer temperature and species reaction profile.
  • the wafer rotation system 162 is capable of rotated the wafers 108 at a speed of from about 0.1 to about 10 revolutions per minute (RPM).
  • the wafer rotation system 162 includes a drive assembly or rotating mechanism 164 having a rotating motor 166, such as an electric or pneumatic motor, and a magnet 168 encased in a chemically resistive container, such as annealed polytettafluoroethylene or stainless steel.
  • a chemically resistive container such as annealed polytettafluoroethylene or stainless steel.
  • a steel ring 170 located just below the insulating block 140 of the pedestal 130, and a drive shaft 172 with the insulating block transfer the rotational energy to another magnet 174 located above the insulating block in a top portion of the pedestal.
  • the steel ring 170, drive shaft 172 and second magnet 174 are also encased in a chemically resistive container compound.
  • the magnet 174 located in the side of the pedestal 130 magnetically couples through the crucible 142 with a steel ring or magnet 176 embedded in or affixed to the support 104 in the process chamber 102.
  • Magnetically coupling the rotating mechanism 164 through the pedestal 130 eliminates the need for locating it within the processing environment or for having a mechanical feedthrough, thereby eliminating a potential source of leaks and contamination. Furthermore, locating rotating mechanism 164 outside and at some distance from the processing minimizes the maximum temperature of to which it is exposed, thereby increasing the reliability and operating life of the wafer rotation system 162.
  • the wafer rotation system 162 can further include one or more sensors (not shown) to ensure proper boat 106 position and proper magnetic coupling between the steel ring or magnet 176 in the process chamber 102 and the magnet 174 in the pedestal 130.
  • the boat position verification sensor includes a sensor protrusion (not shown) on the boat 106 and an optical or laser sensor located below the base-plate
  • the wafer rotation system 162 is operated to align the boat so that the wafers 108 can be unloaded. After this is done, the boat is lowered to the load/unload height.
  • improved injectors 216 are preferably used in the thermal processing apparatus 100.
  • the injectors 216 are distributive or cross(X)-flow injectors 216-1 in which process gas or vapor is introduced through injector openings or orifices 180 on one side of the wafers 108 and boat 106 and caused to flow across the surfaces of the wafers in a laminar flow to exit exhaust ports or slots 182 in the chamber line 120 on opposite the side.
  • X-flow injectors 116-1 improve wafer 108 to wafer uniformity within a batch of wafers 108 by providing an improved distribution of process gas or vapor over earlier up-flow or down flow configurations.
  • X-flow injectors 216 can serve other purposes, including the injection of gases for cool-down (e.g., helium, nitrogen, hydrogen) for forced convective cooling between the wafers 108.
  • gases for cool-down e.g., helium, nitrogen, hydrogen
  • Use of X-flow injectors 216 results in a more uniform cooling between wafers 108 whether disposed at the bottom or top of the stack or batch and those wafers that are disposed in the middle, as compared with earlier up-flow or down flow configurations.
  • the injector 216 orifices 180 are sized, shaped and position to provide a spray pattern that promotes forced convective cooling between the wafers 108 in a manner that does not create a large temperature gradient across the wafer ) FIG.
  • FIG. 11 is a cross-sectional side view of a portion of the thermal processing apparatus 100 of FIG. 10 showing illustrative portions of the injector orifices 180 in relation to the chamber liner 120 and the exhaust slots 182 in relation to the wafers 108.
  • FIG. 12 is a plan view of a portion of the thermal processing apparatus 1O0 of FIG. 10 taken along the line A-A of FIG. 10 showing laminar gas flow from the orifices 180-1 and 180-2 of primary and secondary injectors 184, 186, across an illustrative one of the wafers 108 and to exhaust slots 182-1 and 182-2 according to one embodiment. It should be noted that the position of the exhaust slot 182 as shown in FIG.
  • FIG. 13 is another plan view of a portion of the thermal processing app,aratus
  • FIG. 14 is another plan view of a portion of the thermal processing apparatus
  • FIG. 15 is another plan view of a portion of the thermal processing apparatus
  • FIG. 16 is a cross-sectional view of a thermal processing apparatus 100 having two or more up-flow injectors 116-1 and 116-2 according to an alternative embodiment.
  • process gas or vapor admitted from the process injectors 116-1 and 116-2 having respective outlet orifices low in the process chamber 102 flows up and across the wafers 108, and spent gases exit exhaust slots 182 in the top of the liner 120.
  • An up-flow injector system is also shown in FIG. 1.
  • FIG. 1 An up-flow injector system is also shown in FIG. 1.
  • FIG. 17 is a cross-sectional view of a thermal processing apparatus 100 having a down-flow injector system according to an alternative embodiment.
  • process gas or vapor admitted from process injectors 116-1 and 116-2 having respective orifices high in the process chamber 102 flows down and across the wafers 108, and spent gases exit exhaust slots 182 in the lower portion of the liner 120.
  • the injectors 116, 216, and/or the liner 120 can be quickly and easily replaced or swapped with other injectors and liners having different points for the injection and exhausting of the process gas from the process zone 128.
  • the embodiment of the x-flow injector 216 shown in FIG, 10 adds a degree of process flexibility by enabling the flow pattern within the process chamber 102 to be quickly and easily changed from a cross-flow configuration, as shown in FIG. 10, to an up-flow configuration, as shown in FIGs. 1 and 16, or a down-flow configuration, as shown in FIG. 17.
  • This can be accomplished through the use of easily installable injector assemblies 216 and liners 120 to convert the flow geometry from cross-flow to an up-flow or down-flow.
  • the injectors 116, 216,and the liner 120 can be separate components, or the injector can be integrally formed with liner as a single piece.
  • FIG. 18 is a flowchart showing steps of a method for thermally processing a batch of wafers 108 wherein each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature.
  • the pedestal 130 is lowered, and the thermal shield 142 is moved into a position while the pedestal 130 is lowered to reflect heat from the bottom heating element 112-1 back to the pedestal 130 to maintain the temperature thereof, and to insulate the finished wafers 108 (step 190).
  • the shutter 158 is.
  • step 192 moved into position to seal or isolate the process chamber 102 (step 192), and power is applied to the heating elements 112-2, 112-3, to begin pre-heating the process chamber 102 to or maintain at an intermediate or idling temperature (step 194).
  • a carrier or boat 106 loaded with new wafers 108 is positioned on the pedestal 130 (step 196).
  • the pedestal 130 is raised to position the boat in the process zone 128, while simultaneously removing the shutter 158, the thermal shield 142, and ramping-up the bottom heating element 112-1 to preheat the wafers to an intermediate temperature (step 197).
  • the thermal shield 142 is removed just before the boat 106 is positioned in the process zone 128.
  • a fluid such as a process gas or vapor, is introduced on one side of the of wafers 108 through a plurality of injection ports 180 (step 198).
  • the fluid flows from the injection ports 180 across surfaces of the wafers 108 to exhaust ports 182 positioned in the liner 120 on the opposite side of the wafers relative to the injection ports (stepl99).
  • the boat 106 can be rotated within the process zone 128 during thermal processing of the batch of wafers 108 to further enhance uniformity of the thermal processing, by magnetically coupling mechanical energy through the pedestal 130 to the carrier or boat 106 to reposition it during thermal processing of the wafers (step 200).
  • FIG. 19 is a flowchart showing steps of an embodiment of a method for thermally processing a batch of wafers 108 in a carrier.
  • an apparatus 100 is provided having a process chamber 102 with dimensions and a volume not substantially larger than necessary (guard heaters absent) to accommodate the carrier 106 with the wafers 108 held therein,
  • the pedestal 130 is lowered, and the boat 106 with the wafers 108 held therein positioned thereon (step 202).
  • the pedestal 130 is raised to insert the boat in the process chamber 102, while simultaneously preheating the wafers 108 to an intermediate temperature (step 204). Power is applied to the heating elements 112-1.
  • step 206 power to at least one of the heating elements is adjusted independently to provide a substantially isothermal environment at a desired temperature in a process zone 128 in the process chamber 102 (step 208).
  • the pedestal 130 is lowered, and the thermal shield 142 is moved into position to insulate the finished wafers 108 and to - reflect heat from the bottom heating element 112-1 back to the pedestal 130 to maintain the temperature thereof (step 210).
  • the shutter 158 is moved into position to seal or isolate the process chamber 102, and power applied to the heating elements 112-2, 112-3, to maintain the temperature of the process chamber (step 212).
  • the boat 106 is then removed from the pedestal 130 (step 214), and another boat loaded with a new batch of wafers to be processed positioned on the pedestal (step 216).
  • the shutter 158 is repositioned or removed (step 218), and the thermal shield withdrawn or repositioned to preheat the wafers 108 in the boat 106 to an intermediate temperature while simultaneously raising the pedestal 130 to insert the boat into the process chamber 102 to thermally process the new batch of wafers (step 220).
  • thermal processing apparatus 100 reduces the processing or cycle time by about 75% over conventional systems.
  • a conventional large batch thermal processing apparatus may process 100 product wafers in about 232 minutes, including preprocessing and post-processing time.
  • the inventive thermal processing apparatus 100 performs the same processing on a mini-batch of 25 product wafers 108 in about 58 minutes.
  • An injection system in accordance with one embodiment of the present invention will be now described with reference to FIGS. 20 through 32. Injectors having injection ports or orifices distributed in elongated tubes have been used in both horizontal and vertical furnaces to control gas concentration across the surface of substrates. Typically, two or more injectors are used to distribute similar or different gases depending on specific applications.
  • njectors with distributed injection ports have been used to introduce PH 3 gas across a wafer load in a furnace to provide a uniform gas concentration.
  • Injectors with distributed injection ports are used to ensure that the properties of the deposited films are the same across the wafer load.
  • the injectors are fixed, i.e., the direction of injection ports or orifices in the injectors are fixed and typically face toward the center of wafers. Even so, films deposited on the wafers still exhibit an undesirable within-wafer uniformity.
  • the uniformity, quality and repeatability of deposited films depend on not only gas flow rates, concentration, pressure and temperature, but also gas flow pattern and distribution of gases.
  • the present invention provides an injection system that is angularly adjustable to promote the momentum transfer of "ballistic mixing" of different gases to provide improved flow uniformity and thus improved quality and uniformity of the deposited films.
  • the injection system of the present invention comprises one or more elongated injection tubes having a plurality of injection ports or orifices distributed in the tubes for directing flow of reactant and other gases across the surface of each substrate.
  • the elongated injection tubes are rotatable about an axis in 360 degrees.
  • FIG. 20 shows a thermal processing apparatus 230 including an injection system 250 according to one embodiment of the present invention. To simplify description of the invention, elements not closely relevant to the invention are not indicated in the drawing and described.
  • the apparatus 230 includes a vessel 234 that houses a process chamber 236 having a support 238 adapted for receiving a carrier 240 with a batch of wafers 242 held therein.
  • the apparatus 230 includes heat source or furnace 244 for raising temperature of the wafers 242 to the desired temperature for thermal processing.
  • a cross-flow liner 232 is provided to increase the concentration of processing gas or vapor near wafers 242 and reduce contamination of wafers 242 from flaking or peeling of deposits that can form on interior surfaces of the process chamber 236.
  • the liner 232 is patterned to conform to the contour of the wafer carrier 240 and sized to reduce the gap between the wafer carrier 240 and the liner wall.
  • the liner 232 is mounted to the base plate 246 and sealed.
  • a cross-flow injection system 250 is disposed between the liner 232 and the wafer carrier 240. Gases are introduced through a plurality of injection ports or orifices 252 from one side of the wafers 242 and carrier 240 across the surface of the wafers in a laminar flow as described below. A plurality of slots 254 are formed in the liner 232 on the opposite side to exhaust gases or reaction by-product.
  • the cross-flow injection system 250 includes one or more elongated injection tubes.
  • FIG. 21 shows an elongated injection tube 256 according to one embodiment of the present invention. As shown, a plurality of injection ports or orifices 252 are provided in the elongated injection tube 256.
  • the spacing between the injection ports 252 is such that when the injection tube 256 is installed, each injection port 252 is positioned at a height between two adjacent wafers 242 supported in the wafer carrier 240 so that the gas exiting the injection port 252 is caused to flow in a path formed between the adjacent wafers.
  • the spacing between and number of the injection ports or orifices 252 in the injection tubes 256 cooperates with the spacing between and number of slots 254 in the liner
  • the injection system 250 of the present invention may comprise one or more elongated injection tubes 256 as illustrated in FIG. 21.
  • the elongated injection tube 256 can be made of any metal, ceramic, crystalline or glass material that is capable of withstanding the thermal and mechanical stresses of high temperature and high vacuum operation, and which is resistant to erosion from gases and vapors used or released during processing.
  • the injection tube is made from an opaque, translucent or transparent quartz glass. In one embodiment, the injection tube is made from quartz.
  • FIG. 22 is a partial cross-sectional view of a thermal processing apparatus 230 showing connection of the mjection system 250 with liner 232 and base plate 246,
  • the elongated injection tube 256 is coupled to an injection inlet 262 in the base plate 246 and sealed to the base plate by O-rings 264,
  • the elongated injection tubes 256 are engaged with the liner 232 through a clamp block 266, as shown in detail in FIG. 23.
  • a lock pin 268 locks the clamp block 266 to the base plate 246.
  • Reactants or other gases are introduced into the mjection tube 256 through inlet 262.
  • FIG. 24 is a partial plan view of a top plate 270 of a liner 232 having openings 272 for receiving one or more elongated injection tubes 256.
  • the openings 272 in the top plate 270 are provided with notches 274 for stabilizing the elongated injection tubes 256 and orienting the injection ports 252 in the tubes 256 to a specific direction. While three notches 274A-C are shown in each of the openings 272 for illustrative purpose, it should be noted that any number of notches can be provided so that the elongated injection tubes 256 can be rotated and adjusted about an axis in 360 degrees and the injection ports 258 can be oriented in any direction as desired.
  • the elongated tube 256 includes an index pin (not shown) for locking the elongated tube 256 in one of the notches 274 in the opening 272.
  • the injection ports or orifices 252 in the tubes 256 are formed in line with the index pin.
  • the index pin is locked in one of the notches 274 and the injection ports 252 in the tube 256 are oriented to a direction as indicated by the index pin locked in the notch.
  • the injection ports 252 are oriented to face the inner surface of the liner 232. Gases exiting the injection ports 252 impinge the wall and mix prior to flowing across the surface of each substrate 242.
  • the index pin in the elongated tube 256 is locked in notch 274B.
  • each injection tube 256 are oriented to face each other. Gases exiting the injection ports 252 impinge each other and mix prior to flowing across the surface of each substrate 242.
  • the index pin in the elongated tube 256 is locked in notch 274C so that the injection ports 252 are oriented to face the center of the substrate 242.
  • the number of notches formed in the openings can be as many as desired so that the elongated tube 256 can be rotated in 360 degrees and stabilized in a desired position, and accordingly the injection ports 252 can be oriented to a desired direction.
  • the injection system of the present invention enables full freedom of rotation of the injection ports to promote the momentum transfer of
  • the injection system of the present invention is used in connection with a cross flow liner having a bulging section.
  • U.S. Application No. (Attorney Docket No. 33586 US/l) filed currently with tins application further describes a cross-flow liner, the disclosure of which is hereby incorporated by reference in its entirety.
  • FIGS. 25-26 show a cross-flow liner 276 that can be used in connection with the injection system 250 of the present invention.
  • the cross-flow liner 276 includes a cylinder 278 having a close end 280 and open end 282.
  • the cylinder 278 is provided with a longitudinal bulging section 284 to accommodate a cross-flow injection system 250.
  • a plurality of latitudinal slots 286 are provided longitudinally in the cylinder 278 on the side opposite to the bulging section 284 to exhaust gases and reaction by-products.
  • the cross-flow liner 276 is sized and patterned to conform to the contour of the wafer carrier 240 and the carrier support 238.
  • the liner 276 comprises a first section 288 sized to conform to the wafer carrier 240 and a second section 290 sized to conform to the carrier support 238.
  • the diameter of the first section 288 may differ from the diameter of the second section 290, i.e., the liner 276 maybe "stepped" to conform to the wafer carrier 240 and carrier support 238 respectively.
  • the first section 288 of the liner 276 has an inner diameter that constitutes about 104 to 110 % of the carrier outer diameter.
  • the second section 290 of the liner 276 has an inner diameter that constitutes about 115 to 120 % of outer diameter of the carrier support 238.
  • the second section 290 may be provided with one or more heat shields to protect seals such as O-rings from being overheated by heating elements.
  • the cross-flow liner 276 with a longitudinal bulging section 284 can be made conformal to the contour of the wafer carrier 240 to reduce the gap between the liner 276 and the wafer carrier 240. This helps reduce vortices and stagnation in the gap regions between the liner inner wall and the wafer carrier, and thus improve flow uniformity, which in turn improves the quality, uniformity, .and repeatability of the deposited film.
  • two elongated injection tubes 256 are installed in a bulging section 284 of a cross-flow liner 276. The elongated tubes 256 are rotated and adjusted so that the injection ports 252 are oriented to face the inner surface of the liner 276. As shown in FIG.
  • EXAMPLE 1 This example illustrates deposition of silicon nitride using dichlorosilane (DCS) and NH 3 gases.
  • the deposition is performed in a thermal processing apparatus including an injection system of the present invention.
  • the injection system comprises a first injection tubes for introducing DCS gas and a second injection tube for introducing NH 3 gas.
  • Each of the first and second injection tubes is provided with a plurality of ports or orifices for directing gas flow across the surface of each substrate.
  • the elongated tubes axe rotated and adjusted so that the injection ports are oriented to face the inner surface of the liner.
  • DCS and NH 3 gases exiting the injection ports away from wafers and impinge the liner inner surface prior to flowing across the surface of each substrate.
  • FIG. 30 is a Computational Fluid Dynamics (CFD) demonstration showing a uniform flow of DCS and NH3 gases across the surface of the substrate in an injector configuration where the injection ports are oriented toward the center of the substrate, creating radially-inward flow of the gases.
  • CFD Computational Fluid Dynamics
  • EXAMPLE 2 This example illustrates deposition of silicon nitride using bis tertiarybutylamino silane (BTBAS) and NH3 gases. The deposition is performed in a thermal processing apparatus including an injection system of the present invention.
  • BBAS bis tertiarybutylamino silane
  • the injection system comprises a first injection tube for introducing BTBAS gas and a second injection tube for introducing NH3 gas.
  • Each of the first and second injection tubes is provided with a plurality of ports or orifices for directing gas flow across the surface of each substrate.
  • the elongated tubes are rotated and adjusted so that the injection ports are oriented to face the inner surface of the liner. BTBAS and NH 3 gases exiting the injection ports away from wafers and impinge the liner wall prior to flowing across the surface of each substrate.
  • the elongated tubes are rotated and adjusted so that the injection ports are oriented to face each other. BTBAS and NH 3 gases exit the injection ports and impinge and mix prior to flowing across the surface of each substrate.
  • FIG. 31 is a CFD demonstration showing a uniform flow of BTBAS and NH 3 gases across the surface of the substrate in an injector configuration where the injection ports are oriented to face each other, creating converging flow of the gases.
  • the molecular weight of BTBAS is 174
  • the molecular weight of NH3 is 17,
  • the recoil and mixing of BTBAS and NH3 ensure a uniform gas velocity as the gases flow across the wafer and results in exceptional within wafer uniformity of ⁇ 1,5% (1 sigma) on a 300mm wafer.
  • EXAMPLE 3 This example illustrates deposition of aluminum oxide (AI 2 O 3 ) using trimethyl aluminum (TMA) and ozone (O3) gases.
  • the deposition is performed in a thermal processing apparatus including an injection system of the present invention.
  • the injection system comprises a first injection tube for introducing TMA gas and a second injection tube for introducing O3 gas.
  • Each of the first .and second injection tubes is provided with a plurality of ports or orifices for directing gas flow across the surface of each substrate.
  • the elongated tubes are rotated and adjusted so that the injection ports are oriented to face the inner surface of the liner. TMA and O 3 gases exiting the injection ports away from wafers and impinge the liner wall prior to flowing across the surface of each substrate.
  • the elongated tubes are rotated and adjusted so that the injection ports are oriented to face each other.
  • FIG..32 is a CFD demonstration showing a uniform flow of TMA and O 3 gases across the surface of the substrate in an injector configuration where the injection ports are oriented to face the liner wall, creating radially outward flow of the gases.
  • the recoil and mixing of TMA and O 3 ensure a uniform gas velocity as the gases flow across the surface of each wafer.

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

L'invention concerne un appareil conçu pour traiter de façon thermique des substrats retenus dans un support, qui comporte un système d'injection qui produit une injection de gaz pouvant être choisie vers la chambre de traitement. Le système d'injection comprend au moins un tube d'injection allongé doté de plusieurs ports ou orifices d'injection répartis dans ledit tube en vue de diriger le débit d'un réactif ou d'autres gaz à travers la surface de chaque substrat. Les tubes d'injection allongés tournent autour d'un axe sur 360 degrés.
EP04784778A 2003-09-25 2004-09-22 Systeme de traitement thermique a systeme d'injection a debit transversal a injecteurs rotatifs Withdrawn EP1676294A4 (fr)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US50635403P 2003-09-25 2003-09-25
US10/946,849 US20050121145A1 (en) 2003-09-25 2004-09-21 Thermal processing system with cross flow injection system with rotatable injectors
PCT/US2004/031063 WO2005031803A2 (fr) 2003-09-25 2004-09-22 Systeme de traitement thermique a systeme d'injection a debit transversal a injecteurs rotatifs

Publications (2)

Publication Number Publication Date
EP1676294A2 true EP1676294A2 (fr) 2006-07-05
EP1676294A4 EP1676294A4 (fr) 2007-10-31

Family

ID=34396311

Family Applications (1)

Application Number Title Priority Date Filing Date
EP04784778A Withdrawn EP1676294A4 (fr) 2003-09-25 2004-09-22 Systeme de traitement thermique a systeme d'injection a debit transversal a injecteurs rotatifs

Country Status (5)

Country Link
US (1) US20050121145A1 (fr)
EP (1) EP1676294A4 (fr)
JP (1) JP2007515054A (fr)
TW (1) TWI250586B (fr)
WO (1) WO2005031803A2 (fr)

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050098107A1 (en) * 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
JP2006229040A (ja) * 2005-02-18 2006-08-31 Matsushita Electric Ind Co Ltd 熱処理方法および熱処理装置
JP4426518B2 (ja) * 2005-10-11 2010-03-03 東京エレクトロン株式会社 処理装置
JP2007189077A (ja) * 2006-01-13 2007-07-26 Ishikawajima Harima Heavy Ind Co Ltd 熱処理装置
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP5211464B2 (ja) * 2006-10-20 2013-06-12 東京エレクトロン株式会社 被処理体の酸化装置
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8007275B2 (en) * 2008-01-25 2011-08-30 Micron Technology, Inc. Methods and apparatuses for heating semiconductor wafers
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
KR101528832B1 (ko) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101364701B1 (ko) * 2011-11-17 2014-02-20 주식회사 유진테크 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
JP5792390B2 (ja) * 2012-07-30 2015-10-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9638466B2 (en) * 2012-12-28 2017-05-02 Jonathan Y. MELLEN Furnace system with active cooling system and method
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9605345B2 (en) * 2013-08-23 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical furnace for improving wafer uniformity
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI611043B (zh) * 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
JP6925214B2 (ja) 2017-09-22 2021-08-25 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (fr) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Dispositif de stockage pour stocker des cassettes de tranches destiné à être utilisé avec un four discontinu
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (fr) 2018-02-14 2019-08-22 Asm Ip Holding B.V. Procédé de dépôt d'un film contenant du ruthénium sur un substrat par un processus de dépôt cyclique
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) * 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7315607B2 (ja) * 2021-03-16 2023-07-26 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022002761A1 (de) * 2022-07-29 2024-02-01 centrotherm international AG Vorrichtung zur thermischen Behandlung von Substraten, insbesondere Halbleiter-Wafern

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3637434A (en) * 1968-11-07 1972-01-25 Nippon Electric Co Vapor deposition apparatus
JPS5817831A (ja) * 1981-07-24 1983-02-02 Fujitsu Ltd 化学気相成長方法
JPS5972721A (ja) * 1982-10-20 1984-04-24 Toshiba Corp 気相成長装置
US4615294A (en) * 1984-07-31 1986-10-07 Hughes Aircraft Company Barrel reactor and method for photochemical vapor deposition
US4651674A (en) * 1984-11-16 1987-03-24 Sony Corporation Apparatus for vapor deposition
EP0308946A2 (fr) * 1987-09-22 1989-03-29 Nec Corporation Appareil pour le dépôt par vapeur chimique pour obtenir des couches épitaxiales de haute qualité et d'épaisseur uniforme
US4989540A (en) * 1988-08-17 1991-02-05 Tel Sagami Limited Apparatus for reaction treatment
US20020043216A1 (en) * 2000-08-09 2002-04-18 Chul-Ju Hwang Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2683671B2 (ja) * 1988-06-27 1997-12-03 東京エレクトロン株式会社 半導体基板への成膜方法及び成膜装置
JP2732224B2 (ja) * 1994-09-30 1998-03-25 信越半導体株式会社 ウエーハ支持ボート
US20030192645A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3637434A (en) * 1968-11-07 1972-01-25 Nippon Electric Co Vapor deposition apparatus
JPS5817831A (ja) * 1981-07-24 1983-02-02 Fujitsu Ltd 化学気相成長方法
JPS5972721A (ja) * 1982-10-20 1984-04-24 Toshiba Corp 気相成長装置
US4615294A (en) * 1984-07-31 1986-10-07 Hughes Aircraft Company Barrel reactor and method for photochemical vapor deposition
US4651674A (en) * 1984-11-16 1987-03-24 Sony Corporation Apparatus for vapor deposition
EP0308946A2 (fr) * 1987-09-22 1989-03-29 Nec Corporation Appareil pour le dépôt par vapeur chimique pour obtenir des couches épitaxiales de haute qualité et d'épaisseur uniforme
US4989540A (en) * 1988-08-17 1991-02-05 Tel Sagami Limited Apparatus for reaction treatment
US20020043216A1 (en) * 2000-08-09 2002-04-18 Chul-Ju Hwang Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of WO2005031803A2 *

Also Published As

Publication number Publication date
TW200531174A (en) 2005-09-16
WO2005031803A9 (fr) 2005-05-26
JP2007515054A (ja) 2007-06-07
TWI250586B (en) 2006-03-01
WO2005031803A2 (fr) 2005-04-07
US20050121145A1 (en) 2005-06-09
WO2005031803A3 (fr) 2006-12-21
EP1676294A4 (fr) 2007-10-31

Similar Documents

Publication Publication Date Title
US20050121145A1 (en) Thermal processing system with cross flow injection system with rotatable injectors
US20050098107A1 (en) Thermal processing system with cross-flow liner
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
US20070137794A1 (en) Thermal processing system with across-flow liner
EP1522090A2 (fr) Systeme de traitement thermique et chambre verticale configurable
US6352594B2 (en) Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
KR100415475B1 (ko) 기판 상에 박막을 성장시키는 장치
US5356476A (en) Semiconductor wafer processing method and apparatus with heat and gas flow control
CA2138292C (fr) Module de traitement a suscepteur tournant a galette de semiconducteur pour le depot de tungstene en phase vapeur
US20030049372A1 (en) High rate deposition at low pressures in a small batch reactor
WO2019046453A1 (fr) Élimination de contaminants à haute température de système d'épitaxie intégré
US20090056626A1 (en) Apparatus for cyclical depositing of thin films
US20040129224A1 (en) Cooling mechanism with coolant, and treatment device with cooling mechanism
EP1443543B1 (fr) Appareil de traitement thermique
EP2054690A2 (fr) Chauffage multizone pour four
EP0823491A2 (fr) Système d'injection de gaz pour réacteurs CVD
WO2021087002A1 (fr) Kit de traitement permettant d'améliorer l'uniformité d'épaisseur de film de bord sur un substrat
JPS612321A (ja) 垂直ホツトウオール型cvdリアクタ
WO2004027838A2 (fr) Echange de gaz rapide pour modulation de conductivite thermique
JPH07273101A (ja) 枚葉式熱処理装置
JP4703844B2 (ja) グラファイトナノファイバー薄膜形成用熱cvd装置
KR20050020757A (ko) 써멀 프로세싱 시스템 및 수직 가변 챔버
EP0162111A1 (fr) Procede et appareil de depot de vapeurs chimiques
JPH09153485A (ja) 気相成長装置
JP2001326219A (ja) 基板処理装置および半導体装置の製造方法

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20060424

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL HR LT LV MK

PUAK Availability of information related to the publication of the international search report

Free format text: ORIGINAL CODE: 0009015

DAX Request for extension of the european patent (deleted)
RBV Designated contracting states (corrected)

Designated state(s): DE FR GB IT

RIC1 Information provided on ipc code assigned before grant

Ipc: C23C 16/52 20060101ALI20070110BHEP

Ipc: C23C 16/458 20060101AFI20070110BHEP

A4 Supplementary search report drawn up and despatched

Effective date: 20070928

RIC1 Information provided on ipc code assigned before grant

Ipc: C23C 16/52 20060101ALI20070924BHEP

Ipc: C23C 16/458 20060101ALI20070924BHEP

Ipc: C23C 16/455 20060101AFI20070924BHEP

17Q First examination report despatched

Effective date: 20080121

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20080801