DE3751738T2 - Mehrkammer-Plasmaätzsystem - Google Patents

Mehrkammer-Plasmaätzsystem

Info

Publication number
DE3751738T2
DE3751738T2 DE3751738T DE3751738T DE3751738T2 DE 3751738 T2 DE3751738 T2 DE 3751738T2 DE 3751738 T DE3751738 T DE 3751738T DE 3751738 T DE3751738 T DE 3751738T DE 3751738 T2 DE3751738 T2 DE 3751738T2
Authority
DE
Germany
Prior art keywords
wafer
vessels
processing
state
arm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Revoked
Application number
DE3751738T
Other languages
English (en)
Other versions
DE3751738D1 (de
Inventor
Joseph A Maher
Mark W Miller
Joseph D Napoli
E John Vowles
Arthur W Zafiropoulo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SPX Technologies Inc
Original Assignee
General Signal Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25448159&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE3751738(T2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by General Signal Corp filed Critical General Signal Corp
Application granted granted Critical
Publication of DE3751738D1 publication Critical patent/DE3751738D1/de
Publication of DE3751738T2 publication Critical patent/DE3751738T2/de
Anticipated expiration legal-status Critical
Revoked legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Robotics (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

  • Die vorliegende Erfindung betrifft das Gebiet der Halbleiterverarbeitung und insbesondere ein neuartiges Simultanverarbeitungs- und kontaminationsfreies Plasmaätzsystem.
  • Plasmaätzvorrichtungen werden für gewöhnlich in einer oder mehreren Phasen bei der Herstellung von integrierten Schaltungen eingesetzt, und wobei diese Vorrichtungen kennzeichnenderweise in einer Einzelwafer- oder Vielfachwaferkonfiguration erhältlich sind. Die Einzelwaferkonfigurationen sehen zwar eine ausgezeichnete Fertigungssteuerung vor, jedoch weisen diese Systeme eine eingeschränkte Durchsatzfähigkeit auf. Bemühungen diese Einschränkungen zu beseitigen, waren bisher allgemein erfolglos. Bei diesen Hochtemperatur-Ätzverfahren ist die Systemanwendbarkeit aufgrund des unerwünschten Phänomens des "Abplatzens" der Abdeckung begrenzt, und zwar ungeachtet der Tatsache, daß Kühlversuche unternommen wurden, einschließlich eines Klemmens, Kühlens der Unterseite des Wafers mit einer Heliumströmung und des Mischens von Hehum in das Plasma. Die Vielfachwaferkonfigurationen sehen zwar allgemein einen im Verhältnis wesentlich höheren Systemdurchsatz vor, jedoch unterliegen sie allgemein einer nicht wünschenswerten Verfahrens -und Qualitätskontrolle. Dabei stehen nicht nur die Endpunktbestimmungen für jeden Wafer der Mehrzahl von Wafern gar nicht bzw. nicht genau zur Verfügung, sondern auch die Elektroden-Positionsgenauigkeit für verschiedene Elektrodenabstände und entsprechend verschiedene Gaschemien sind häufig nur schwer zu erzeugen und aufrecht zu erhalten. Die Einzelwafer- und Vielfachwaferkonfigurationen weisen ferner beide den Nachteil auf, daß die Wafer bei Verfahren mit zwei oder mehr Schritten normalerweise in dem Zwischenschritt der Behandlung einer nicht wünschenswerten Umgebung ausgesetzt sind, wodurch die Wahrscheinlichkeit einer Waferkontamination stark erhöht wird, und wodurch der Verarbeitungsdurchsatz weiter eingeschränkt wird.
  • In EPA 0 246 453 sind Einzelwafer-Plasmareaktoren offenbart, die jeweils einzeln funktionsfähig sind, so daß eine ausgezeichnete Fertigungssteuerung von Einzelwafern vorgesehen wird, die gemeinsam so funktionsfähig sind, daß ein Systemdurchsatz vorgesehen wird, der nur durch die Anzahl der Mehrzahl von Plasmareaktoren begrenzt ist, und wobei ein Zusammenwirken mit einer herkömmlichen Wafertransfer- und Warteschlangeneinrichtung gegeben ist, um sowohl eine Waferverarbeitung in einem Schritt bzw. in mehreren Schritten vorzusehen, und zwar auf eine Art und Weise, durch die Wafer bei beiden Möglichkeiten keiner unerwünschten Atmosphäre bzw. keiner Handhabung durch Menschen ausgesetzt werden. In dieser Anmeldung ist ferner die Verwendung eines Prozessors für Steueroperationen des Systems offenbart, wobei bezüglich der Arbeitsweise des Prozessors nur wenig Einzelheiten offenbart sind.
  • Das U.S. Patent US-A-4.592.306 veranschaulicht ein System zum Auftragen aufeinanderfolgender Schichten auf einem Wafer unter Verwendung von Verarbeitungskammern, die ähnlich wie die Verarbeitungsreaktoren in der EPA-Anmeldung angeordnet sind. In diesem Patent ist jedoch keine Verwendung eines Prozessors oder eine Notwendigkeit zur Veränderung des Waferverarbeitungsablaufs offenbart.
  • Vorgesehen ist gemäß einem Aspekt der vorliegenden Erfindung ein Simultanverarbeitungs- und kontaminationsfreies Wafer- Plasmaätzsystem, mit: einer Mehrzahl von Einzelwafer- Plasmaätzgefäßen, die jeweils eine Einlaß- und Auslaßöffnung aufweisen, wobei die Gefäße um einen vorbestimmten räumlichgeometrischen Ort so angeordnet sind, daß die verschiedenen Öffnungen der Gefäße von einem einzelnen Ort zugänglich sind, der von den verschiedenen Öffnungen beabstandet ist; einer Wafer-Warteschlangenstation, die beabstandet gemeinsam mit der Mehrzahl von Gefäßen entlang dem gleichen vorbestimmten räumlich-geometrischen Ort angeordnet ist, wobei die Station eine Mehrzahl von Wafern aufweisen kann, wobei eine Wafer- Zugriffsöffnung definiert ist, die von dem genannten einzelnen Ort zugänglich ist; einer Mehrzahl von Ventilen, die einzeln mit den entsprechenden Einlaß- und Auslaßöffnungen der Mehrzahl von Einzelwafergefäßen und mit der Zugriffsöffnung der Wafer- Warteschlangenstation gekoppelt sind; einem Einzelwafer- Transfermechanismus, der sich an dem genannten einzelnen Ort befindet und der mit den entsprechenden Ventilen der Mehrzahl von Ventilen zusammenwirkt, um Wafer von und zu der Wafer- Zugriffsöffnung der Wartschlangenstation und durch zugeordnete Einlaß- und Auslaßöffnungen der Einzelwafergefäße von und zu ausgewählten Einzelwafergefäßen zu transportieren; einem Prozessor zur Steuerung der Gefäße, des Transfermechanismuses und der Ventile, so daß eine Verarbeitung der Mehrzahl von Wafern in der Warteschlangenstation in einem oder mehreren Gefäßen vorgesehen wird, ohne daß die Operation des Systems durch einen Zugriff auf einen Verarbeitungsbefehl für einen gegebenen Wafer, der der gewünschten Verarbeitung des Wafers entspricht, unterbrochen wird; und mit einem Timer zur zeitlichen Steuerung der Verarbeitungsschritte und zur Erzeugung eines Signals, das von dem Prozessor bearbeitet wird, um die Funktionsweise des Systems gemäß den in dem Prozessor gespeicherten Instruktionen zu regeln.
  • Es zeigen:
  • Figur 1 ein bildliches Diagramm des erfindungsgemäßen Simultanverarbeitungs- und kontaminationsfreien Plasmaätzsystems;
  • Figur 2 eine bruchstückartige Skizze, teilweise mit weggebrochenen Teilen, des erfindungsgemäßen Simultanverarbeitungs- und kontaminationsfreien Plasmaätzsystems;
  • Figur 3 in den Figuren 3A und 3B teilweise schematische Seitenund Endaufrißansichten, die entsprechend die Vakuumverschlußeinrichtungen zwischen einem entsprechenden Plasmareaktor und dem R-, TT-Bewegungsarmzusammenbau des erfindungsgemäßen Simultanverarbeitungs- und kontaminations freien Plasmaätzsystems veranschaulichen;
  • Figur 4 eine teilweise bildliche und teilweise Schnittansicht zur Erläuterung der Funktionsweise des R-, TT- Bewegungswaferarmzusammenbaus des erfindungsgemäßen Simultanverarbeitungs- und kontaminationsfreien Plasmaätzsystems;
  • Figur 5 eine Perspektivansicht eines ersten Ausführungsbeispiels des R-, TT-Bewegungswaferarmzusammenbaus des erfindungsgemäßen Simultanverarbeitungs- und kontaminationsfreien Plasmaätzsystems;
  • die Figuren 6 und 7 Draufsichten des ersten Ausführungsbeispiels des R-, TT-Bewegungswaferarmzusammenbaus, wobei unterschiedliche Bewegungspositionen des R-, TT- Bewegungswaferarmzusammenbaus des erfindungsgemäßen Simultanverarbeitungs- und kontaminationsfreien Plasmaätzsystems dargestellt sind;
  • Figur 8 eine teilweise aufgebrochene und bruchstückartige isometrische Ansicht, die ein Teilstück des ersten Ausführungsbeispiels des R-, TT-Bewegungsarmzusammenbaus des erfindungsgemäßen Simultanverarbeitungs- und kontaminationsfreien Plasmaätzsystems veranschaulicht;
  • Figur 9 eine teilweise bildliche und teilweise schematische Seitenansicht eines Plasmareaktors des erfindungsgemäßen Simultanverarbeitungs- und kontaminationsfreien Plasmaätzsystems;
  • Figur 10 eine schematische Ansicht, die die verschiedenen Reaktanteinspritzsysteme und das geregelte Vakuumsystem des erfindungsgemäßen Simultanverarbeitungs - und kontaminationsfreien Plasmaätzsystems veranschaulicht;
  • Figur 11A eine Perspektivansicht und Figur 11B eine Schnittansicht eines zweiten Ausführungsbeispiels des R-, TT- Bewegungsarmzusammenbaus des erfindungsgemäßen Simultanverarbeitungs- und kontaminationsfreien Plasmaätzsystems;
  • Figur 12 eine Perspektivansicht eines Teilstücks des zweiten Ausführungsbeispiels des R-, TT-Bewegungswaferarmzusammenbaus des erfindungsgemäßen Simultanverarbeitungs- und kontaminationsfreien Systems;
  • die Figuren 13-18 rasterelektronenmikroskopische Bilder, welche beispielhafte Mikrostrukturen veranschaulichen, die durch das erfindungsgemäße Simultanverarbeitungs- und kontaminationsfreie Plasmaätzsystem erzielt werden können;
  • Figur 19 ein Systemebenen-Zustandsdiagramm, das die Systeminitialisierungs-, die Kassetteneinführungs- und Kassettenentnahmezustände beschreibt;
  • Figur 20 ein Zustandsdiagramm, welches die Zustände kennzeichnet, die der Systemverarbeitung von Wafern und einzelnen Waferverarbeitungsbefehlen zugeordnet sind;
  • Figur 21 ein Zustandsdiagramm, das die Zustände bei dem Wechsel eines Wafers von einem Plasmaätzgefäß bzw. einer Kammer zu der anderen kennzeichnet;
  • Figur 22 ein Zustandsdiagramm, das den Wafertransport von einem Gefäß bzw. von einer Kammer zu dem Kassettenschlitz kennzeichnet;
  • Figur 23 ein Zustandsdiagramm, das den Wafertransport von einem Kassettenschlitz zu einem Gefäß bzw. zu einer Kammer kennzeichnet; und
  • Figur 24 ein Zustandsdiagramm, das die Waferverarbeitung in einem einzelnen Plasmaätzgefäß bzw. in einer Kammer kennzeichnet.
  • In bezug auf Figur 1 bezeichnet die Bezugsziffer 10 allgemein ein Bilddiagramm, das das erfindungsgemäße Simultanverarbeitungs- und kontaminationsfreie Plasmaätzsystem veranschaulicht. Das System 10 umfaßt eine Mehrzahl von Einzelwafer-Plasmareaktoren 12, die später im Text beschrieben werden, sowie eine Wafer-Warteschlangenstation 12, die ebenfalls später im Text beschrieben wird, die um einen geschlossenen geometrischen Ort angeordnet sind, der durch eine gestrichelte Linie 16 dargestellt ist. Ein später im Text beschriebenes, allgemein mit der Bezugsziffer 18 gekennzeichnetes Bedienmodul befindet sich konzentrisch innerhalb der Mehrzahl von Plasmareaktoren 12 und der Warteschlangenstation 14 zum einzelnen Transfer zu verarbeitender Wafer sowie zum Transfer der Wafer nach deren Verarbeitung, wobei der Transfer zwischen der Warteschlagenstation 14 und einem bzw. mehreren der Plasmareaktoren 12 erfolgt. Eine Mehrzahl von Vakuumverschlußeinrichtungen, die allgemein mit der Bezugsziffer 20 bezeichnet sind, und die später im Text beschrieben werden, sind einzeln an den Grenzflächen der verschiedenen Plasmareaktoren 12 und des Bedienmoduls 18 und zwischen der Grenzfläche der Warteschlangenstation 14 und dem Bedienmodul 18 vorgesehen. Ein Prozessor 22 ist funktionsfähig mit der Mehrzahl der Plasmareaktoren 12, mit der Warteschlangenstation 14 und mit dem Bedienmodul 18 gekoppelt, um die Hochfrequenz-Plasmainduktionsfelder auf bekannte Weise zu aktivieren und abzuerregen, um die Signalausgabe der Endpunkt-Bestimmungseinrichtungen, die mit den verschiedenen Plasmareaktoren gekoppelt sind, auf bekannte Weise zu regeln und zu verarbeiten, und um den Wafertransfer zwischen den verschiedenen Reaktoren und der Warteschlangeneinrichtung einzuleiten und zu koordinieren, wie dies später im Text beschrieben wird.
  • Ein später im Text beschriebenes Reaktantgas-Einspritzsystem 24 ist funktionsfähig mit der Mehrzahl der Plasmareaktoren 12 gekoppelt, um vorher ausgewählte Reaktante und andere Prozeßgase getrennt in die Mehrzahl von Plasmareaktoren kontrolliert einzuspritzen. Ein Vakuumsystem 16 ist funktionsfähig mit den Reaktoren 12, der Warteschlangenstation 14 und dem Bedienmodul 18 gekoppelt, um den ganzen Zusammenbau während dem Betrieb in einem geregelten Vakuumzustand zu halten. Der Prozessor 22 ist funktionsfähig mit dem Reaktantgas-Einspritzsystem und mit dem Vakuumsystem 26 gekoppelt.
  • Die verschiedenen Reaktoren 12, die Warteschlangenstation 14 und das konzentrische Bedienmodul 18 bewahren eine Raumausnutzung derart, daß sie ein vergleichsweise kompaktes Plasmaätzsystem vorsehen. Das Bedienmodul 18 und die zusammenwirkenden Vakuumverschlußeinrichtungen 20 sind so funktionsfähig, daß sie Wafer in einem Einzelschritt- Verarbeitungsmodus einzeln zwischen der Warteschlangenstation 14 und ausgewählten Reaktoren 12 übertragen, und daß sie Wafer in einem Verarbeitungsmodus mit zwei oder mehreren Schritten zwischen ausgewählten Reaktoren 12 übertragen, und zwar ohne Restkontamination bzw. ohne durch Umgebungseinflüsse verursachte Kontamination des Wafers und ohne eine dazwischenliegende Behandlung durch eine Bedienungsperson. Neben anderen Vorteilen ist das erfindungsgemäße Plasmaätzsystem sowohl durch eine ausgezeichnete Fertigungssteuerung gekennzeichnet als auch durch einen hohen Verarbeitungsdurchsatz, wobei das gemeinsame Vorhandensein dieser beiden Merkmale bisher in keinem praktischen Ausführungsbeispiel möglich gewesen ist.
  • In bezug auf Figur 2 bezeichnet die Bezugsziffer 30 in einer bruchstückartigen Draufsicht mit teilweise aufgebrochenen Teilen allgemein das erfindungsgemäße Simultanverarbeitungsund kontaminationsfreie Plasmaätzverfahren. Die Warteschlangenstation 14 umfaßt vorzugsweise eine Kassette, nicht abgebildet, mit einer Mehrzahl von Wafern 32, die in der Kassette mit vertikalen Zwischenabständen gestapelt sind. Die Kassette ist vorzugsweise für eine Hebschrittbewegung durch eine gerastete Hebewerkeinrichtung angebracht, wobei die Hebewerkeinrichtung durch die Bezugsziffer 34 schematisch dargestellt ist, wobei die Einrichtung durch den Prozessor 22 (Figur 1) so gesteuert wird, daß die Kassette in vertikalen Stufen, die dem vertikalen Zwischenabstand der vertikal beabstandeten Wafer entsprechen, fortgeschaltet wird, um die zugeordnete Kassettenschlitzposition zu adressieren. Hiermit wird festgestellt, daß die einzelnen Wafer in der Kassette auf diese Weise zur Entfernung für eine Verarbeitung und zur Rückführung nach der Verarbeitung an ihre entsprechenden Schlitzpositionen adressiert werden. Es wird ferner festgestellt, daß zur Zeit zwar eine Kassette und eine gerastete Hebewerkeinrichtung bevorzugt werden, wobei auch eine andere geeignete Wafer-Warteschlangenstation verwendet werden kann, ohne dabei vom Erfindungsgedanken abzuweichen.
  • In bezug auf die Figuren 2, 3A und 3B umfassen die Vakuumverschlußeinrichtungen 20 zwischen der Warteschlangenstation 14 und dem Bedienmodul 18 sowie zwischen der Mehrzahl von Plasmareaktoren 12 und dem Bedienmodul 18 jeweils einen Gehäusekörper, der allgemein mit der Bezugsziffer 40 gekennzeichnet ist. Das Gehäuse 40 umfaßt eine Platte 42 mit entgegengesetzten Ober- und Unterseiten sowie mit orthogonal dazu ausgerichteten Seitenwänden 44, die so zusammenwirken, daß sie einen allgemein rechteckigen Hohlraum definieren, der allgemein mit der Bezugsziffer 46 gekennzeichnet ist, wie dies in Figur 3A am besten ersichtlich ist. An den Enden der Wände 44 und von der Platte 42 entfernt ist umfänglich um die Wände ein Flansch 47 vorgesehen, und durch die Enden der Platte 42 und des Flanschs 47 sind Bolzen 48 vorgesehen, um den Gehäusekörper 40 an den Grenzflächen zwischen entsprechenden Plasmareaktoren 12 und dem Bedienmodul 18 und zwischen den Grenzflächen zwischen der Warteschlangenstation 14 und dem Bedienmodul 18 zu befestigen. An den Dichtungsflächen der Platte 42 und des Flanschs 47 sind O-Ringe 50 vorgesehen, um einen luftdichten Verschluß vorzusehen. Ein allgemein mit der Bezugsziffer 54 gekennzeichneter Langschlitz 54 ist durch die Platte 47 vorgesehen, wobei sich dieser Schlitz in Verbindung mit dem allgemein rechteckigen Hohlraum 46 befindet.
  • Eine Kammertüreinrichtung, die allgemein mit der Bezugsziffer 56 bezeichnet ist, wirkt mit dem Schlitz 54 zusammen, um eine Ventilwirkung vorzusehen. Die Türeinrichtung 56 umfaßt eine längliche, allgemein rechteckige Platte 58, deren Abmessungen größer sind als die Abmessungen des Schlitzes 54. In der Dichtungsfläche der Platte 58 ist ein O-Ring-Dichtungselement 60 vorgesehen, das den Schlitz 54 umgibt. Die Platte 58 wird an einem Arm 62 angebracht, so daß sie mit einer Welle 64 drehbar ist, die in beabstandeten Lagern 66 gehalten wird, die an der Platte 42 angebracht sind. Ein Kammertür-TT-Steuerstellglied, nicht abgebildet, ist durch eine Kante des Gehäuses 40 an der Welle 64 angebracht, und zwar vorzugsweise durch einen ferrofluidischen oder einen Drehverschluß, wie dieser unter der Bezugsziffer 70 gestrichelt dargestellt ist.
  • Die Kammertür 56 ist durch das Kammertür-TT-Steuerstellglied zwischen einem offenen Zustand, der in Figur 3A durch eine gestrichelte Linie dargestellt ist, und einem geschlossenen Zustand schwenkbar ist, der in den Figuren 3A und 3B durch eine durchgezogene Linie dargestellt ist. Im offenen Zustand steht der allgemein rechteckige Hohlraum 46 in offener Verbindung mit dem Langschlitz 54, so daß ein Waferarmzusammenbau, der später im Text beschrieben wird, zwischen dem Bedienmodul 18 und den verschiedenen Plasmareaktoren 12 sowie der Warteschlangenstation 14 dort hindurch bewegt werden. Im geschlossenen Zustand der Türeinrichtung 56 wird das Bedienmodul im Verhältnis zu der Mehrzahl von Plasmareaktoren 12 und der Warteschlangenstation 14 verschlossen.
  • In bezug auf die Figuren 2 und 4 umfaßt das Bedienmodul 18 eine obere Wand 72, pentagonal angeordnete Seitenwände 74 und eine pentagonale untere Wand 76, die eine allgemein mit der Bezugsziffer 78 bezeichnete Einfassung definieren. In der Einfassung ist ein allgemein mit der Bezugsziffer 80 bezeichneter R-, TT-Bewegungswaferarmzusammenbau angebracht. Der Zusammenbau 80 umfaßt eine Drehscheibe 82, die TT-drehbar mit einer Welle 84 angebracht ist, die in einer Lagereinrichtung lagert, die allgemein mit der Bezugsziffer 86 bezeichnet ist, die in einer dafür in der unteren Wand 76 vorgesehenen zentralen Öffnung angebracht ist. Ein an der unteren Wand 76 angebrachter Theta-Antriebsmotor 88 ist über eine Riemen- und Scheibenanordnung 90 funktionsfähig mit einer Welle 84 gekoppelt. Durch diese geregelte Rotation der Welle des Theta-Motors 88 drehen sich die Welle 84 und mit dieser die Drehscheibe 82 an jede ausgewählte TT-Winkelausrichtung, um den Waferarmzusammenbau 80 mit einem der Plasmareaktoren 12 oder mit der Warteschlange 14 an den entsprechenden TT-Koordinaten TT&sub1;, TT&sub2;, TT&sub3;, TT&sub4; und TT&sub5; auszurichten.
  • Ein Welle 92 ist konzentrisch in der Welle 84 angebracht, wobei diese Welle darin auf einem Lager drehbar lagert, und mit einer Vakuumverschlußeinrichtung, die allgemein mit der Bezugsziffer 93 bezeichnet ist. Jeder geeignete Vakuum-Drehverschluß kann dabei verwendet werden, wie zum Beispiel ein ferrofluidischer Vakuum-Drehverschluß. Ein Ende der Welle 92 ist mit dem später im Text beschriebenen Drehlager 94 verbunden, das durch die Drehscheibe 82 vakuumangebracht ist, und wobei das andere Ende der Welle 92 über eine Riemen- und Scheibenanordnung, die allgemein mit der Bezugsziffer 98 bezeichnet ist, funktionsfähig mit einem R-Antriebsmotor 96 verbunden ist. Wie dies nachstehend genauer beschrieben wird, wird der Waferarm beider Ausführungsbeispiele des R-, TT- Bewegungswaferarmzusammenbaus bei geregelter Rotation der Welle des R-Antriebsmotors 96 geregelt in die R-Richtung umgesetzt, um einzelne Wafer durch die zugeordneten Vakuumverschlußeinrichtungen 20 in und aus der Mehrzahl von Reaktionskammern 12 und die Warteschlangenstation 14 zu laden und zu entladen.
  • In bezug auf die Figuren 2, 4 und 5 umfaßt der Waferarmzusammenbau 80 einen Waferaufnahme- und Auslöseflügelzusammenbau, der allgemein mit der Bezugsziffer 100 bezeichnet ist. Der Flügelzusammenbau 100 umfaßt eine Plattform 102 mit einer zentralen Öffnung durch die Plattform, wobei die Öffnung allgemein mit der Bezugsziffer 104 bezeichnet ist. Das Element 102 endet in lateral beabstandeten Fingern 106, die mit der Waferperiphene eingreifende aufrechte Flansche 108 aufweisen, die integral an den freien Enden der Finger ausgebildet sind. Ein lösbarer Widerlager, der allgemein mit der Bezugsziffer 110 bezeichnet ist, der ein Pufferteilstück 112 und ein integrales Endstück 114 aufweist, ist gleitbar an dem Plattformelement 102 angebracht. Wie dies in Figur 8 am besten ersichtlich ist, ist zwischen dem lösbaren Widerlager 110 und dem Element 102 eine Schraubenfeder 116 angebracht, die den Puffer 112 in die Richtung des Pfeils 118 drückt, so daß dieser an der Peripherie des Wafers, nicht abgebildet, anstößt und mit dieser reibschlüssig eingreift, die zwischen dem Puffer 112 und den Flanschen 108 aufgenommen wird. Das Ende 114 umfaßt eine später im Text beschriebene nach unten abhängige Anschlageinrichtung 120, die gleitend in einer Längsöffnung aufgenommen wird, die dafür in dem Plattformelement 102 vorgesehen ist, wobei dieser Anschlag mit einem später im Text beschriebenen aufrechten Widerlager zusammenwirken kann, um den reibschlüssigen Wafereingriff zu lösen, wenn der Arm dessen Position der maximalen Ausdehnung erreicht. Der Flügelzusammenbau 100 ist zwischen den Platten 124 an einem Schlittenzusammenbau angebracht, der allgemein mit der Bezugsziffer 126 bezeichnet ist, wobei dieser Schlittenzusammenbau verschiebbar auflinearen Lagern 128 angebracht ist, die an Endpfosten 130 befestigt sind, die von der Drehscheibe 82 aufrecht nach oben stehen und die an der Drehscheibe 82 angebracht sind.
  • Der Schlitten 126 wird kontrolliert entlang der linearen Lager 128 in eine der Richtungen bewegt, um Wafer einzeln in und aus den verschiedenen Plasmareaktoren 12 und der Warteschlangenstation 18 zu beladen bzw. zu entladen. Ein Element 131 ist drehbar unter dem Schlitten 125 angebracht, wobei sich darin ein lineares Lager befindet, nicht abgebildet. Eine Welle 132 wird gleitend durch das lineare Lager des drehbaren Gehäuses 131 aufgenommen. Ein Ende der Welle 132 ist gleitbar in einer Laufbuchse 134 angebracht, die drehbar über ein Drehlager 136 an der Drehscheibe 82 angebracht ist, und wobei das andere Ende der Welle 132 an einem Nadellagerzusammenbau 138 angebracht ist, der drehbar an einem Kurbelarm 140 angebracht ist, der über eine Befestigungskupplung 142, die an der Drehscheibe 82 angebracht ist, drehbar mit der Welle 92 des R-Antriebsmotors 96 (Figur 4) angebracht ist.
  • Bei kontrollierter Rotation des Theta-Antriebsmotors 88, drehen sich die Drehscheibe 82 und damit der Flügelzusammenbau 100 an die TT-Koordinate, die einer ausgewählten Winkelposition der Mehrzahl von Plasmareaktionskammern entspricht, die in Figur 2 mit TTI bis TT4 bezeichnet sind, und an die TT-Koordinate, die der Winkelposition der Wafer-Warteschlangenstation 14 entspricht, die in Figur 2 mit TT5 gekennzeichnet ist. Bei kontrollierter Rotation des R-Antriebsmotors 96 folgt die Kurbel 140 einem bogenförmigen Weg, wie dies durch den Pfeil 144 dargestellt ist. Der Arm 132 dreht sich damit an dem Drehlager 136, wie dies durch den Pfeil 146 dargestellt ist, und wobei der Schlitten 126 linear entlang der Lager 128 in eine Richtung bewegt wird, die dem Drehsinn des X- Antriebsmotors entspricht, wie dies durch den Pfeil 148 dargestellt ist. Der Arm wird im Verhältnis zu der Kupplung 136 bei einer Drehung durch die Kurbel 140 mehr oder weniger verlängert, und abhängig von dem Drehsinn gleitet er in der Laufbuchse 134 und in dem Gehäuse 131, wie dies durch den Pfeil 150 dargestellt ist. Wenn die Kurbel 140 an deren maximale Position im Uhrzeigersinn gedreht wird, bewegt sich der Flügelzusammenbau 100 an dessen vollständig eingezogene Position, wie dies in Figur 6 bei 152 allgemein dargestellt ist. Bei einer Bewegung des Kurbelarms 140 gegen den Uhrzeigersinn, bewegt sich der Flügel entlang der R-Richtung, wie dies in Figur 7 bei 154 allgemein dargestellt ist. Wenn sich der Flügelzusammenbau 100 seiner vollständig ausdehnten Position nähert, nahe der maximal zulässigen Rotation des R- Antriebsmotors gegen den Uhrzeigersinn, stößt der Anschlag 120 an dem Endstück 110 an der konfrontierenden Wand des aufrechten Endpfostens 130 an, so daß der Puffer 110 bei andauernder Bewegung des Flügels in die R-Richtung von den Flanschen 108 weggezogen wird, und wobei der reibschlüssige Eingriff mit der Waferperiphene dadurch gelöst wird. An der maximalen Ausfahrposition können die Wafer dann in und aus einem ausgewählten Plasmareaktor 12 be- und entladen werden, wobei sie aber auch wieder in die Warteschlangenstation 14 zurückgeführt werden können.
  • Die Kontakte 156, von denen vorzugsweise drei vorgesehen sind, sind an dem Plattformelement 102 des Flügelzusammenbaus 100 angebracht, wie dies in Figur 7 dargestellt ist. Die Kontakte sind als Reaktion auf das Vorhandensein eines getragenen Wafers so funktionsfähig, daß sie ein Dreipunktsignal vorsehen, das anzeigt, ob sich der Wafer entsprechend auf dem Wafertransferarm befindet oder nicht. Die Kontakte sind vorzugsweise auf einer Leiterplatte ausgebildet, nicht abgebildet, die an dem Flügelzusammenbau 100 angebracht ist. Eine andere Anzahl von Kontakten sowie andere Sensoreinrichtungen können ebenso verwendet werden, solange eine genaue Anzeige des verlangten Sitzes bzw. der verlangten Lage der einzelnen Wafer vorgesehen wird.
  • In bezug auf Figur 9 ist bei 160 allgemein eine teilweise bildliche und teilweise schematische Seitenansicht vorgesehen, die einen Plasmareaktor des erfindungsgemäßen Simultanverarbeitungs- und kontaminationsfreien Plasmaätzsystems darstellt. Jeder der Plasmareaktoren 160 umfaßt eine obere Platte 162, eine beabstandete untere Platte 164 und eine zylinderförmige Seitenwand 166, die so zusammenwirken, daß sie eine allgemein mit der Bezugsziffer 168 bezeichnete Plasmakammer definieren. Eine erste Elektrode, die allgemein mit der Bezugsziffer 170 bezeichnet ist, ist an der unteren Platte 164 angebracht. Eine Basis, die bei 172 schematisch durch gestrichelte Linien dargestellt ist, ist verschiebbar zentral in der unteren Elektrode 170 angebracht, so daß sie vertikal mit der Welle eines Druckluftzylinders beweglich ist, der bei 174 schematisch durch gestrichelte Linien dargestellt ist. Wie dies nachstehend genauer beschrieben wird, kann die Basis 172 mit dem Flügelarmzusammenbau zusammenwirken, so daß einzelne Wafer den Plasmakammer zugeführt und aus diesen entfernt werden können. Der Basis-Druckluftzylinder 174 wird durch eine geregelte Luftzufuhr, nicht abgebildet, gesteuert, die mit dem Zylinder über einen Lufteinlaßanschluß 176 und einen Luftauslaßanschluß 178 funktionsfähig gekoppelt ist. Wie dies durch die gestrichelten Linien 180 dargestellt ist, ist eine Kühlflüssigkeitsquelle, nicht abgebildet, mit integralen Fluidströmungsdurchgängen, nicht abgebildet, gekoppelt, die durch das Innere der unteren Elektrode 170 über Einlaß- und Auslaßöffnungen 182, 184 vorgesehen sind, um in der unteren Elektrode während dem Plasmaätzen erzeugte Wärme zu entfernen bzw. abzuleiten. Eine obere Elektrode, die allgemein mit der Bezugsziffer 186 bezeichnet ist, ist an einer allgemein mit der Bezugsziffer 188 bezeichneten Stützwelle angebracht, die gleitend durch die obere Platte 162 in vakuumdichtem Eingriff aufgenommen wird, wie zum Beispiel durch Vakuumbalge 190 aus rostfreiem Stahl, die zwischen der oberen Platte 162 und einer darüber angrenzenden Wellenstützplatte 187 angebracht sind. Die obere Elektrode 186 umfaßt die internen Kühl-/Erwärmungs- Fluidströmungsdurchgänge, die bei 189 als gestrichelte Linien schematisch dargestellt sind, die über Fluidströmungs- Rohrleitungen 190, die sich in der Welle 188 befinden, mit einer Quelle, nicht abgebildet, verbunden sind, und zwar über einen Flüssigkeits-Einlaßanschluß 194 und einen Auslaßanschluß 196, die in dem Plattenzusammenbau 187 vorgesehen sind. Ein Druckluft-Betätigungsglied, das allgemein mit der Bezugsziffer 200 bezeichnet ist, und das einen Stößel 202 aufweist, ist an dem Stützplattenzusammenbau 187 angebracht. Wenn sich der Stößel 202 an dessen ausgefahreren Position befindet, nicht abgebildet, wird die Platte 187 nach oben bewegt, und mit dieser bewegen sich die Welle 188 und die Elektrode 186 nach oben und weg von der ortsfesten unteren Elektrode 170. Wenn der Stößel gemäß der Darstellung abgelassen ist, lagern an dem Plattenzusammenbau 187 angebrachte Mikrometereinstellungspfosten 204 an der oberen Platte 162 und tragen somit die obere Elektrode 186 mit in beabsichtigter beabstandeter Anordnung zu der unteren Elektrode 170. Der Elektrodenabstand ist durch wahlweise Veränderung der Länge der Mikrometereinstellungspfosten einstellbar. In dem bevorzugten Ausführungsbeispiel ist eine Abstandseinstellung von 2/16-Inch bis 2 Inch vorgesehen.
  • Die Welle 188 weist einen inneren Hohlraum 206 auf, und wobei über dem Hohlraum der Welle 206 ein Laserfenster 208 angebracht ist. Der Strahl eines externen Lasers, nicht abgebildet, tritt durch das Fenster und die Hohlwelle, um Endpunktbestimmungen des Plasmaätzzustands vorzusehen. Endpunkt- Bestimmungseinrichtungen, wie zum Beispiel ein lateraler optischer Detektor, können ebenso verwendet werden, ohne dabei vom Erfindungsgedanken abzuweichen. Reaktantgas- Einspritzanschlüsse 210 sind über dafür vorgesehene interne Wellenleitungen, nicht abgebildet, mit einem flüssigkeitsgekühlten Brausekopf-Gasverteiler in der oberen Elektrode 186 verbunden, wobei der Gasverteiler bei 211 gestrichelt dargestellt ist. Das Reaktantgas wird kontrolliert aus dem Verteiler in den Plasmareaktor abgelassen, und in den Plasmareaktionskammern wird eine Hochfrequenzleistung ausgeübt. In einem alternativen Ausführungsbeispiel kann der Elektrodenabstand für jedes bestimmte Plasmaverfahren vorher ausgewählt werden, und wobei an Stelle der Druckluft- Betätigungsglieder 200 in vorteilhafter Weise zusätzliche Mikrometer eingesetzt werden können.
  • In bezug auf Figur 10 ist unter der Bezugsziffer 212 allgemein eine Prinzipskizze dargestellt, die die zur Zeit bevorzugten Gaseinspritzungs- und geregelten Vakuumsysteme veranschaulicht.
  • Vorzugsweise sind vier einzeln angeschlossene Gasquellen entsprechend mit einzelnen Plasmagefäßen verbunden, und zwar über entsprechende Gasverteiler einer Mehrzahl von Gasverteilern, wobei zwei Reihen von Gasguellen, die mit den Bezugsziffern 214, 216 bezeichnet sind, und zwei Verteiler 218, 220, spezifisch dargestellt sind. Ein Vakuumsystem 222 ist funktionsfähig gemeinsam mit der Mehrzahl von Plasmareaktorkammern, der Warteschlangenstation 224 und dem Bedienmodul 226 gekoppelt. Das Vakuumsystem regelt den Vakuumzustand in dem ganzen System, so daß die Wafer aufgrund des getrennten Öffnens und Schließens der Vakuumverschlußeinrichtungen bei dem Einphasenverarbeitungs- und Mehrphasenverarbeitungs-Wafertransfer nicht verunreinigt werden. Hiermit wird festgestellt, daß zwar vier Plasmareaktoren offenbart sind, wobei jedoch auch eine größere oder geringere Anzahl von Reaktoren verwendet werden kann, ohne dabei vom Erfindungsgedanken abzuweichen.
  • In bezug auf Figur 11A ist bei 230 allgemein eine Perspektivansicht eines alternativen Ausführungsbeispiels des erfindungsgemäßen X.-TT-Waferarmzusammenbaus dargestellt. Der Zusammenbau 230 umfaßt eine Riemenscheibe 232, die drehbar mit der Welle des TT-Antriebsmotors angebracht ist, wie dies in Figur 11B am besten ersichtlich ist. Die Riemenscheibe 232 umfaßt einen gerillten Rand 234, um den ein Kabel 236 gewickelt ist. Das Kabel wird in entgegengesetzte Richtungen tangential zu der qerillten Rippe 234 gezogen und entsprechend um die Riernenscheiben 238, 240 gewickelt und an einem Gleitstück 242 angebracht, wie dies bei 244 in Figur 11B am besten ersichtlich ist. Durch die Winkeldrehung der Riemenscheibe 22 bewegt sich das Gleitstück 242 linear entlang der linearen Lager 246. Ein allgemein mit der Bezugsziffer 248 bezeichneter Waferarm ist drehbar mit dem Gleistück 242 angebracht, so daß der Arm 248 abhängig von der Winkelposition der Riemenscheibe 232 geregelt ausgefahren und eingezogen werden kann. Um in dem Kabel eine konstante Spannung vorzusehen, enden die Enden des Kabels in dem Gleitsttick 242 vorzugsweise an federnden Vorbelastungselementen, die in Figur 12 allgemein mit der Bezugsziffer 250 bezeichnet sind. Das Kabel 236 wird während es gestreckt wird, durch die elastischen Kupplungen 250 in die entgegengesetzte Richtung gezogen, so daß der verlangte Zustand beibehalten wird.
  • Während den Beladungszyklen der Plasmakammern dreht der Theta- Antriebsmotor bei beiden Ausführungsbeispielen des R-, TT- Bewegungswaferarmzusammenbaus die Drehscheibe des R-, TT- Waferarmzusammenbaus an die TT-Koordinate der Warteschlangenstation. Der Vakuumverschluß der zugeordneten Grenzfläche wird gelöst, und der Arm wird unter dem Wafer an die adressierte Kassettenschlitzposition ausgefahren. Danach wird der Arm wieder in das Bedienmodul eingezogen, wobei der Vakuumverschluß wiederhergestellt wird. Danach wird der R-, TT- Waferarmzusammenbau an die TT-Koordinate des ausgewählten Plasrnareaktors gedreht. Daraufhin wird die zugeordnete Kammertür an deren offenen Zustand gedreht, so daß ein Zugriff auf bzw. Zugang zu der ausgewählten Reaktionskammer vorgesehen wird, und wobei die obere Elektrode angehoben wird. Danach wird der Waferaufnahmearm in die R-Richtung durch die zugeordnete Schlitzventilöffnung und in die ausgewählte Reaktionskammer ausgefahren. Wenn der Arm die Grenze seiner maximalen radialen Ausdehnung erreicht, stößt der abhängige Anlaufflansch an dem Waferarm an die aufrechten Endpfosten an der Drehscheibe, und bei andauernder radialer Bewegung geht der Puffer zurück, so daß der Wafer aus dem peripheren reibschlüssigen Eingriff gelöst wird. Die zentrale Basis der unteren Elektrode wird danach durch deren Druckluft-Betätigungsglied kontrolliert angehoben, und wobei damit der auf dem Arm getragene Wafer von der Wafer-Trageplattform nach oben angehoben wird. Danach wird der Waferarm durch das offene Schlitzventil aus der Plasmakammer und in das Bedienmodul gezogen. Danach wird die Basis kontrolliert abgesenkt. Damit senkt sich auch der Wafer, bis sich die Basis an ihrer eingezogenen Position befindet, wobei der Wafer dann auf der Oberfläche der unteren Elektrode getragen wird. Danach wird die zugeordnete Kammertür geschlossen, und die obere Elektrode wird auf den genauen, vorher ausgewählten Abstand gesenkt, der den bestimmten laufenden Plasmavorgang implementiert. Danach werden die bestimmten Reaktante durch den Gasverteiler der oberen Elektrode eingespritzt, und die Hochfrequenzleistung wird angelegt. Danach dauert das Plasrnaätzen jedes einzelnen Wafers solange an, bis der Laser über ein Signal anzeigt, daß der verlangte Endpunkt erreicht ist. Danach wird die Hochfrequenzleistung abgeschaltet, der Vakuumverschluß geöffnet und das oben beschriebene Verfahren wiederholt, jedoch in umgekehrter Reihenfolge, um den Wafer aus der Plasmakammer zu entfernen und zurück in das Bedienmodul zu übertragen. Danach kann der Wafer für ein weiteres Verfahren in einem Verarbeitungsmodus mit zwei oder mehr Schritten in einen anderen Plasmareaktor oder in einem Einschritt- Verarbeitungsmodus zurück in die Kassette übertragen werden.
  • Das Bedienmodul, die Warteschlangenstation und die Mehrzahl von Reaktoren, können in drei Grundbetriebsarten betrieben werden, wobei jeder Reaktor in der ersten Betriebsart gleichzeitig die gleiche Plasmareaktion ausführt, wobei jeder Plasmareaktor in der zweiten Betriebsart gleichzeitig zwei oder mehr unterschiedliche Plasmavorgänge ausführt, und wobei die Plasmareaktoren in der dritten Betriebsart getrennt voneinander betrieben werden, um eine Verarbeitung einzelner Wafer in mehreren Schritten vorzusehen, bevor die Wafer zurück in die Warteschlangenstation gegeben werden. In jedem Fall werden die Wafer in eine kontrollierte Vakuumumgebung transportiert und in dieser verarbeitet, so daß Luftexposition und eine durch die Behandlung erzeugte Kontamination vollständig ausgeschlossen werden.
  • Bei den Figuren 13-17 handelt es sich um rasterelektronenmikroskopische Bilder, die beispielhafte Mikrostrukturen veranschaulichen, die in einem Einzelschrittverfahren gestaltet werden können, wobei es sich bei der Figur 18 um ein rasterelektronenmikroskopisches Bild einer beispielhaften Mikrostruktur handelt, die in einem Zweistufen-Ätzverfahren erzeugt werden kann. In Figur 13 ist bei 260 allgemein Polysilizium mit einem überlagernden Photoresist 262 auf der Oberfläche der Siliziumdioxidschicht 264 des Wafers dargestellt. Zum Beispiel werden dem Plasmareaktor dotiertes Polysilizium CCl&sub4; mit geringem Widerstand (12-30 Ohm) mit 20 sccm und He mit 30 sccrn mit einem Druck von 100 mt und einer Leistung von 300 Watt zugeführt. Der Ätzvorgang dauert etwa 1,5 Minuten. Gemäß Figur 14 ist dotiertes Polysilizium 265 mit einem vergleichsweise hohen Widerstand (30-200 Ohm pro Quadrat) und mit einer abgeschrägten Profilmaske dargestellt. Bei der veranschaulichten Mikrostruktur werden SF&sub6; mit 50 sccm und Freon 115 (C&sub2;CIF&sub5;) mit 50 sccm bei einem Druck von 150 mt und einer Leistung von 100 Watt geregelt in einen Plasmareaktor eingespritzt. Nach etwa 2,5 Minuten ist die dargestellte dotierte Polysilizium- Mikrostruktur hergestellt.
  • In bezug auf Figur 15 ist bei 266 allgemein eine rasterelektronenmikroskopische Aufnahme dargestellt, die eine beispielhafte Grabenätzung veranschaulicht. Die Abdeckung wird entfernt und in dem Silizium 272 wird ein allgemein mit der Bezugsziffer 268 bezeichneter Graben gestaltet, und zwar durch Einspritzen von BCl&sub3; mit 5 sccm und Cl&sub2; mit 25 sccm in den Plasmareaktor, bei einem Kammerdruck von 100 mt und einer Leistung von 750 Watt über einen Zeitraum von etwa 20 Minuten.
  • In bezug auf Figur 16 ist bei 274 allgemein feuerfestes Silcid, TaSi/Poly, dargestellt. Die Siliziumdioxidoberfläche 276 überlagert eine Polysiliziumschicht 278, die das TaSi/Poly 280 überlagert, über dem sich die Abdeckung befindet. Die Mikrostruktur wird durch Einspritzen von CCl&sub4; mit 20 sccm und He mit 30 sccm in einen Plasmareaktor erreicht, und zwar bei einem Kammerdruck von 80 mt und einer Hochfrequenzleistung von 300 Watt über einen Zeitraum von etwa 3,5 Minuten.
  • In bezug auf Figur 17 ist mit der Bezugsziffer 282 allgemein eine weitere Mikrostruktur bezeichnet, die ein Beispiel für die Einzelschrittstrukturen darstellt, die durch den erfindungsgemäßen kontaminationsfreien und Simultanverarbeitungs-Plasmareaktor erzeugt werden können. Gemäß der Darstellung überlagert eine Abdeckung 284 eine Aluminium- und Siliziumschicht 286, die von einer TiW-Schicht 288 auf der Waferoberfläche überlagert wird. Die veranschaulichte Struktur wurde durch Einspritzen von BCl&sub3; mit 50 sccm und Cl&sub2; mit 15 sccm in einen Plasmareaktor mit einem aufrecherhaltenen Kammerdruck von 125 mt und einer Hochfrequenzleistung von 300 Watt erreicht, und zwar über einen Zeitraum von etwa 2,5 bis 3,5 Minuten.
  • In bezug auf Figur 18 ist mit der Bezugsziffer 290 allgemein eine Siliziumdioxid/Poly/Siliziumdioxid/Poly-Sandwichstruktur bezeichnet, die ein beispielhaftes Zweistufenverfahren veranschaulicht. Eine mit Poly 1 bezeichnete Polyschicht und eine mit Oxid bezeichnete Oxidschicht werden nach dem Ätzen mit C&sub2;F&sub6; mit 100 sccm bei einem Druck von 800 mt und einer Hochfrequenzleistung von 600 Watt in einer ersten Kammer gestaltet. Danach werden die obere Polyschicht Poly 2 und das Oxid sowie eine überlagernde Abdeckungsschicht durch den Einsatz von CCl&sub4; mit 20 sccm und He mit 30 sccm in einem getrennten zweiten Schritt in einer zweiten Reaktionskammer erzeugt, und zwar bei einem aufrechterhaltenen Kammerdruck von 100 mt und bei einer Hochfrequenzleistung von 600 Watt.
  • In bezug auf die Figuren 19-24 ist die Fertigungssteuerung für den Waferdurchsatz dargestellt. Im besonderen veranschaulicht die Figur 19 ein Zustandsdiagramm für die gesamte Systemfunktionsweise. In dem Zustand 300 werden Systeminitialisierungsprozeduren ausgeführt, die das System von einem eingeschalteten Zustand über erforderliche Aufwärmzustände und durch Startprozeduren führen. Der Übergang zu einem darauffolgenden Zustand 302 erfolgt unmittelbar nach der Beendigung der Systeminitialisierung. Der Zustand 302 existiert bis eine Kassette in der Kassetten- Warteschlangenstation plaziert wird und alle Türverriegelungsschalter aktiviert sind. Der Übergang von der Bestimmung des Bereitzustands 302 zu einem Maschineninitialisierungszustand 304 erfolgt, sobald diese Bedingungen erfüllt werden, wobei die Bedienungsperson den Systembetrieb über einen Startknopf auslöst, vorausgesetzt, daß keine anderen Systemunterbrechungssignale oder Haltebezeichnungen aufgetreten sind, und daß der Anwender nicht den Diagnosezustand 306 aktiviert hat, in den alternativ aus dem Bereitzustand 302 gesprungen werden kann.
  • Wenn der Anwender den Diagnosezustand 306 wählt, werden eine Reihe von Systemdiagnosen ausgeführt. Ansonsten führt der Maschineninitialisierungszustand 304 eine letzte Reihe von System-Einschalt-, Gasspül- oder anderen Initialisierungsschritten aus, die normalerweise in dem Systeminitialisierungszustand 300 für Zeit- und/oder Leistungsbetrachte durchgeführt werden.
  • Aus dem Maschineninitialisierungszustand 304 kann durch die Betätigung eines Bereitschaftsknopfs durch die Bedienungsperson in einen Bereitschaftszustand 306 eingetreten werden, wodurch die Verarbeitungsschritte abgebrochen werden und zurück zu dem Bereitzustand 302 zurückgesprungen wird. Ansonsten wechselt das System, sobald die Initialisierungsfunktionen beendet sind, aus dem Maschineninitialisierungszustand 304 in einen Kassetten- Auspumpzustand 310, in dem ein Vakuum aus der Wafer- Warteschlangenstation 14 gezogen und in die Umgebung des Transportarms und der Mehrzahl von Atzgefässen gegeben wird. Nach dem Auspumpen der Wafer-Warteschlangenstation 14 in dem Zustand 310 springt die Verarbeitung normalerweise zu dem Zustand 312, in dem die Wafer und die Kassette an der Warteschlangenstation 14 nacheinander verarbeitet werden, wie dies in den folgenden Figuren dargestellt ist. Wenn die Bedienungsperson in dem Bereitzustand 302 alternativ einen Waferentfernungsbefehl aktiviert hätte, würde die Verarbeitung in einem Waferentfernungszustand 314 wechseln, in dem an Stelle der Kassettenverarbeitung Wafer aus dem System entfernt werden. Bei der Verarbeitung in den Zuständen 306, 308 und 314 erfolgt nach der Anweisungsfunktion ein Rücksprung zu dem Bereitzustand 302.
  • Wenn in den Kassettenverarbeitungszustand 312 eingetreten wird, verarbeitet das System jeden Wafer in der Kassette gemäß einer in das System eingegebenen und nachstehend beschriebenen Wafer- Befehlsliste. Nach Vollendung dieses Zykluses springt die Verarbeitung zu dem Zustand 316, in dem die Wafer- Warteschlangenstation 14 entlüftet wird, und wobei auf die Entfernung der Kassette gewartet wird, wobei das System an dieser Stelle in den Zustand 300 wechselt.
  • Wenn in dem normalen Systembetrieb ein Fehler auftritt, der durch die Prozessor-Fehlererfassung bestimmt wird, so springt die Verarbeitung aus jedem der Zustände aus Figur 19 zurück zu dem Systeminitialisierungszustand 300, um die Betriebsinitialisierungsfunktionen erneut zu durchlaufen.
  • Die Funktionsweise in dem Kassettenverarbeitungszustand 312 folgt einer flexiblen Prozeßsteuerung, die in dem Flußdiagramm aus Figur 20 dargestellt ist. Gemäß der Darstellung verläuft die Verarbeitung zwischen dem mit Schlitze bezeichneten Zustand 320, dem mit Wafer bezeichneten Zustand 322, dem mit Waferbefehle bezeichneten Zustand 324 und dem mit Maschinenüberwachung bezeichneten Zustand 326. Die Verarbeitung aus Figur 20 wird eingeleitet, wenn in den Zustand 312 mit einer Kassette unbearbeiteter Wafer eingetreten wird, wobei die Verarbeitung in dem Zustand 320 beginnt und endet. Der Zustand 320 leitet einen Wafer-Startbefehl für jeden Schlitz ein, der einen unbearbeiteten Wäfer aufweist, wobei zum Beispiel eine Prioritätsfolge von oben nach unten bzw. eine andere programmierbare Prioritätsfolge verwendet werden kann. Die Verarbeitung springt aus dem Zustand 320 für einen ausgewählten Schlitz und einen entsprechenden Wafer zu dem Waferzustand 322. Aus dem Zustand 322 wird in einem folgenden Zustand 324 Waferbefehle auf die Verarbeitungsbefehle bzw. Spezifikationen für jeden Wafer zugegriffen. Die Waferbefehle werden gemäß der gewünschten Verarbeitung für jeden Wafer in dem System programmiert, zum Beispiel ein oder mehrere Ätzungen innerhalb eines bestimmten Zeitraums bzw. eine bestimmte Tiefe in einem angegebenen Gas. Die Befehle in dem Zustand 324 werden nacheinander ausgeführt, wobei jeder Befehl eine Reihe von Maschinensteueroperationen einleitet, die in dem Zustand 326, Maschinenüberwachung, auftreten.
  • Nach der Vollendung jedes Waferbefehls, der zum Beispiel einen einzigen Ätzzyklus für einen Wafer darstellt, wie dies nachstehend beschrieben ist, springt die Verarbeitung zu dem Waferbefehlszustand 324 zurück, um einen weiteren Waferbefehl auszuführen. Nachdem alle Waferbefehle für einen bestimmten Wafer ausgeführt worden sind, springt die Verarbeitung zu dem Zustand 322 zurück und von dort zu dem Zustand 320, wobei sequentiell durch die Wafer und Kassettenschlitze geschritten wird.
  • Die Verarbeitung in dem Maschinensteuerzustand 326 entspricht den Wafertransportalgorithmen aus den Figuren 21, 22 und 23 sowie dem Verarbeitungsalgorithmus aus Figur 24 der Innenkammer bzw. des Gefäßes. In jedem Fall, bei dem die Verarbeitung auf den Empfang von Systembefehlen wartet, prüft die Prozessorzustandsbewertung, ob die Bedingungen vorhanden sind, die dafür erforderlich sind, daß die Einheit zu dem nächsten Schritt wechseln kann.
  • Die Figur 21 veranschaulicht insbesondere die Verarbeitung zum Transport eines Wafers aus einer Kammer bzw. aus einem Gefäß in eine andere Kammer bzw. in ein anderes Gefäß, und zwar gemäß den Waferbefehlen, die die Mehrkammer- bzw. Mehrgefäßverarbeitung spezifizieren. Die Verarbeitung beginnt gemäß der Darstellung in dem Initialisierungszustand 330. In dem darauffolgenden Zustand 332 wird der Transportarm- Wafertragtisch von einer Kammer bzw. von einem Gefäß zu der gewünschten Kammer bzw. zu dem gewünschten Gefäß geführt, in dem sich der zu transportierende Wafer befindet. Nach der Vollendung dieser Positionierung aktiviert der darauffolgende Zustand 334 die Ventil- und Armmechanismen in einem Übergang zu dem Zustand 336. In dem Zustand 336 führt das System eine Rücksetzung des Transportarm-Wafertragtisches zu der Zielkammer bzw. zu dem Zielgefäß durch und wechselt in einen Zustand 338, in dem das System, wenn es Steuersignale empfängt, den Armmechanismus und die Ventile an der entsprechenden Kammer aktiviert, um den Wafer während dem Übergang in den Zustand 340 in dieser bestimmten Kammer zu positionieren. Wenn der Zustand 340 erreicht ist, ist die Waferrücksetzungsfunktion abgeschlossen und die Verarbeitung springt zu dem nächsten Waferbefehl in dem Zustand 324.
  • In Figur 22 ist eine Verarbeitung dargestellt, bei der ein Wafer von einer Kammer bzw. von einem Ätzgefäß zu einem Schlitz der Kassette transportiert wird. Der Prozeß wechselt aus einem Initialisierungszustand 350 in einen Zustand 352, der auf die Richtung des Transportarm-Wafertragtischs zu der gewünschten Kammer wartet, die den Wafer aufweist, der zu der Kassette zurückgeführt werden soll. Sobald die entsprechende Positionierung erreicht worden ist, erfolgt ein Übergang von dem Zustand 352 zu dem Zustand 354, der eine Anforderung an die Befehlsliste sendet, wobei ein Befehl zur Entfernung des Wafers aus der Kammer erfolgen soll. Wenn dieser Befehl in dem Zustand 354 empfangen worden ist, führt der Übergang in den Zustand 356 den Maschinenbefehl aus, die Ventile zu öffnen und den Transportarm so zu bewegen, daß der Wafer in der Kammer aufgenommen und aus der Kammer bzw. aus dem Gefäß genommen wird, und wobei zusätzlich dazu aus der Wafer-Befehlsliste Befehle angefordert werden, um den Wafer zu der Kassette zu bewegen. Wenn diese Befehle ausgeführt werden und wenn der Arm so positioniert ist, daß er der Kassette einen Wafer zuführt, wechselt das System in den Zustand 358 und sendet eine Anforderung an die Wafer-Verarbeitungsliste, um Befehle anzufordern, um den Wafer in der Kassette und in dem gekennzeichneten Schlitz zu positionieren. Wenn diese Informationen empfangen werden, wird durch den Übergang in den Zustand 360 die Wafereinführung in den Kassettenschlitz ausgeführt sowie ein Rücksprung der Verarbeitung zu dem Algorithmus aus Figur 20.
  • Figur 23 veranschaulicht den Algorithmus für die Übertragung eines Wafers aus der Kassette zu einer entsprechenden Kammer, und zwar gemäß den Befehlen in der Wafer-Befehlsliste. Der Prozeß springt von einem Initialisierungszustand 370 zu einem Zustand 372, wobei von der Befehlsliste Befehle angefordert werden, um den Arm an der Kassette zu positionieren, und wobei diese Armmanipulation ausgeführt wird. Wenn der Arm in dem Zustand 372 entsprechend positioniert wird, wechselt das System in den Zustand 374, in dem die notwendigen Befehle angefordert werden, um einen Wafer aus der Kassette zu entnehmen. Der Zustand 374 weist zwei mögliche Ergebnisse auf, wobei der Zweig 376 das erste Ergebnis darstellt, wobei in dem Schlitz, für den das System den Befehl zur Entnahme des Wafers durch den Transportarm erteilt hat, kein Wafer vorgefunden wird. In diesem Fall wechselt das System in den Erledigungszustand 378, wodurch angezeigt wird, daß der Algorithmus aus Figur 23 so weit wie möglich fortgeschritten ist, wenn auch in einem Abruchzustand. Bei dem anderen möglichen Ergebnis des Zustands 374 wird der Wafer vorgefunden, wobei das System von dem Zustand 374 zu dem Zustand 380 springt, in dem von der Wafer- Verarbeitungsliste Befehle empfangen werden, die eine Positionierung des Transportarm-Tragtischs an der Bestimmungskammer bzw. an dem Bestimmungsgefäß verlangen. Wenn die Bestimmungskammer in dem Schritt 380 erreicht wird, wechselt das System zu dem Zustand 382, in dem das System Befehle von der Befehlsliste anfordert und empfängt (wenn die Kammer bereit ist), diesen Wafer in die Kammer einzuführen. Bei dem Wechsel von dem Zustand 382 zu dem Vollendungszustand 378 werden die Mechanismen des Arms und der Kammerventile aktiviert, um den Wafer in der Kammer zu installieren.
  • In Figur 24 ist die Verarbeitung des Systems zur Erzielung des Waferätzens in einer Kammer dargestellt, der von dem System ein Wafer aus der Kassette an der Warteschlangenstation zugewiesen worden ist.
  • Die Verarbeitung aus Figur 24 wird durch einen Startbefehl eingeleitet, der von der Befehlsliste erhalten wird, wodurch ein Zustand 390 eingeleitet wird. Der Zustand 390 führt durch einen Fehlererkennungszustand 392 zu einem Startbefehl- Warteschritt 394, wenn festgestellt wird, daß der Startbefehl eine falsche Kennzeichnung aufweist. Ansonsten springt die Prozedur von einem Zustand 390 zu einem Zustand 392, in dem das Ventil zu der Kammer verschlossen und der Elektrodenabstand auf den Ätzzustand eingestellt werden. In dem folgenden Schritt 394 wird auf Bestätigungssignale von Mikroschaltern gewartet, die einen entsprechenden Torverschluß und eine entsprechende Elektrodenpositionierung anzeigen. Danach wird in dem Schritt 396 der Fluß eines Gases für die gewünschte Verarbeitung eingeleitet, wobei die Auswahl aus der Wafer-Befehlsliste erfolgt, und wobei darauf gewartet wird, daß auf der Basis der Zeit und anderen Faktoren ein Dauergaszustand eintritt. Danach wird durch einen Übergang zu dem Zustand 398 eine Hochfrequenz- Plasrnaerzeugung zwischen den in dem Gas zum Plasmaätzen verwendeten Elektroden aktiviert, und wobei die Waferverarbeitung durch Gasdampfätzen solange andauert, bis ein Parameter anzeigt, daß die Verarbeitung vollständig beendet ist. Dieser Parameter kann eine Funktion der Zeit darstellen, durch die Ätztiefe erfaßt werden, oder durch andere Faktoren bestimmt sein. Sobald angezeigt worden ist, daß die Waferverarbeitung beendet ist, sorgt der Wechsel von dem Zustand 398 zu dem Zustand 400 für eine Deaktivierung der Hochfrequenz, und in dem Zustand 400 wird die Gasumgebung in der Kammer evakuiert, so daß die Elektroden in dem folgenden Schritt 402 für eine Waferentfernung neu beabstandet werden können, und wobei die Tor- bzw. Kammertüren zu der Umgebung des Transportarms geöffnet werden können, ohne daß befürchtet werden muß, daß Reaktorgas in die Umgebung austritt. Der Zustand 402 wechselt zu dem Zustand 404, wobei das System durch Mikroschalteraktivierung auf eine Bestätigung des entsprechenden Elektrodenabstands wartet sowie auf eine Öffnung und einen Wechsel zu dem Zustand 394, wobei die Systemverarbeitung zu der flexiblen Fertigungssteuerung aus Figur 20 zurückkehrt.

Claims (11)

1. Simultanverarbeitungs- und kontaminationsfreies Wafer- Plasmaätzsystem (10), mit: einer Mehrzahl von Einzelwafer- Plasmaätzgefäßen (12), die jeweils eine Einlaß- und Auslaßöffnung aufweisen, wobei die Gefäße um einen vorbestimmten räumlich-geometrischen Ort (16) so angeordnet sind, daß die verschiedenen Öffnungen der Gefäße von einem einzelnen Ort zugänglich sind, der von den verschiedenen Öffnungen beabstandet ist; einer Wafer-Warteschlangenstation (14), die beabstandet gemeinsam mit der Mehrzahl von Gefäßen entlang dem gleichen vorbestimmten räumlich-geometrischen Ort angeordnet ist, wobei die Station eine Mehrzahl von Wafern aufweisen kann, wobei eine Wafer-Zugriffsöffnung definiert ist, die von dem genannten einzelnen Ort zugänglich ist; einer Mehrzahl von Ventilen (20), die einzeln mit den entsprechenden Einlaß- und Auslaßöffnungen der Mehrzahl von Einzelwafergefäßen und mit der Zugriffsöffnung der Wafer-Warteschlangenstation gekoppelt sind; einem Einzelwafer-Transfermechanismus (80), der sich an dem genannten einzelnen Ort befindet und der mit den entsprechenden Ventilen der Mehrzahl von Ventilen zusammenwirkt, um Wafer von und zu der Wafer-Zugriffsöffnung der Wartschlangenstation und durch zugeordnete Einlaß- und Auslaßöffnungen der Einzelwafergefäße von und zu ausgewählten Einzelwafergefäßen zu transportieren; einem Prozessor (22) zur Steuerung der Gefäße, des Transferrnechanisrnuses und der Ventile, so daß eine Verarbeitung der Mehrzahl von Wafern in der Warteschlangenstation in einem oder mehreren Gefäßen vorgesehen wird, ohne daß die Operation des Systems durch einen Zugriff auf einen Verarbeitungsbefehl für einen gegebenen Wafer, der der gewünschten Verarbeitung des Wafers entspricht, unterbrochen wird; und mit einem Timer zur zeitlichen Steuerung der Verarbeitungsschritte und zur Erzeugung eines Signals, das von dem Prozessor bearbeitet wird, um die Funktionsweise des Systems gemäß den in dem Prozessor gespeicherten Instruktionen zu regeln.
2. System nach Anspruch 1, dadurch gekennzeichnet, daß es sich bei dem vorbestimmten räumlich-geometrischen Ort um einen geschlossenen geometrischen Ort handelt, und wobei der genannte einzelne Ort mit der geometrischen Mitte des genannten geschlossenen geometrischen Orts zusammenfällt.
3. System nach Anspruch 1, dadurch gekennzeichnet, daß jedes der Gefäße Wände (166) aufweist, die eine Plasmakammer begrenzen, und wobei die Gefäße folgendes umfassen: erste (170) und zweite (186) Elektroden, eine Vorrichtung (190) zur Bewegung der ersten und zweiten Elektroden relativ zueinander, um die Zwischenabstände zwischen den Elektroden zu regeln, und eine Vorrichtung (180) zum Kühlen bzw. Erwärmen der ersten und zweiten Elektroden.
4. System nach Anspruch 3, dadurch gekennzeichnet, daß der Wafer-Transfermechanismus einen Wafer-Aufnahmearm (80) umfaßt, wobei an dem Arm eine Einrichtung (102) angebracht ist, um einzelne Wafer durch Berührung deren Peripherie aufzunehmen, so daß dabei kein Kontakt mit der Vorderfläche des Wafers auftritt.
5. System nach Anspruch 2, dadurch gekennzeichnet, daß der Wafer-Transfermechanismus einen beweglichen Wafer-Aufnahmearm umfaßt, der eine sich an dem Arm befindende Einrichtung zur Aufnahme einzelner Wafer durch Berührung deren Peripherie umfaßt, so daß dabei kein Kontakt mit der Vorderfläche der Wafer auftritt.
6. System nach Anspruch 5, dadurch gekennzeichnet, daß die Wafer-Aufnahmearmeinrichtung eine Basiselementplattform (100) und eine Einrichtung (82) umfaßt, die dazu dient, die Basiselementplattform um eine Achse zu drehen; und ferner mit einem Flügel (102) und einer Einrichtung (128) zur Anbringung des Flügels, so daß dieser relativ zu der Basiselementplattform gleitend beweglich ist.
7. System nach Anspruch 6, dadurch gekennzeichnet, daß der Flügel ein erstes, den Wafer stützendes Teilstück (106), ein zweites, mit der Waferkante eingreifendes Teilstück (110) und eine Einrichtung (114) umfaßt, die dazu dient, das zweite Teilstück so anzubringen, daß dieses relativ zu dem ersten Teilstück gleitend beweglich ist.
8. System nach Anspruch 7, dadurch gekennzeichnet, daß das erste Teilstück des Flügels an einer Kante des Flügels einen aufrechten Widerlager (108) aufweist, und wobei das mit der Waferkante eingreifende zweite Teilstück ein Gleitstück (114) mit einem Puffer (112) aufweist, der mit dem aufrechten Widerlager des ersten Teilstücks so zusammenwirkt, daß ein Eingriff mit der Peripherie eines sich dazwischen befindenden Wafers vorgesehen wird.
9. System nach Anspruch 3, dadurch gekennzeichnet, daß jedes der Gefäße eine Niederfrequenz-Plasmaätzerzeugungsquelle umfaßt.
10. System nach Anspruch 3, dadurch gekennzeichnet, daß jedes der Gefäße eine Hochfrequenz-Plasmaätzerzeugungsquelle umfaßt.
11. System nach Anspruch 3, dadurch gekennzeichnet, daß jedes der Gefäße eine Mikrowellenfrequenz-Plasmaätzerzeugungsquelle umfaßt.
DE3751738T 1986-10-24 1987-10-22 Mehrkammer-Plasmaätzsystem Revoked DE3751738T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/923,125 US4715921A (en) 1986-10-24 1986-10-24 Quad processor

Publications (2)

Publication Number Publication Date
DE3751738D1 DE3751738D1 (de) 1996-04-18
DE3751738T2 true DE3751738T2 (de) 1996-11-07

Family

ID=25448159

Family Applications (1)

Application Number Title Priority Date Filing Date
DE3751738T Revoked DE3751738T2 (de) 1986-10-24 1987-10-22 Mehrkammer-Plasmaätzsystem

Country Status (6)

Country Link
US (1) US4715921A (de)
EP (2) EP0680074A3 (de)
JP (1) JPS63133532A (de)
KR (1) KR880005675A (de)
CA (1) CA1283174C (de)
DE (1) DE3751738T2 (de)

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5102495A (en) * 1986-04-18 1992-04-07 General Signal Corporation Method providing multiple-processing of substrates
US6214119B1 (en) * 1986-04-18 2001-04-10 Applied Materials, Inc. Vacuum substrate processing system having multiple processing chambers and a central load/unload chamber
EP0246453A3 (de) * 1986-04-18 1989-09-06 General Signal Corporation Kontaminierungsfreie Plasma-Ätzvorrichtung mit mehreren Behandlungsstellen
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
ATE102397T1 (de) * 1986-12-19 1994-03-15 Applied Materials Inc Integriertes bearbeitungssystem mit vielfachkammer.
JPS63204726A (ja) * 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4906328A (en) * 1987-07-16 1990-03-06 Texas Instruments Incorporated Method for wafer treating
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US5906688A (en) * 1989-01-11 1999-05-25 Ohmi; Tadahiro Method of forming a passivation film
US5591267A (en) * 1988-01-11 1997-01-07 Ohmi; Tadahiro Reduced pressure device
DE58909880D1 (de) * 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US5019233A (en) * 1988-10-31 1991-05-28 Eaton Corporation Sputtering system
US5683072A (en) * 1988-11-01 1997-11-04 Tadahiro Ohmi Thin film forming equipment
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0416646B1 (de) * 1989-09-08 2000-02-09 Tokyo Electron Limited Vorrichtung und Verfahren zum Behandeln von Substraten
DE69120446T2 (de) * 1990-02-19 1996-11-14 Canon Kk Verfahren zum Herstellen von abgeschiedener Metallschicht, die Aluminium als Hauptkomponente enthält, mit Anwendung von Alkylaluminiumhydrid
US5100502A (en) * 1990-03-19 1992-03-31 Applied Materials, Inc. Semiconductor wafer transfer in processing systems
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
JP2528026B2 (ja) * 1990-07-04 1996-08-28 三菱電機株式会社 配線パタ―ンの形成方法およびその装置
US5213996A (en) * 1990-07-04 1993-05-25 Mitsubishi Denki Kabushiki Kaisha Method and apparatus for forming interconnection pattern and semiconductor device having such interconnection pattern
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH0766919B2 (ja) * 1991-02-20 1995-07-19 株式会社半導体プロセス研究所 半導体製造装置
US5171393A (en) * 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
JP3030160B2 (ja) * 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0828333B2 (ja) * 1992-11-30 1996-03-21 株式会社半導体プロセス研究所 半導体装置の製造装置
JPH0828334B2 (ja) * 1992-11-30 1996-03-21 株式会社半導体プロセス研究所 半導体装置の製造装置及びその使用方法
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US6245189B1 (en) * 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
GB9425349D0 (en) * 1994-12-15 1995-02-15 Oxford Plasma Technology Ltd Substrate loading apparatus
US6193506B1 (en) 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5607276A (en) * 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5588789A (en) * 1995-07-06 1996-12-31 Brooks Automation Load arm for load lock
US6672819B1 (en) 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5746565A (en) * 1996-01-22 1998-05-05 Integrated Solutions, Inc. Robotic wafer handler
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5855465A (en) * 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
US5746434A (en) * 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
US6714832B1 (en) * 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JP3393035B2 (ja) 1997-05-06 2003-04-07 東京エレクトロン株式会社 制御装置及び半導体製造装置
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5894760A (en) * 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US5911896A (en) * 1997-06-25 1999-06-15 Brooks Automation, Inc. Substrate heating apparatus with glass-ceramic panels and thin film ribbon heater element
US6054688A (en) * 1997-06-25 2000-04-25 Brooks Automation, Inc. Hybrid heater with ceramic foil serrated plate and gas assist
US6123502A (en) * 1997-07-08 2000-09-26 Brooks Automation, Inc. Substrate holder having vacuum holding and gravity holding
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6139245A (en) * 1997-07-11 2000-10-31 Brooks Automation Inc. Robot arm relocation system
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
JP3582330B2 (ja) * 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
WO1999027579A1 (en) * 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
US6072898A (en) 1998-01-16 2000-06-06 Beaty; Elwin M. Method and apparatus for three dimensional inspection of electronic components
US6067596A (en) * 1998-09-15 2000-05-23 Compaq Computer Corporation Flexible placement of GTL end points using double termination points
US6960057B1 (en) 1998-09-30 2005-11-01 Brooks Automation, Inc. Substrate transport apparatus
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6267545B1 (en) 1999-03-29 2001-07-31 Lam Research Corporation Semiconductor processing platform architecture having processing module isolation capabilities
US6095741A (en) * 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6244811B1 (en) 1999-06-29 2001-06-12 Lam Research Corporation Atmospheric wafer transfer module with nest for wafer transport robot
DE29915696U1 (de) * 1999-09-07 2001-01-18 Robert Bosch Gmbh, 70469 Stuttgart Ätzanlage zum HF-Dampfätzen
JP2001093791A (ja) 1999-09-20 2001-04-06 Hitachi Ltd 真空処理装置の運転方法及びウエハの処理方法
JP2001127044A (ja) 1999-10-29 2001-05-11 Hitachi Ltd 真空処理装置および真空処理システム
US6265803B1 (en) * 1999-11-10 2001-07-24 Brooks Automation, Inc. Unlimited rotation vacuum isolation wire feedthrough
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6390448B1 (en) 2000-03-30 2002-05-21 Lam Research Corporation Single shaft dual cradle vacuum slot valve
US6913243B1 (en) * 2000-03-30 2005-07-05 Lam Research Corporation Unitary slot valve actuator with dual valves
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
EP1217647B1 (de) * 2000-12-21 2006-03-08 Oxford Instruments Plasma Technology Limited Substrateneinschleusenvorrichtung
EP1220596A1 (de) 2000-12-29 2002-07-03 Icos Vision Systems N.V. Verfahren und Einrichtung zur Lageerfassung der Anschlusskontakte elektronischer Bauelemente
EP1237178B8 (de) * 2001-03-02 2009-03-25 Icos Vision Systems N.V. Selbsttragendes und anpassbares Messgerät
AU2002322504A1 (en) 2001-07-13 2003-01-29 Broks Automation, Inc. Trajectory planning and motion control strategies for a planar three-degree-of-freedom robotic arm
US7578649B2 (en) 2002-05-29 2009-08-25 Brooks Automation, Inc. Dual arm substrate transport apparatus
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US20060024451A1 (en) * 2004-07-30 2006-02-02 Applied Materials Inc. Enhanced magnetic shielding for plasma-based semiconductor processing tool
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20060240680A1 (en) * 2005-04-25 2006-10-26 Applied Materials, Inc. Substrate processing platform allowing processing in different ambients
US9248568B2 (en) 2005-07-11 2016-02-02 Brooks Automation, Inc. Unequal link SCARA arm
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US8272825B2 (en) 2007-05-18 2012-09-25 Brooks Automation, Inc. Load lock fast pump vent
JP2008109134A (ja) * 2007-10-17 2008-05-08 Hitachi Ltd 真空処理装置及び真空処理方法
CA2701402A1 (en) * 2007-10-24 2009-04-30 Oc Oerlikon Balzers Ag Method for manufacturing workpieces and apparatus
JP5107961B2 (ja) * 2009-04-22 2012-12-26 株式会社日立製作所 真空処理装置及び真空処理方法
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US9202733B2 (en) 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
US9401296B2 (en) 2011-11-29 2016-07-26 Persimmon Technologies Corporation Vacuum robot adapted to grip and transport a substrate and method thereof with passive bias
JP5314789B2 (ja) * 2012-06-13 2013-10-16 株式会社日立製作所 真空処理装置及び真空処理方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9970098B2 (en) * 2013-12-16 2018-05-15 United Technologies Corporation Movable evaporation source

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55141570A (en) * 1979-04-18 1980-11-05 Anelva Corp Dry etching apparatus
JPS57149748A (en) * 1981-03-12 1982-09-16 Anelva Corp Treating device for substrate
JPS59123226A (ja) * 1982-12-28 1984-07-17 Fujitsu Ltd 半導体装置の製造装置
JPS6037129A (ja) * 1983-08-10 1985-02-26 Hitachi Ltd 半導体製造装置
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4584045A (en) * 1984-02-21 1986-04-22 Plasma-Therm, Inc. Apparatus for conveying a semiconductor wafer
US4547247A (en) * 1984-03-09 1985-10-15 Tegal Corporation Plasma reactor chuck assembly
US4548699A (en) * 1984-05-17 1985-10-22 Varian Associates, Inc. Transfer plate rotation system
EP0244951B1 (de) * 1986-04-04 1994-02-02 Materials Research Corporation Verfahren und Vorrichtung zur Handhabung und Behandlung von scheibenartigen Materialien
EP0246453A3 (de) * 1986-04-18 1989-09-06 General Signal Corporation Kontaminierungsfreie Plasma-Ätzvorrichtung mit mehreren Behandlungsstellen

Also Published As

Publication number Publication date
DE3751738D1 (de) 1996-04-18
US4715921A (en) 1987-12-29
EP0264945A2 (de) 1988-04-27
CA1283174C (en) 1991-04-16
EP0680074A2 (de) 1995-11-02
KR880005675A (ko) 1988-06-30
JPS63133532A (ja) 1988-06-06
EP0680074A3 (de) 1995-11-15
EP0264945B1 (de) 1996-03-13
EP0264945A3 (en) 1989-09-13

Similar Documents

Publication Publication Date Title
DE3751738T2 (de) Mehrkammer-Plasmaätzsystem
US5013385A (en) Quad processor
US5308431A (en) System providing multiple processing of substrates
US5344542A (en) Multiple-processing and contamination-free plasma etching system
US6103055A (en) System for processing substrates
DE3873847T2 (de) Behandlungsapparat und -verfahren.
KR100312046B1 (ko) 2개이상의웨이퍼를동시에처리하기위한멀티데크식웨이퍼처리시스템및방법
DE69713065T2 (de) Ladungsschleusenvorrichtung für ein ionenimplantierungssystem
DE3854540T2 (de) Behandlungsapparat und Verfahren.
DE3650057T2 (de) System für Vakuumbehandlung.
DE69635972T2 (de) Plasma-Ätz-Verfahren
US6889627B1 (en) Symmetrical semiconductor reactor
US5102495A (en) Method providing multiple-processing of substrates
EP0019370A1 (de) Plasma-Reaktor und Verfahren zum Plasma-Ätzen eines Werkstücks in einem solchen Reaktor
DE69421872T2 (de) Plasmaerzeugungsverfahren und -gerät und Plasmabearbeitungsverfahren und -gerät
DE69706983T2 (de) Anlage zum behandeln von substraten mit einem plasmastrahl
US6429139B1 (en) Serial wafer handling mechanism
US6347919B1 (en) Wafer processing chamber having separable upper and lower halves
DE10255688A1 (de) Verfahren und Vorrichtung zum Durchführen von sequentiellen Verfahren, die verschiedene Zeitdauern erfordern, bei der Herstellung von Halbleitervorrichtung
DE69118228T2 (de) Klemmechanismus für physikalische Dampfniederschlagvorrichtung
KR20120104222A (ko) 소형 플라즈마 챔버 시스템들 및 방법들
US6776846B2 (en) Integrated processing system having multiple reactors connected to a central chamber
US6224680B1 (en) Wafer transfer system
DE69033452T2 (de) Vorrichtung und Verfahren zum Behandeln von Substraten
DE4403553C2 (de) Elektronen-Zyklotron-Resonanz-Apparatur

Legal Events

Date Code Title Description
8363 Opposition against the patent
8331 Complete revocation