DE3751738T2 - Mehrkammer-Plasmaätzsystem - Google Patents

Mehrkammer-Plasmaätzsystem

Info

Publication number
DE3751738T2
DE3751738T2 DE3751738T DE3751738T DE3751738T2 DE 3751738 T2 DE3751738 T2 DE 3751738T2 DE 3751738 T DE3751738 T DE 3751738T DE 3751738 T DE3751738 T DE 3751738T DE 3751738 T2 DE3751738 T2 DE 3751738T2
Authority
DE
Germany
Prior art keywords
plasma etching
etching system
chamber plasma
chamber
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Revoked
Application number
DE3751738T
Other languages
English (en)
Other versions
DE3751738D1 (de
Inventor
Joseph A Maher
E John Vowles
Joseph D Napoli
Arthur W Zafiropoulo
Mark W Miller
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SPX Corp
Original Assignee
General Signal Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25448159&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE3751738(T2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by General Signal Corp filed Critical General Signal Corp
Application granted granted Critical
Publication of DE3751738D1 publication Critical patent/DE3751738D1/de
Publication of DE3751738T2 publication Critical patent/DE3751738T2/de
Anticipated expiration legal-status Critical
Revoked legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
DE3751738T 1986-10-24 1987-10-22 Mehrkammer-Plasmaätzsystem Revoked DE3751738T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/923,125 US4715921A (en) 1986-10-24 1986-10-24 Quad processor

Publications (2)

Publication Number Publication Date
DE3751738D1 DE3751738D1 (de) 1996-04-18
DE3751738T2 true DE3751738T2 (de) 1996-11-07

Family

ID=25448159

Family Applications (1)

Application Number Title Priority Date Filing Date
DE3751738T Revoked DE3751738T2 (de) 1986-10-24 1987-10-22 Mehrkammer-Plasmaätzsystem

Country Status (6)

Country Link
US (1) US4715921A (de)
EP (2) EP0264945B1 (de)
JP (1) JPS63133532A (de)
KR (1) KR880005675A (de)
CA (1) CA1283174C (de)
DE (1) DE3751738T2 (de)

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
US5102495A (en) * 1986-04-18 1992-04-07 General Signal Corporation Method providing multiple-processing of substrates
US6214119B1 (en) 1986-04-18 2001-04-10 Applied Materials, Inc. Vacuum substrate processing system having multiple processing chambers and a central load/unload chamber
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
CA1331163C (en) * 1986-04-18 1994-08-02 Applied Materials, Inc. Multiple-processing and contamination-free plasma etching system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
EP0272141B1 (de) * 1986-12-19 1994-03-02 Applied Materials, Inc. Integriertes Bearbeitungssystem mit Vielfachkammer
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
JPS63204726A (ja) * 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4906328A (en) * 1987-07-16 1990-03-06 Texas Instruments Incorporated Method for wafer treating
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US5591267A (en) * 1988-01-11 1997-01-07 Ohmi; Tadahiro Reduced pressure device
US5906688A (en) * 1989-01-11 1999-05-25 Ohmi; Tadahiro Method of forming a passivation film
ATE208961T1 (de) * 1988-05-24 2001-11-15 Unaxis Balzers Ag Vakuumanlage
US5019233A (en) * 1988-10-31 1991-05-28 Eaton Corporation Sputtering system
US5683072A (en) * 1988-11-01 1997-11-04 Tadahiro Ohmi Thin film forming equipment
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0416646B1 (de) * 1989-09-08 2000-02-09 Tokyo Electron Limited Vorrichtung und Verfahren zum Behandeln von Substraten
DE69120446T2 (de) * 1990-02-19 1996-11-14 Canon Kk Verfahren zum Herstellen von abgeschiedener Metallschicht, die Aluminium als Hauptkomponente enthält, mit Anwendung von Alkylaluminiumhydrid
US5100502A (en) * 1990-03-19 1992-03-31 Applied Materials, Inc. Semiconductor wafer transfer in processing systems
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
JP2528026B2 (ja) * 1990-07-04 1996-08-28 三菱電機株式会社 配線パタ―ンの形成方法およびその装置
US5213996A (en) * 1990-07-04 1993-05-25 Mitsubishi Denki Kabushiki Kaisha Method and apparatus for forming interconnection pattern and semiconductor device having such interconnection pattern
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH0766919B2 (ja) * 1991-02-20 1995-07-19 株式会社半導体プロセス研究所 半導体製造装置
US5171393A (en) * 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
JP3030160B2 (ja) * 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0828333B2 (ja) * 1992-11-30 1996-03-21 株式会社半導体プロセス研究所 半導体装置の製造装置
JPH0828334B2 (ja) * 1992-11-30 1996-03-21 株式会社半導体プロセス研究所 半導体装置の製造装置及びその使用方法
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US6245189B1 (en) * 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
GB9425349D0 (en) * 1994-12-15 1995-02-15 Oxford Plasma Technology Ltd Substrate loading apparatus
US6193506B1 (en) 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5607276A (en) * 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5588789A (en) * 1995-07-06 1996-12-31 Brooks Automation Load arm for load lock
US6672819B1 (en) 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5746565A (en) * 1996-01-22 1998-05-05 Integrated Solutions, Inc. Robotic wafer handler
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5855465A (en) * 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
US5746434A (en) * 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
US6714832B1 (en) * 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JP3393035B2 (ja) 1997-05-06 2003-04-07 東京エレクトロン株式会社 制御装置及び半導体製造装置
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5894760A (en) * 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US5911896A (en) * 1997-06-25 1999-06-15 Brooks Automation, Inc. Substrate heating apparatus with glass-ceramic panels and thin film ribbon heater element
US6054688A (en) * 1997-06-25 2000-04-25 Brooks Automation, Inc. Hybrid heater with ceramic foil serrated plate and gas assist
US6123502A (en) * 1997-07-08 2000-09-26 Brooks Automation, Inc. Substrate holder having vacuum holding and gravity holding
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6139245A (en) * 1997-07-11 2000-10-31 Brooks Automation Inc. Robot arm relocation system
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
JP3582330B2 (ja) * 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
JP4947834B2 (ja) * 1997-11-26 2012-06-06 アプライド マテリアルズ インコーポレイテッド ダメージフリー被覆刻設堆積法
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6072898A (en) 1998-01-16 2000-06-06 Beaty; Elwin M. Method and apparatus for three dimensional inspection of electronic components
US6067596A (en) * 1998-09-15 2000-05-23 Compaq Computer Corporation Flexible placement of GTL end points using double termination points
US6960057B1 (en) 1998-09-30 2005-11-01 Brooks Automation, Inc. Substrate transport apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6095741A (en) * 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
US6267545B1 (en) 1999-03-29 2001-07-31 Lam Research Corporation Semiconductor processing platform architecture having processing module isolation capabilities
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6244811B1 (en) 1999-06-29 2001-06-12 Lam Research Corporation Atmospheric wafer transfer module with nest for wafer transport robot
DE29915696U1 (de) * 1999-09-07 2001-01-18 Bosch Gmbh Robert Ätzanlage zum HF-Dampfätzen
JP2001093791A (ja) 1999-09-20 2001-04-06 Hitachi Ltd 真空処理装置の運転方法及びウエハの処理方法
JP2001127044A (ja) 1999-10-29 2001-05-11 Hitachi Ltd 真空処理装置および真空処理システム
US6265803B1 (en) * 1999-11-10 2001-07-24 Brooks Automation, Inc. Unlimited rotation vacuum isolation wire feedthrough
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6913243B1 (en) * 2000-03-30 2005-07-05 Lam Research Corporation Unitary slot valve actuator with dual valves
US6390448B1 (en) 2000-03-30 2002-05-21 Lam Research Corporation Single shaft dual cradle vacuum slot valve
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
EP1217647B1 (de) * 2000-12-21 2006-03-08 Oxford Instruments Plasma Technology Limited Substrateneinschleusenvorrichtung
EP1220596A1 (de) 2000-12-29 2002-07-03 Icos Vision Systems N.V. Verfahren und Einrichtung zur Lageerfassung der Anschlusskontakte elektronischer Bauelemente
DE60137306D1 (de) * 2001-03-02 2009-02-26 Icos Vision Systems Nv Selbsttragendes und anpassbares Messgerät
US6643563B2 (en) 2001-07-13 2003-11-04 Brooks Automation, Inc. Trajectory planning and motion control strategies for a planar three-degree-of-freedom robotic arm
US7578649B2 (en) 2002-05-29 2009-08-25 Brooks Automation, Inc. Dual arm substrate transport apparatus
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US20060024451A1 (en) * 2004-07-30 2006-02-02 Applied Materials Inc. Enhanced magnetic shielding for plasma-based semiconductor processing tool
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20060240680A1 (en) * 2005-04-25 2006-10-26 Applied Materials, Inc. Substrate processing platform allowing processing in different ambients
US9248568B2 (en) * 2005-07-11 2016-02-02 Brooks Automation, Inc. Unequal link SCARA arm
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
KR101522324B1 (ko) 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
JP2008109134A (ja) * 2007-10-17 2008-05-08 Hitachi Ltd 真空処理装置及び真空処理方法
AU2008316467A1 (en) * 2007-10-24 2009-04-30 Oc Oerlikon Balzers Ag Method for manufacturing workpieces and apparatus
JP5107961B2 (ja) * 2009-04-22 2012-12-26 株式会社日立製作所 真空処理装置及び真空処理方法
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US9202733B2 (en) 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
US9401296B2 (en) 2011-11-29 2016-07-26 Persimmon Technologies Corporation Vacuum robot adapted to grip and transport a substrate and method thereof with passive bias
JP5314789B2 (ja) * 2012-06-13 2013-10-16 株式会社日立製作所 真空処理装置及び真空処理方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9970098B2 (en) * 2013-12-16 2018-05-15 United Technologies Corporation Movable evaporation source

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55141570A (en) * 1979-04-18 1980-11-05 Anelva Corp Dry etching apparatus
JPS57149748A (en) * 1981-03-12 1982-09-16 Anelva Corp Treating device for substrate
JPS59123226A (ja) * 1982-12-28 1984-07-17 Fujitsu Ltd 半導体装置の製造装置
JPS6037129A (ja) * 1983-08-10 1985-02-26 Hitachi Ltd 半導体製造装置
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4584045A (en) * 1984-02-21 1986-04-22 Plasma-Therm, Inc. Apparatus for conveying a semiconductor wafer
US4547247A (en) * 1984-03-09 1985-10-15 Tegal Corporation Plasma reactor chuck assembly
US4548699A (en) * 1984-05-17 1985-10-22 Varian Associates, Inc. Transfer plate rotation system
CA1287594C (en) * 1986-04-04 1991-08-13 Miroslav Eror Method and apparatus for handling and processing wafer like materials
CA1331163C (en) * 1986-04-18 1994-08-02 Applied Materials, Inc. Multiple-processing and contamination-free plasma etching system

Also Published As

Publication number Publication date
EP0264945A3 (en) 1989-09-13
EP0680074A3 (de) 1995-11-15
CA1283174C (en) 1991-04-16
EP0264945B1 (de) 1996-03-13
US4715921A (en) 1987-12-29
DE3751738D1 (de) 1996-04-18
KR880005675A (ko) 1988-06-30
EP0680074A2 (de) 1995-11-02
JPS63133532A (ja) 1988-06-06
EP0264945A2 (de) 1988-04-27

Similar Documents

Publication Publication Date Title
DE3751738T2 (de) Mehrkammer-Plasmaätzsystem
DE3774098D1 (de) Plasmageraet.
DE3581295D1 (de) Plasma-aetzverfahren.
DK185688D0 (da) Vakuumkloakanlaeg
DE3752370D1 (de) Vermittlungssystem
DE3750115D1 (de) Plasmabearbeitungsgerät.
DE3679854D1 (de) Plasmaaetzreaktor.
DE3675005D1 (de) Bespuelungsanlage.
IT8683667A0 (it) Impianto antifurto
DE3750007D1 (de) Vakuum-Vorrichtungen.
DE69028650T2 (de) Plasma-Ätzmethode
DE3781587D1 (de) Plasmaeinschlusssystem.
DE69322058T2 (de) Plasma-Ätzverfahren
KR880700775A (ko) 유리의 제조법
FR2608412B3 (fr) Aspirateur
KR880700774A (ko) 유리의 제조법
DE3879527T2 (de) Plasma-Ätzen.
DE3855896D1 (de) Plasmavorrichtung
DE3685857D1 (de) Plasmabildschirmanzeigesysteme.
DE3854792D1 (de) Plasmareaktor
DK86087D0 (da) Detergentsystemer
DK206488D0 (da) Fluorescenslampe-belysningssystem
AT388862B (de) Duschanlage
GB8618547D0 (en) Plasma etching
ATA18886A (de) Bauelementsystem

Legal Events

Date Code Title Description
8363 Opposition against the patent
8331 Complete revocation