JPS63133532A - 四重処理用プロセッサ - Google Patents

四重処理用プロセッサ

Info

Publication number
JPS63133532A
JPS63133532A JP62268021A JP26802187A JPS63133532A JP S63133532 A JPS63133532 A JP S63133532A JP 62268021 A JP62268021 A JP 62268021A JP 26802187 A JP26802187 A JP 26802187A JP S63133532 A JPS63133532 A JP S63133532A
Authority
JP
Japan
Prior art keywords
wafer
plasma
state
cassette
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP62268021A
Other languages
English (en)
Inventor
ジョセフ エイ マーア
イー ジョン ヴォールズ
ジョセフ ディー ナポリ
アーサー ダブリュー ザフィロポーロ
マーク ダブリュー ミラー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SPX Technologies Inc
Original Assignee
General Signal Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25448159&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPS63133532(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by General Signal Corp filed Critical General Signal Corp
Publication of JPS63133532A publication Critical patent/JPS63133532A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Robotics (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 産1上皇!公団 本発明は半導体処理の分野に関し、特に新規な多処理用
非汚染型プラズマエツチングシステムに関する。
1皿■宜員 プラズマエツチング装置は、集積回路製造プロセスの1
つ又は2つ以上の工程中に使用され、単一ウェーハ又は
複数ウェーハ形態で通常用いられる。単一ウェーハ形態
では良好なプロセス制御をすることができるが、システ
ムの処理能力が制限される。処理量制限を緩和する努力
は、一般的に成功しなかった。これらの高温エツチング
プロセスに対して、ウェーハ下面をヘリウム流で冷却す
ること及びプラズマの中へヘリウムを混合することを含
む様々な冷却手段が使用されたにもかかわらず、レジス
ト発砲の好ましくな゛い現象のためにシステム使用が制
限される。多ウェーハ形態ではシステム処理量が比較的
増量されるが、一般的にあまり望ましくないプロセス制
御及び品質制御を受ける。多ウェーハの各々に対する終
点決定を利用できなくてまた正確に決定することができ
ないばかりでなく、様々な電極間隙及びこれに対応して
様々なガスの化学的性質に対する電極位置を正確に設定
し維持するのがしばしば困難である。単一ウェーハ及び
多ウェーハ形態は共に、2つ以上の工程プロセスにより
中間取り扱い工程で望ましくない環境にウェーハをさら
し、これがウェーハ汚染の可能性を実質的に増し更に処
理量を制限するという欠点をさらに受ける。
主凱葛量! 本発明は、各々が単一ウェーハのプロセス制御を良好に
行うように別々に作動し、システム処理量が複数のプラ
ズマ反応器の数のみによって制限されるように全体とし
て作動し、ウェーハを望ましくない外気にも人間の取り
扱いにもさらすことがないように、単一工程ウェーハ処
理及び多工程ウェーハ処理の双方を提供するように、共
通つニー八移送手段及び整列手段と協働する、複数の単
一ウェーハプラズマ反応器を提供する。
実施例では、複数のプラズマ反応器及びカセットエレベ
ータがX、TT可動ウェーハアーム装置のまわりに対称
的に配列されている。複数のプラズマ反応器、カセット
エレベータ及びX、TT可動ウェーハアームは制御した
真空状態に維持され、中央のS、TT可動ウェーハアー
ムは複数の真空錠の弁のうちの対応した1つの弁を介し
て周縁を包囲するプラズマ反応器及びカセットエレベー
タと半径方向に連通している。R、TT可動ウェーハア
ーム装置のアームは、各ウェーハを支持するための有孔
プラットホームと、ウェーハの前面を接触させることな
く支持したウェーハの裏面及び周縁に取外し可能に係合
する協働バンパとを備えている。プラットホームに取り
付けられた複数のウェーハ接触応答センサが、ウェーハ
が適当な設置位置にあるか否かを示す信号を発生するよ
うに作動する。複数のプラズマ反応器の各々は、定置下
部電極と可動上部電極とを備え、これらの電極は協働し
て選定可能な寸法のウェーハカソードアノード間に可変
のウェーハカソードアノード間隙を作る。ある実施例で
は、マイクロメータ調節ストップを備えた支持装置が可
動電極を選択的に位置決めするために設けられ、別の実
施例では、マイクロメータストップと空気圧アクチュエ
ータの組合せが可動電極を選択的に位置決めするために
設けられている。垂直方向に移動できるペデスタルが複
数のプラズマ反応器の各々の定置下部電極の中心に滑動
自在に取り付けられ、各プラズマ反応器はRSTT可動
ウェーハアーム装置の有孔プラットホームと協働してウ
ェーハを下部電極の取り付は又は電極から取り外す。反
応ガス注入システム、高周波電力源、終点決定手段は、
複数のプラズマ反応器の各々に作動的に連結されている
複数のプラズマ反応器゛は再実施例のおいて作動可能で
同−又は異なるプロセスを実施し、R、TT可動ウェー
ハアーム装置と協働して、複数のプラズマ反応器で同時
に同一単一工程処理、複数のプラズマ反応器で同時に異
なる単一工程処理、及び複数のプラズマ反応器で連続す
る複数工程処理のうちの1つを提供する。RXTT可動
ウェーハアーム装置の2つの実施例を開示する。
次の単に例示的な限定的でない実施例の詳細な説明及び
添付図面を参照することによって、本発明の他の特徴及
び利点は明らかになろう。
341匹 第1図を参照すると、本発明による多処理用非汚染型プ
ラズマエツチングシステムを示す概念図が参照番号10
で全体的に示されている。システム10は、全体的に1
2で示した後述する複数の単一ウェーハプラズマ反応器
と、全体的に14で示した後述するウェーハ整列ステー
ションとを備え、これらは点線16によって図示したよ
うな閉鎖領域のまわりに配列されている。全体的に18
で示した後述する入れ出しモジュールが、複数のプラズ
マ反応器12及び整列ステーション14の内部に同軸で
配置され、処理すべきウェーハを一つずつ移送させた後
、整列ステーション 14と1つ以上のプラズマ反応器
12との間でこれを処理する。全体的に20で示した後
述する複数の真空錠20が、複数のプラズマ反応器12
と入れ出しモジュール18との界面及び整列ステーショ
ン14と入れ出しモジュール18との界面にそれぞれ設
けられている。プロセッサ 22が、複数のプラズマ反
応器12、整列ステーション14及び入れ出しモジュー
ル18に作動的に連結され、周知の方法で高周波プラズ
マ誘発基を活性化及び非活性化し、複数のプラズマ反応
器に連結した終点決定手段の信号出力を周知の方法で制
御及び処理し、複数のプラズマ反応器と整列ステーショ
ンとの間のウェーハ移送を始動させ統制的に行う。
後述する反応ガス注入システム24が複数のプラズマ反
応器12に作動的に連結され、所定の反応体及び他の処
理用ガスを複数のプラズマ反応器の中へ別々に制御注入
する。真空システム26が反応器12、整列ステーショ
ン14及び入れ出しモジュール18に作動的に連結され
、作動中装置全体を制御真空状態に維持する。プロセッ
サ22は、反応ガス注入システム24及び真空システム
26に作動的に連結されている。
複数の反応器12、整列ステーション14及び同軸の入
れ出しモジュール18は、比較的小型のプラズマエツチ
ングシステムを提供す・るように利用空間を維持してい
る。入れ出しモジュール18及び真空錠20のうち協働
する真空錠は、整列ステーション14と選定した反応器
12との間でつ工−ハを単一工程処理モードで別々に移
動させ、また選定した反応器12の間でウェーハを複数
工程処理モードで移送させるように作動し、操作者のよ
る中間処理を必要とせず、またウェーハ汚染が残留した
り環境的に引き起こることがない。その他の利点の中で
も、本発明のプラズマエツチングシステムは良好な処理
制御及び高い処理量の双方を特徴としているが、従来実
用的な実施例でこの両特徴が相互に共存することはでき
なかった。
第2図を参照すると、本発明による多処理用非汚染型プ
ラズマエツチングシステムを示す一部破断部分平面図が
参照番号30で全体的に示されている。整列ステーショ
ン14は、垂直方向に間隔をへだでて積み重ねた複数の
ウェーハ32を有するカセット(図示せず)を備えてい
るのが好ましい。カセットは、34で概略的に示した割
出しエレベータ装置によって垂直方向にステップ動作を
するように取り付けられているのが好ましく、割出しエ
レベータ装置はプロセッサ22(第1図)の制御によっ
て作動し、垂直方向に間隔をへだてたウェーハの間隔に
対応した垂直増分だけカセットをステップ移動させて、
関連したカセットをスロット位置に位置決めする。この
ようにして、カセット内の個々のウェーハは処理のため
に取り出す位置に位置決めされ、処理後対応したスロッ
ト位置に戻す位置に位置決めされることがよ(わかる、
カセット及び割出しエレベータ装置は現在のところ好ま
しいけれども、発明的思想から逸脱することな(他の適
当なウェーハ整列ステーションを使用することができる
ことに注目すべきである。
第2図、第3A図及び第3B図を参照すると、整列ステ
ーシゴン14と入れ出しモジュール18とに介在し、複
数の反応器12と入れ出しモジュール18とに介在する
真空錠2(17)は、全体的に40で示したハウジング
本体をそれぞれ備えている。ハウジング40は、対向し
た土壁、底壁及びこれらと直交する側壁44を有するプ
レート42を備え、これらの壁は協働して第3A図に最
も良くわかるように全体的に46で示した全体的に矩形
の中空体を構成している。壁44の周囲にはプレート4
2から離れた端にフランジ47が設けられ、ボルト48
がプレート42及びフランジ47の端を貫通して設けら
れ、対応したプラズマ反応器12と入れ出しモジュール
18と間の界面及び整列ステーション14と入れ出しモ
ジュール18との間の界面にハウジング本体40を固定
している。0−リング50がプレート42及びフランジ
47のシーリング面に設けられて、気密シールを作って
いる。全体的に54で示した細長いスロットが、全体的
に矩形の中空体46と連通したプレート42を貫通して
設けられている。
全体的の56で示したチャンバドア装置は、スロット5
4と協働してバルブ作用をする。ドア装置56は、スロ
ット54の直径より大きくなるように選定した直径を有
する細長くて全体的に矩形のプレート58からなる。0
−リングシール部材60が、プレート58のシール面に
設けられ、スロット54を包囲している。プレート58
はアーム62に固定され、アーム62はプレート42に
間隔をへだてて固定した軸受66にジャーナル支持した
シャフト64とともに回転運動するように取り付けられ
ている。チャンバドアのTT駆動アクチュエータ(図示
せず)が、好ましくは点線70で示すような流体シール
又は回転シールを介してハウジング40の端を貫通する
シャフト64に固定されている。
チャンバドア56は、第3A図で外形を点線で示した開
放状態と第3A図及び第3B図で外形を実線で示した閉
鎖状態との間でチャンバドアTT駆動アクチュエータに
よってピボット回転される。
その開放状態では、全体的に矩形の中空体46は細長い
スロット54と連通状態になり、その結果入れ出しモジ
ュール18と複数のプラズマ反応器12と整列ステーシ
ョンとの間を貫通して後述するウェーハアーム装置を容
易に移動させることができる。ドア装置56の閉鎖状態
では、入れ出しモジュール18は、プラズマ反応器12
及び整列ステーション14から密封される。
第2図及び第4図を参照すると、入れ出しモジュール1
8は、全体的に78で示した密閉容器を構成する上壁7
2、五角形に構成された側壁74及び五角形底壁76を
備えている。全体的に80で示した後述するR、TT可
動ウェーハアーム装置が、密閉容器78の中に取り付け
られている。
装置80はターンテーブル82を備え、ターンテーブル
82は底壁76に設けられた中央孔に固定した全体的に
86で示す軸受組立体にジャーナル支持されたシャフト
84とともにTT回転するように取り付けられている。
底壁76に取り付けたθ駆動モータ88が、全体的に9
0で示したベルト・歯車装置を介してシャフト840作
動的に連結されている。θモータ88のシャフトの回転
制御により、シャフト84それに伴ってターンテーブル
82を選定した角度TT力方向で回転させ、ウェーハア
ーム装置80を対応するTTI、TT2、TT3、TT
4及びTT5座標でプラズマ反応器12のいずれか1つ
又は整列ステーション14と整列させる。
シャフト92がシャフト84の内部で同軸に取り付けら
れ、軸受及び全体的に93で示した真空シール装置に接
して回転するようにジャーナル支持されている。流体回
転真空シールのような適当な回転真空シールを使用して
もよい。シャフト92の一端はターンテーブル82を貫
通して真空装着された後述するピボット軸受94に連結
され、シャフト92の他端は全体的に98で示したベル
ト・歯車装置を介してR駆動モータ96に作動的に連結
されている。以下さらに詳述するように、R駆動モータ
96のシャフトの回転制御により、後述するR、TT可
動ウェーハアーム装置の双方の実施例のウェーハアーム
のR方向移動を制御し、関連した真空装を介して複数の
プラズマ反応器12&び整列ステーション14に個々の
ウェーハを取り付は又は取り外す。
第2図、第4図及び第5図を参照すると、つ工−ハアー
ム装置80は、全体的に1(11)で示したウェーハを
受けたり出したりするパドル装置を備えている。パドル
装置1(11)は、全体的に1(14で示した中央開口
部を有するプラットフォーム1(12)を備えている。
部材1(12)は横方向に間隔をへだてた指部106ま
で及び、指部106はその自由端に一体に形成された直
立指部108につ工−ハ周縁を係合させる。バンパ部分
112及びこれと一体になった足部分114を有する全
体的に110で示した取外し可能な当接部は、プラット
フォーム部材1(12)に対して滑動自在に取り付けら
れている。第8図で最もよくわかるように、コイルばね
116が取外し可能な当接部110と部材1(12)と
の間に取り付けられ、部材1(12)は、バンパ112
とフランジ108との間で受けたつ工−ハ(図示せず)
の周縁に当接し摩擦係合するようにバンパ部材112を
矢印118の方向に付勢する。足部分114は下方に垂
下した後述するストップ120を備え、ストップ120
はプラットフォーム部材1(12)に設けられた細長い
孔に滑動自在に受け入れられ、プラットフォーム部材1
(12)は後述する直立当接部と協働してアームがその
最大伸張位置に達するとウェーハとの摩擦係合を解く。
パドル装置1(11)ばプレート124の間で全体的に
126で示したキャリジに取り付けられ、キャリジ12
6は回転可能なターンテーブル82から直立し且つこれ
に固定した端ボスト130に固定された線形軸受128
に対して滑動自在に取り付けられている。
キャリジ126は線形軸受128に沿って両方向に移動
制御され、複数のプラズマ反応器12及び整列ステーシ
ョン18にウェーハを一つずつ取り付けたり取り外した
りする。部材131がキャリジ12&の直下に枢着され
、その内部に線形軸受(図示せず)を収容している。シ
ャフト132がピボットハウジング131の線形軸受を
介して滑動自在に受け入れられている。シャフト132
の一端はピボット軸受136を介してターンテーブル8
2とともに回転するように取り付けられたスリーブ13
4に滑動自在に取り付けられ、シャフト132の他端は
ニードル軸受装置138の固定され、装置138は、タ
ーンテーブル82に固定した取付はカップリング142
を介してR駆動モータのシャフト92(第4図)ととも
に回転するように取り付けられたクランクアーム140
に枢着されている。
θ駆動モータ88の回転制御により、ターンテーブル8
2及びこれに伴ってパドル装置1(11)は第2図にT
TI乃至TT4で示した複数のプラズマ反応チャンバの
角度位置のうち選定したいずれか1つに対応したTT座
標、及び第2図にTTSで示したウェーハ整列ステーシ
ョン14の角度位置に対応したTT座標まで回転する。
R駆動モータ96の回転制御により、クランク140は
矢印144で示すように弧状経路をたどる。アーム13
2は矢印146で示すようにピボット軸受136に関し
て旋回し、キャリジ26を軸受128に沿って矢印14
8で示すようにX駆動モータの回転方向に対応した方向
に線形移動させる。
アームはクランク140によって回転されるときカップ
リング136に対して幾分伸長され、回転方向に依存し
て矢印150で示すようにスリーブ134及びハウジン
グ131の内部で滑動する。
クランク140がその最大時計廻り位置まで回転すると
、パドル装置1(11)は第6図に152で全体的に示
すように完全に引込んだ位置へ移動する。
アーム140の反時計廻り運動により、パドルは第7図
に154で示すようにR方向に沿って移動する。パドル
装置1(11)がその完全に延びた位置、すなわちR駆
動モータの最大に許容された反時計廻り回転に近接した
位置に近づくと、尾部骨110のストップ120は直立
端ボスト130の直面壁に当接し、その結果パドルのR
方向に沿った連続運動によりバンパ110がフランジ1
08から遠ざかりこれによってウェーハ周縁の摩擦係合
が解除される。最大に延びた位置では、次いで選定した
プラズマ反応器12にウェーハを自由に取り付は又は取
り外すことができ、整列ステーション14からウェーハ
を自由に取り出したり戻したりすることができる。
好ましくは3つの接点が、第7図に示すようにパドル装
gtooのプラットホーム部材1(12)に取り付けら
れている。接点は、支持したウェーハの存在に応答して
ウェーハがウェーハ移送アーム上に適当に据えられてい
るか否かを示す3点信号を作る。接点は1、パドル装置
1(11)にをり付けられた印刷回路板(図示せず)の
形成されているのが好ましい。個々のウェーハのが意図
したように据えられていることの正確な表示ができる限
り、接点は3つ以外でもよいし、他の検出手段を使用し
てもよい。
第9図を参照すると、本発明による多処理用非汚染型プ
ラズマエツチングシステムのプラズマ反応器を示す部分
概念及び部分概略側面図が参照番号160で全体的に示
されている。プラズマ反応器160の各々は、上部プレ
ート162、間隔をへだてた下部プレート164及び円
筒形側壁166を備え、これらはbrIi働して全体的
に168で示したプラズマチャンバを構成する。全体的
に170で示した第1の電極が下部プレート164に固
定されている。点線172で概略的に示したペデスタル
が、下部電極170の中央に滑動自在に取り付けられ、
点*174で外形を概略的に示した空気圧シリンダのシ
ャフトとともに垂直運動する。以下更に十分説明するよ
うに、ペデスタル172はパドルアーム装置と協働し、
プラズマチャンバからウェーハを一つずつ取り出したり
供給したりすることができる。ペデスタル空気圧シリン
ダ174は、空気入力ポート176及び空気出力ポート
178を介して作動的に連結された制御空気供給源(図
示せず)によって駆動される。点線外形180で示すよ
うに、冷却流体源(図示せず)が、入力ポート182及
び出カポ−)184を゛介し下部電極170の内部を通
して内部流体流路(図示せず)に連結され、プラズマエ
ツチング中に下部電極に生じる熱を除去する。全体的に
186で示した上部電極が、全体的に188で示した支
持シャフトに固定され、支持シャフト188は、上部プ
レート162とその上に隣接したシャフト支持プレート
187との間で固定したステンレス鋼製真空ベロー19
0によって真空気密シーリング係合している上部プレー
ト162を貫通して滑動自在に受け入れられている。上
部電極186は、点線外形189で概略的に示した冷却
/過熱用内部流体流路を備え、この流路はシャフト18
8内に配置した流体流れ導管を介し、更にプレート装置
187に設けられた入口ポート194及び出口ポート1
96を介して供給源(図示せず)に接続されている。ラ
ム2(12)を有する全体的に2(11)で示した空気
圧アクチュエータが、支持プレート装置187に取り付
けられている。
ラム2(12)がその延びた位置(図示せず)にあると
、プレート187は上方へ移動し、これに伴ってシャフ
ト188及び電極186は定置下部電極170から上方
へ遠ざかる方向に移動する。
図示のようにラムが下がっていると、プレート装置18
7の固定されたマイクロメータ調節ポスト2(14が上
部プレート162にもたれ掛かり、これによって下部電
極170と意図したような間隔をへだてた関係に上部電
極186を支持する。マイクロメータ調節ポストの長さ
を選択的に変えることによって、電極間の間隙を調節す
ることができる。実施例では、2/16インチ乃至2イ
ンチの間隙調節ができる。
シャフト188は全体的に206で示した中空内部を有
し、シャフト206の中空部分を横断してレーザ窓20
8が取り付けられている。外部レーザ光線(図示せず)
が窓及び中空シャフトを通過して、プラズマエツチング
状態の終点決定をする。本発明思想を逸脱することなく
、横方向光学検出器のような他の終点決定手段を使用す
ることもできる。反応ガス注入ポート210が、そのた
めに設けられた内部シャフト導管(図示せず)を介して
上部電極186内に点線外形211で示した冷却液体シ
ャワーへラドガスマニホールドに連結されている。反応
ガスはプラズマ反応器の中へ制御放出され、プラズマ反
応チャンバに高周波電力が加えられる。別の実施例では
、電極間の間隙を各特定のプラズマプロセスに対して予
め選定することができ、空気圧アクチュエータ2(11
)に代えてマイクロメータを追加して使用するのが有利
である。
第10図を参照すると、目下のところ好ましいガス注入
及び制御真空システムを示す概略図が、全体的に212
で示されている。独立してバルブ調節されたガス源が、
複数のガスマニホールドのうち対応したマニホールドを
介してプラズマ容器のうち個々の容器にそれぞれ連結さ
れている。2列のガス源は全体的に214.216で示
され、2つのマニホールド218.220が特に示され
ている。真空システム222が、複数のプラズマ反応チ
ャンバ、整列ステーション224人れ出しステーション
226に共通に作動的に連結されている。真空システム
はシステム全体の真空状態を制御しその結果単−又は多
処理ウェーハ移送の間真空錠が別々に閉じたり開いたり
するので、つ工−ハは汚染を受けることがない。4つの
プラズマ反応器が開示されているが、本発明思想を逸脱
することなく5つ以上又は3つ以下のプラズマ反応器を
使用することができる。
第11A図を参照すると、本発明によるX17Tウエー
ハアーム装置の別の実施例の透視図が全体的に230で
示されている。装置230は、第11B図で最もよくわ
かるようにTT駆動モー夕のシャフトとともに回転する
ように取り付けられたブーIJ 232を備えている。
プーリ232は溝付きリム234を備え、そのまわりに
ケーブル236が巻回されている。ケーブルは溝付きリ
ム234の接線方向に反対方向に引かれ、プーリ238
.240のそれぞれ巻回され、第11B図で244で最
もよくわかるようにスライド242に結ばれている。プ
ーリ232の角度回転によりスライド242は線形軸受
246に沿って線形移動する。全体的に248で示した
ウェーハアームがスライド242に対して移動できるよ
うに取り付けられ、その結果アーム248はプーリ23
2の角度位置に依存して制御的に延びたり引っ込んだり
する。ケーブル236を一定張力に保つために、第12
図に250で全体的に示した弾性付勢要素に抗してケー
ブルの両端はスライド242内まで及んでいるのが好ま
しい。掛けられたケーブル236は、その状態を維持す
るための弾性カンプリング250によって逆方向に引っ
張られている。
プラズマチャンバ取付はサイクルの間、θ駆動モータが
R、TTウウニハアーム装置のターンテーブルをR、T
T可動ウェーハアーム装置のいずれかの実施例の整列ス
テーションのTT座標まで回転させる。関連した界面の
真空錠は解放されアームはアドレスされたカセットスロ
ット位置にあるウェーハの下へ延びる。アームは次いで
入れ出しモジュールの中へ引っ込められ真空錠は元に戻
る。RSTTウェーハアーム装置は次いで、選定したプ
ラズマ反応器のTT座標まで回転する。関連したチャン
バドアは次いでその開放位置へ回転し、選定した反応チ
ャンバに対する接近路を作り、上部電極が上げられる。
ウェーハを受けるアームが次いで関連したスロット弁開
口部を通して選定した反応チャンバの中へR方向に延び
る。最大半径方向移動の限界に達すると、ウェーハアー
ムの垂下ストップフランジは連続した半径方向運動によ
りターンテーブルの直立端ポストに当接し、バンパが後
退しこれによってウェーハを周縁摩擦係合から解放する
。下部電極の中央ペデスタルは次いで、その空気圧アク
チュエータによって制御上昇され、アーム上に支持した
ウェーハがウェーハ支持プラットホームから上方へ移動
する。その後、ウェーハアームは開いたスロット弁を通
してプラズマチャンバから後退して入れ出しステーショ
ンの中へ戻る。ペデスタルは次いで制御下降される。
これとともにウェーハも下がり、ついにはペデスタルが
その引っ込んだ位置まで達しウェーハが下部電極の表面
上で支持される0次いで関連したチャンバドアが閉じら
れ、上部電極は、特定のプラズマプロセスの作動を確実
にする予め選定した正確な間隙まで下げられる。意図し
た反応体が次いで上部電極のガスマニホールドを介して
注入され、高周波電力が加えられる。その後、適当な終
点まで達したことをレーザが信号表示するまで、各単一
ウェーハのプラズマエツチングが続けられる。
その後、RF電力がスイッチオフされ真空錠が開けられ
、上述のプロセスが反対の順序で繰り返され、ウェーハ
をプラズマチャンバから取り出し入れ出しステーション
に戻す。ウェーハは次いで複数工程処理モードでは次の
プロセスのために別のプラズマ反応器の中へ移動し、又
は単一工程処理□モードではカセット内ヘウェーハを戻
す。
入れ出しモジュール、整列ステーション及び複数の反応
器は、3つの基本モード、すなわち各プラズマ反応器が
同時に同一のプラズマ反応を実行する場合、各プラズマ
反応器が同時に2つ以上の異なるプラズマプロセスを行
う場合、プラズマ反応器を別々に操作しウェーハが整列
ステーション比肩るまでに単一ウェーハの多工程処理を
する場合のモードで操作することができる。各ケースに
おいて、ウェーハは制御真空環境下で移動及び処理され
、その結果外気にさらされること及び取り扱いにより引
き起こされる汚染が、完全に解消される。
第13図乃至第17図は、単一工程プロセスで形成する
ことのできるミクロ構造を例示的に示す走査型電子顕微
鏡写真であり、第18図は、二重工程エツチングプロセ
スで製造することのできるミクロ構造を例示的に示す走
査型電子顕微鏡写真である。第13図は、フォトレジス
ト262が堆積した、ウェーハの二酸化珪素層264の
表面上のポリシリコンを全体的に260で示している。
例として、低抵抗(12乃至30オーム)ドープしたポ
リシリコン、1(11)ミリトールの圧力及び3(11
)ワツトの電力に維持されたプラズマ反応器に標準状態
において20ca!のCCtい及び標準状態において3
0crJのlleを加える。エツチングは、約90秒続
く。第14図には、比較的高抵抗率(l cal当たり
30乃至2(11)オーム)を有し傾斜外形マスクを有
するドープしたポリシリコン265が示されている。図
示のミクロ構造では、150ミリトールの圧力で1(1
1)ワツトの電力のプラズマ反応器の中へ、標準状態に
おいて50cdのSF、及び標準状態において50cd
のフレオン115 (CzCrFs)が制御注入される
。約2分30秒後、図示のドープしたミクロ構造が製造
される。
第15図を参照すると、トレンチエツチングを例示的に
示す走査型電子顕微鏡写真が全体的に266で示されて
いる。1(11)ミリトールのチャンバ圧力及び750
ワツトの電力のプラズマ反応器の中へ、標準状態におい
て5−のBCh及び標準状態において25cJのC1□
を約20分間注入することによって、フォトレジストが
除去され全体的に268で示したトレンチが珪素272
に形成される。
第16図を参照すると、耐火性珪化物、タリウムシリコ
ンポリマが全体的に274で示されている。二酸化珪素
面276にはポリシリコン層278が堆積され、ポリシ
リコン層278にはタリウムシリコン高分子体280が
堆積され、タリウムシリコンポリマ280にはフォトレ
ジストがある。80ミリトールのチャンバ圧力及び3(
11)ワツトの高周波電力に維持したプラズマ反応器の
中へ、標準状態において20cdのCC1い及び標準状
態において30cJのHeを約3分30秒間注入するこ
とによって、ミクロ構造が製造される。
第17図を参照すると、本発明の多処理用非汚染型プラ
ズマ反応器によって製造することのできる単一工程構造
を例示するもう1つのミクロ構造が全体的に282で示
されている。図示のように、フォトレジスト284がア
ルミニウム珪素層286の上に堆積され、この層286
はTi一層288を介してウェーハ表面に堆積されてい
る。
125ミリトールのチャンバ圧力及び3(11)ワツト
の高周波電力に維持されたプラズマ反応器の中へ標準状
態において50aaのBCl3及び標準状態において1
5c4の01□を約2分30秒乃至3分30秒間注入す
ることによって、図示の構造は製造された。第18図を
参照すると、2工程プロセスを例示的に示した二酸化珪
素高分子体/二酸化珪素高分子体サンドインチ構造が全
体的に290で示されている。7(11)ミリトールの
圧力及び6(11)ワツトの高周波電力に維持した第1
のチャンバ内で標準状態において1(11)c+aのC
zF6でエツチングした後、ポリ1で示した多層及び酸
化物で示した酸化層が形成される。その後、上部ポリ2
層及び酸化物とその上に堆積したフォトレジスト層は、
1(11)ミリトールのチャンバ圧力及び6(11)ワ
ツトの高周波電力に維持した第2のプラズマ反応器内で
標準状態において2Qc+dのCCl4、及び標準状態
において30cdのHeを使用する別の工程によって形
成される。
第19図乃至第24図には、ウェーハ処理量のプロセッ
サ制御が示されている。特に、第19図はシステム操作
全体の状態図を示している。状態3(11)では、シス
テムをスイッチオン状態から必要なウオーミングアンプ
を介して手順を起動させるシステム起動手順が完遂され
る。システム起動が完了すると、次の状態3(12)へ
の移行が起こる。
カセットがカセット整列ステーションの中へ置かれすべ
てのドアインターロックスイッチが作動されると、状態
3(12)が続く。これらの条件が満足され操作者がス
タートボタンによってシステム操作を起動すると、他の
システムの信号妨害又は待機指令が起きることなく、ま
たユーザが決定可能状N3(12)から二者択一的に入
った診断状態306を活性化させていなければ、決定可
能状態3(12)から機械起動状態3(14への移行が
起こる。
ユーザによって診断状態に入った場合、システムについ
て一連の診断を行う。さもなければ、機械起動状B5(
14は、システムスイッチオン工程、ガス清浄工程又は
時間及び/電力を考慮すれば通常はシステム起動状態3
(11)に入らないその他の起動工程からなる一連の最
終工程を完遂する。
処理工程を効率的に中止して決定可能状態3(12)へ
戻すスタンバイボタンを介して、操作者の指令により機
械起動状B3(14からスタンバイ状態306に入るの
がよい。さもなければ、一旦起動機能が完了された後、
シス ムは機械起動状[3(14からカセット真空引き
状B510へ移行し、カセット真空引き状態310では
ウェーハ整列ステーション14から真空が引かれ該ステ
ーションを移行アーム及び複数のエツチング容器からな
る環境に置(。ウェーハ整列ステーション14の真空引
きの後、状f!!310処理は通常状態312へ移行し
、状態312では整列ステーション14のウェーハ及び
カセットは後の図面に示すように順番に処理される。あ
るいは、決定可能状態3(12)において操作者が清浄
ウェーハ指令を作動させると、処理はウェーハ清浄状8
314に移行し、状B514ではカセット処理に代えて
ウェーハがシステムから一掃される。各状態306.3
08及び314の場合には、それらの状態の機能終了後
、処理が決定可能状a3(12)へ戻る。
カセット処理状態312に入ると、システム内に入った
以下に説明するウェーハコマンドリストに従って、シス
テムはカセット内の各ウェーハを処理する。そのサイク
ルが終了すると、処理は状a3t 6へ進み、状ffu
316ばウェーハ整列ステーション14を通気させ、シ
ステムが状111jq3(11)へ移行する時点である
カセットの取り外しまで待機する。
万一、通常のシステム操作中にエラーが起こると、プロ
セッサエラー検出によって決定されるように、処理が第
19図の各状態からシステム起動状態へ戻り、スイッチ
オン起動機能を再び発揮する。
第20図の流れ図に示す柔軟プロセッサ制御の後、カセ
ットプロセス状態312内の操作が続り。
図示のように、スロットと名付けた状態320とウェー
ハと名付けた状B522とウェーハコマンドと名付けた
状態324と機械モニタと名付けた状H326との間で
処理が進行する。未処理ウェーハのカセットとともに状
態312に入り、始動し状B520で終了するとき、第
20図の処理は起動される。状態320はウェーハ始動
コンマントを起動し、未処理ウェーハを収容する各スロ
ットが例えば逆優先計画又はプログラムされている他の
優先計画を使用する。選定したスロット及び対応したウ
ェーハに対して、処理は状態320からウェーハ状態3
22へ進行する。状態322から、各ウェーハに対する
処理コマンド又は仕様は次の状態324のウェーハコマ
ンドでアクセスされる。各ウェーハの所望の処理、例え
ば指定のガス雰囲気で指定の期間及び深さで1つ又は2
つ以上のエツチングに対応して、ウェーハコマンドがシ
ステム内にプログラムされる。状a324のコマンドが
順番に実行され、各コマンドは状態326の機械モニタ
で起こる一連の機械制御操作を開始する。
各ウェーハコマンドの終了時に、例えば以下に説明する
ようにウェーハに対する単一エツチングサイクルを例に
とれば、処理はウェーハコマンド状態324に戻りもう
1つのウェーハコマンドを実行する。特定のウェーハに
ついてすべてのつニーハコマントが実行された後、処理
は状B522に戻り状態322から状態320に移行し
、それによってウェーハ及びカセットスロットを順番に
並べる。
機械制御状態326内の処理は、第21図、第22図及
び第23図のウェーハ移送手法及び第24図の内部チャ
ンバ処理手法に従う。続行すべきシステム指令を受ける
ために処理が停止する場合、プロセッサ状態評価により
次の工程に進むのにユニットにとって必要な存在条件を
調べる。
多チャンバ処理を特定するウェーハコマンドに従って、
ウェーハを1つのチャンバから他のチャンバへ移送させ
る処理が、第21図に特に示されている。図示のように
、処理は起動状態330において始動する。次の状態3
32は、移送アームウェーハ支持テーブルを1つのチャ
ンバから他方(D所望のチャンバへ差し向け、そこで移
送すべきウェーハを位置決めする。その位置決めが完了
すると、次の状態334及びバルブアーム機構を作動さ
せ状態336に移行させる。状態336において、シス
テムは移送アームウェーハ支持テーブルを終着チャンバ
へ再び位置決めし状態338へ移行する。状態338は
制御信号を受けて適用可能なチャンバに係るアーム機構
及びバルブ機構を作動させてウェーハを状態340に移
行中の特定のチャンバ内に置く。状B540に達すると
、つ工−ハを再び位置決めする機能が終了し処理は状態
324にある次のウェーハコマンドに戻る。
第22図は、ウェーハがチャンバ、すなわちエツチング
容器からカセットのスロットへ移送する処理を示してい
る。起動状B550から、カセットへ戻すべきウェーハ
を有する所望のチャンバに対する移送アームウェーハ支
持テーブルの方向を待つばかりの状態にある状B552
へ、処理は移行する。適当な位置決めが完了すると、状
態352から状B554への移行により、ウェーハをチ
ャンバから取り外すように指令されることを求める要求
がコマンドリストに送られる。状態354でその指令を
受けると、状態356への移行が機械指令を実行して弁
を開は移送アームを移動させてチャンバ内のウェーハを
持ち上げチャンバから引き出し、更にウェーハコマンド
リストからカセットへ移送させる指令を要求する。これ
らの指令を実行し且つウェーハを位置決めしてアームが
カセットに適用したとき、システムは状態358へ移行
し、ウェーハをカセット内の識別されたスロット内へ置
く指令をウェーハ処理リストに対して要求する。その情
報を受けると、処理済み状態360への移行により、ウ
ェーハをカセットスロット内へ挿入し処理を第20図の
手法へ戻す。
第23図は、ウェーハコマンドリストの指令に従って、
カセットから指定されたチャンバヘウエーハを移送する
ための手法を示している。処理は起動状B570から状
態372へ移行し、状態372ではアームをカセットに
対して位置決めしこのようなアーム操作を実行すべき指
令を求める要求がコマンドリストに送られる。アームを
状態372で適当に位置決めすると、システムは状態3
74へ移行し、状態374ではウェーハをカセットから
引き出す指令を求める要求が送られる。
状態374は2つの可能な結果を有し、分岐線376に
よって表示された第1の結果では、移送アームによって
ウェーハを取り戻すようにシステムが指令されているス
ロット内にウェーハが無い。
この場合、第23図の手法が中止状態であるにもかかわ
らずできるだけ進行したことを示す処理済み状態378
へシステムは移行する。状態374のもう1つの可能な
結果では、ウェーハが存在してシステムは状態374か
ら状G380へ移行し、状a3soではウェーハ処理リ
ストから指令を受けて移送アーム支持テーブルを終着チ
ャンバに位置決めする。状態380でその終着チャンバ
に達するとシステムが状a382に移行し、状態382
ではシステムがコマンドリストからの指令を要求して受
け取り (もしチャンバの準備ができていれば)そのウ
ェーハをチャンバ内へ挿入する。
状態382から終了状態378への移行中、アーム及び
チャンバ弁の機構は、ウェーハをチャンバ内に設置する
ために作動する。
第24図は、システムが整列ステーションのカセットか
らウェーハを配置したチャンバ内でウェーハエツチング
を遂行するためのシステムの処理を示している。
第24図の処理は、状態390を起動するコマンドリス
トから得た始動コマンドによって起動される。もし始動
コマンドが正しくないサインを有するように決定されて
いれば、状態390はエラー認識状態392を介して始
動コマンド待機工程394へ移行する。さもなければ、
処理は状態390から状a392へ進み、状態392で
はチャンバの弁が密封され電極間隔がエツチング状態に
設定される。次の工程394が、適当なゲートの閉鎖及
び電極位置を示すマイクロスインチからの確認信号を待
つ。続いて、状態396が所望の処理のためにウェーハ
コマンドリストから選定したガス流れを開始し、時間及
び他の要因に基づいて起こるべき定常ガス状態を待つ。
続いて、状態398へに移行により、ガス内でプラズマ
エツチングするのに使用される電極間で高周波プラズマ
の発生を起こさせ、処理終了を示すパラメータが得られ
るまでガス蒸気エツチングによるウェーハ処理は続けら
れる。このようなパラメータは、時間、検出したエツチ
ング深さ又はその他の要因の関数であるのがよい。ウェ
ーハ処理が終了したと表示されると、状態398から状
態4(11)への移行により、高周波プラズマを非活性
化させ、状態4(11)でチャンバ内のガス環境は取り
除かれ、その結果次の状[4(12)でウェーハの取外
しのために電極は再び間隔をへたてられ、反応ガスが移
送アームの環境内へ洩れる恐れもなくゲート即ちチャン
バドアがその環境に開口する。
状態4(12)は状Li4(14へ移行し、状a4(1
4ではマイクロスイッチの作動によって適当な電、極間
隔及び開口についての確認を待って状態394へ移行し
、状態394ではシステム処理は第20図の柔軟プロセ
ス制御に戻る。
特許請求の範囲を逸脱することなくここに開示した発明
について多くの修正を加えることは、当業者にとって明
らかである。
【図面の簡単な説明】
第1図は、本発明による多処理用非汚染型プラズマエツ
チングシステムを示す概念図であり、第2図は、本発明
による多処理用非汚染型プラズマエツチングシステムの
一部破断部分平面図であり、 第3A図及び第3B図は、本発明による多処理用非汚染
型プラズマエツチングシステムの対応するプラズマ反応
器とR、TT可動アーム装置とに介在する真空錠を示す
部分側面概略図及び端面図であり、 第4図は、本発明による多処理用非汚染型プラズマエツ
チングシステムのRXTT可動ウェーハアーム装置の操
作を説明するのに有用な部分概念部分断面図であり、 第°5図は、本発明による多処理用非汚染型プラズマエ
ツチングシステムのR、TT可動ウェーハアーム装置の
第1の実施例の透視図であり、第6図及び第7図は、本
発明による多処理用非汚染型プラズマエツチングシステ
ムのR、TT可動ウェーハアーム装置の異なる移動位置
を示すR、TT可動ウェーハアーム装置の第1の実施例
の平面図であり、 第8図は、本発明による多処理用非汚染型プラズマエツ
チングシステムのR、TT可動ウェーハアーム装置の第
1の実施例の一部を示す一部破断部分透視図であり、 第9図は、本発明による多処理用非汚染型プラズマエツ
チングシステムのプラズマ反応器を示す一部概念部分概
略側面図であり、 第10図は、本発明による多処理用非汚染型プラズマエ
ツチングシステムの複数の反応体注入システム及び制御
真空システムを示す概略図であり、第11A図及び第1
1B図は、本発明による多処理用非汚染型プラズマエツ
チングシステムのR1TT可動アーム装置の第2の実施
例を示す透視図及び断面図であり、 第12図は、本発明による多処理用非汚染型プラズマエ
ツチングシステムのR、TT可動ウェーハアーム装置の
第2の実施例の一部を示す一部破断部分透視図であり、 第13図乃至第18図は、本発明による多処理用非汚染
型プラズマエツチングシステムによって得ることのでき
るミクロ構造を例示的に示す走査型電子顕微鏡写真図で
あり、 第19図は、システム起動状態とカセット挿入及び引出
し状態とを説明するシステムレベル状態概要図であり、 第20図は、ウェーハのシステム処理及び個々のウェー
ハの処理指令と関連した状態を識別する状態概略図であ
り、 第21図は、1つのプラズマエツチング容器即ちチャン
バからもう1つのチャンバヘウエーハを順番に並べる際
に状態を識別する状態概略図であり、 第22図は、容器即ちチャンバからそのカセットスロッ
トへのウェーハの移動を識別する状態概略図であり、 第23図は、カセットスロットから容器即ちチャンバへ
のウェーハの移動を識別する状態概略図であり、 第24図は、個々のプラズマエツチング容器即ちチャン
バ内でのウェーハ処理を識別する状態概略図である。 Ill ノ FIG、Iθ FIo、2 FIG、5 FIG、9 FIG、l8 FIG、2θ

Claims (25)

    【特許請求の範囲】
  1. (1)各々が所定の空間領域のまわりに配列された入口
    構成ポート及び出口構成ポートをそれぞれ有し、そのポ
    ートから間隔をへだてた単一位置から上記ポートに接近
    可能である、複数の単一ウェーハプラズマエッチング容
    器と、 上記単一位置から接近可能なウェーハ接近用ポートを構
    成する同じ所定の空間領域に沿った複数の容器と間隔を
    へだてたウェーハ整列ステーションと、 上記複数の単一ウェーハプラズマエッチング容器の入口
    ポート及び出口ポートのうち対応するポート及び上記ウ
    ェーハ整列ステーションのウェーハ接近用ポートに別々
    に連結された複数の弁手段と、 上記整列ステーションのウェーハ接近用ポートと上記単
    一ウェーハプラズマエッチング容器のうち選定した容器
    との間でその入口ポート及び出口ポートのうち関連した
    ポートを介してウェーハを相互に移動させるために、上
    記単一位置に配置され、上記複数の弁手段のうち対応す
    る手段と協働する単一ウェーハ移送手段と、1つ又は2
    つ以上のエッチング容器内の整列ステーションのウェー
    ハの単一処理又は多処理を選択的に行うために、上記容
    器、上記移送手段及び上記弁手段を制御するためのプロ
    セッサ手段と、 を備えていることを特徴とする、多処理用非汚染型プラ
    ズマエッチングシステム。
  2. (2)上記所定の空間領域は閉鎖領域であり、上記単一
    位置は上記閉鎖領域の幾何学的中心と一致することを特
    徴とする特許請求の範囲第(1)項に記載のシステム。
  3. (3)上記ウェーハ整列ステーションは、カセットと、
    上記カセットを垂直方向に移動させるための割出しエレ
    ベータ機構とを備えていることを特徴とする特許請求の
    範囲第(1)項に記載のシステム。
  4. (4)上記複数のプラズマエッチング容器の各々は、プ
    ラズマチャンバを構成する壁を備えていることを特徴と
    する特許請求の範囲第(1)項に記載のシステム。
  5. (5)上記複数のプラズマエッチング容器の各々は、第
    1の電極、第2の電極及び上記第1及び第2の電極を相
    対電極に取り付ける手段を備えていることを特徴とする
    特許請求の範囲第(4)項に記載のシステム。
  6. (6)上記複数のプラズマエッチング容器の各々は、上
    記電極のうちの一方の中へ嵌め込まれたペデスタルと、
    上記一方の電極に対して垂直運動するように上記ペデス
    タルを取り付けるための手段を備えていることを特徴と
    する特許請求の範囲第(5)項に記載のシステム。
  7. (7)上記複数のプラズマエッチング容器の各々は、上
    記第1の電極及び第2の電極を冷却し過熱するための手
    段を備えていることを特徴とする特許請求の範囲第(5
    )項に記載のシステム。
  8. (8)上記ウェーハ移送手段は、ウェーハ受けアームと
    、ウェーハの前面に接しないように周縁に接することに
    よって単一ウェーハを取り上げるために上記アームに取
    り付けられた手段とを備えていることを特徴とする特許
    請求の範囲第(1)項に記載のシステム。
  9. (9)上記ウェーハ移送手段は、ウェーハの前面に接し
    ないように周縁に接することによって上記アームに配置
    された単一ウェーハを取り上げるための手段を有するR
    、TT可動ウェーハ受けアームからなることを特徴とす
    る特許請求の範囲第(2)項に記載のシステム。
  10. (10)上記R、TT可動ウェーハ受けアーム装置は、
    ベース部材プラットホームと、該ベース部材プラットホ
    ームを軸線を中心としてTT方向に回転させるための手
    段と、パドルと、該パドルを上記ベース部材プラットホ
    ームに対してR方向に滑動できるように取り付けるため
    の手段とを備えていることを特徴とする特許請求の範囲
    第(9)項に記載のシステム。
  11. (11)上記パドルは、ウェーハを支持する第1の部分
    と、ウェーハの縁に係合する第2の部分と、上記第1の
    部分に対してR方向に滑動できるように上記第2の部分
    を取り付けるための手段とを備えていることを特徴とす
    る特許請求の範囲第(10)項に記載のシステム。
  12. (12)上記パドルの第1の部分はその縁に直立当接部
    を有し、ウェーハの縁に係合する第2の部分は上記第1
    の部分の直立当接部と協働するバンパを有するスライド
    からなることを特徴とする特許請求の範囲第(11)項
    に記載のシステム。
  13. (13)上記パドルは上記バンパを当接部の方へ弾性的
    に付勢するための手段を備えていることを特徴とする特
    許請求の範囲第(12)項に記載のシステム。
  14. (14)ウェーハがプラットホーム上の設置されている
    か否かを検出するための手段を備えていることを特徴と
    する特許請求の範囲第(12)項に記載のシステム。
  15. (15)上記検出手段は、上記プラットホームに取り付
    けられた接触応答スイッチを備えていることを特徴とす
    る特許請求の範囲第(14)項に記載のシステム。
  16. (16)上記複数のプラズマエッチング容器の各々は、
    低周波プラズマ放電発生源を備えていることを特徴とす
    る特許請求の範囲第(1)項に記載のシステム。
  17. (17)上記複数のプラズマエッチング容器の各々は、
    高周波プラズマ放電発生源を備えていることを特徴とす
    る特許請求の範囲第(1)項に記載のシステム。
  18. (18)上記複数のプラズマエッチング容器の各々は、
    マイクロ波プラズマ放電発生源を備えていることを特徴
    とする特許請求の範囲第(1)項に記載のシステム。
  19. (19)上記低周波プラズマは、約4乃至450キロヘ
    ルツの周波数で発生することを特徴とする特許請求の範
    囲第(16)項に記載のシステム。
  20. (20)上記低周波プラズマは、約1乃至50メガヘル
    ツの周波数で発生することを特徴とする特許請求の範囲
    第(17)項に記載のシステム。
  21. (21)上記マイクロ波プラズマは、約1乃至4メガヘ
    ルツの周波数で発生することを特徴とする特許請求の範
    囲第(18)項に記載のシステム。
  22. (22)上記電極は、ダイオード形態であることを特徴
    とする特許請求の範囲第(5)項に記載のシステム。
  23. (23)上記電極は、三極管形態であることを特徴とす
    る特許請求の範囲第(5)項に記載のシステム。
  24. (24)上記電極を磁気的に強化するための手段をを備
    えていることを特徴とする特許請求の範囲第(5)項に
    記載のシステム。
  25. (25)複数のウェーハエッチングチャンバと関連した
    カセット内に収容した複数の半導体ウェーハの半導体ウ
    ェーハエッチング処理をするためのシステムにおいて、 ウェーハ収容カセットの個々のスロット内に複数のウェ
    ーハを収容するようになった少なくとも1つのウェーハ
    カセット整列ステーションと、 複数のウェーハエッチング容器と、 第1の状態で各容器内の環境を密封収容し活第2の状態
    で容器内部に接近するように、上記ウェーハエッチング
    容器に対するゲート入口を作るための手段と、 上記第2の状態のゲート入口を介して上記整列ステーシ
    ョンのカセットの選定したスロットと選定したプラズマ
    エッチング容器の内部との間にウェーハを移送するため
    の手段と、上記整列ステーションのカセット内の関連し
    たスロット位置と各ウェーハと関連したプラズマ処理コ
    マンドによるプラズマエッチング容器との間でウェーハ
    を整列させるためのプロセッサ手段と を有する、配列したウェーハステーションを備えている
    ことを特徴とするシステム。
JP62268021A 1986-10-24 1987-10-23 四重処理用プロセッサ Pending JPS63133532A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/923,125 US4715921A (en) 1986-10-24 1986-10-24 Quad processor
US923125 1992-07-31

Publications (1)

Publication Number Publication Date
JPS63133532A true JPS63133532A (ja) 1988-06-06

Family

ID=25448159

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62268021A Pending JPS63133532A (ja) 1986-10-24 1987-10-23 四重処理用プロセッサ

Country Status (6)

Country Link
US (1) US4715921A (ja)
EP (2) EP0680074A3 (ja)
JP (1) JPS63133532A (ja)
KR (1) KR880005675A (ja)
CA (1) CA1283174C (ja)
DE (1) DE3751738T2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5855726A (en) * 1995-07-19 1999-01-05 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6537012B2 (en) 1999-10-29 2003-03-25 Hitachi, Ltd. Vacuum processing apparatus and a vacuum processing system
US6672819B1 (en) 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6795745B1 (en) 1999-09-20 2004-09-21 Hitachi, Ltd. Methods of operating vacuum processing equipment and methods of processing wafers
JP2008109134A (ja) * 2007-10-17 2008-05-08 Hitachi Ltd 真空処理装置及び真空処理方法
JP2009164646A (ja) * 2009-04-22 2009-07-23 Hitachi Ltd 真空処理装置及び真空処理方法
US7738987B2 (en) 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
JP2012231150A (ja) * 2012-06-13 2012-11-22 Hitachi Ltd 真空処理装置及び真空処理方法

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5102495A (en) * 1986-04-18 1992-04-07 General Signal Corporation Method providing multiple-processing of substrates
US6214119B1 (en) * 1986-04-18 2001-04-10 Applied Materials, Inc. Vacuum substrate processing system having multiple processing chambers and a central load/unload chamber
EP0246453A3 (en) * 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
ATE102397T1 (de) * 1986-12-19 1994-03-15 Applied Materials Inc Integriertes bearbeitungssystem mit vielfachkammer.
JPS63204726A (ja) * 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4906328A (en) * 1987-07-16 1990-03-06 Texas Instruments Incorporated Method for wafer treating
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US5906688A (en) * 1989-01-11 1999-05-25 Ohmi; Tadahiro Method of forming a passivation film
US5591267A (en) * 1988-01-11 1997-01-07 Ohmi; Tadahiro Reduced pressure device
DE58909880D1 (de) * 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US5019233A (en) * 1988-10-31 1991-05-28 Eaton Corporation Sputtering system
US5683072A (en) * 1988-11-01 1997-11-04 Tadahiro Ohmi Thin film forming equipment
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0416646B1 (en) * 1989-09-08 2000-02-09 Tokyo Electron Limited Apparatus and method for processing substrates
DE69120446T2 (de) * 1990-02-19 1996-11-14 Canon Kk Verfahren zum Herstellen von abgeschiedener Metallschicht, die Aluminium als Hauptkomponente enthält, mit Anwendung von Alkylaluminiumhydrid
US5100502A (en) * 1990-03-19 1992-03-31 Applied Materials, Inc. Semiconductor wafer transfer in processing systems
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
JP2528026B2 (ja) * 1990-07-04 1996-08-28 三菱電機株式会社 配線パタ―ンの形成方法およびその装置
US5213996A (en) * 1990-07-04 1993-05-25 Mitsubishi Denki Kabushiki Kaisha Method and apparatus for forming interconnection pattern and semiconductor device having such interconnection pattern
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH0766919B2 (ja) * 1991-02-20 1995-07-19 株式会社半導体プロセス研究所 半導体製造装置
US5171393A (en) * 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
JP3030160B2 (ja) * 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0828333B2 (ja) * 1992-11-30 1996-03-21 株式会社半導体プロセス研究所 半導体装置の製造装置
JPH0828334B2 (ja) * 1992-11-30 1996-03-21 株式会社半導体プロセス研究所 半導体装置の製造装置及びその使用方法
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US6245189B1 (en) * 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
GB9425349D0 (en) * 1994-12-15 1995-02-15 Oxford Plasma Technology Ltd Substrate loading apparatus
US6193506B1 (en) 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5607276A (en) * 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5588789A (en) * 1995-07-06 1996-12-31 Brooks Automation Load arm for load lock
US5746565A (en) * 1996-01-22 1998-05-05 Integrated Solutions, Inc. Robotic wafer handler
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5855465A (en) * 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
US5746434A (en) * 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
US6714832B1 (en) * 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JP3393035B2 (ja) 1997-05-06 2003-04-07 東京エレクトロン株式会社 制御装置及び半導体製造装置
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5894760A (en) * 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US5911896A (en) * 1997-06-25 1999-06-15 Brooks Automation, Inc. Substrate heating apparatus with glass-ceramic panels and thin film ribbon heater element
US6054688A (en) * 1997-06-25 2000-04-25 Brooks Automation, Inc. Hybrid heater with ceramic foil serrated plate and gas assist
US6123502A (en) * 1997-07-08 2000-09-26 Brooks Automation, Inc. Substrate holder having vacuum holding and gravity holding
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6139245A (en) * 1997-07-11 2000-10-31 Brooks Automation Inc. Robot arm relocation system
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
JP3582330B2 (ja) * 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
WO1999027579A1 (en) * 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
US6072898A (en) 1998-01-16 2000-06-06 Beaty; Elwin M. Method and apparatus for three dimensional inspection of electronic components
US6067596A (en) * 1998-09-15 2000-05-23 Compaq Computer Corporation Flexible placement of GTL end points using double termination points
US6960057B1 (en) 1998-09-30 2005-11-01 Brooks Automation, Inc. Substrate transport apparatus
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6267545B1 (en) 1999-03-29 2001-07-31 Lam Research Corporation Semiconductor processing platform architecture having processing module isolation capabilities
US6095741A (en) * 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6244811B1 (en) 1999-06-29 2001-06-12 Lam Research Corporation Atmospheric wafer transfer module with nest for wafer transport robot
DE29915696U1 (de) * 1999-09-07 2001-01-18 Robert Bosch Gmbh, 70469 Stuttgart Ätzanlage zum HF-Dampfätzen
US6265803B1 (en) * 1999-11-10 2001-07-24 Brooks Automation, Inc. Unlimited rotation vacuum isolation wire feedthrough
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6390448B1 (en) 2000-03-30 2002-05-21 Lam Research Corporation Single shaft dual cradle vacuum slot valve
US6913243B1 (en) * 2000-03-30 2005-07-05 Lam Research Corporation Unitary slot valve actuator with dual valves
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
EP1217647B1 (en) * 2000-12-21 2006-03-08 Oxford Instruments Plasma Technology Limited Substrate loading apparatus
EP1220596A1 (en) 2000-12-29 2002-07-03 Icos Vision Systems N.V. A method and an apparatus for measuring positions of contact elements of an electronic component
EP1237178B8 (en) * 2001-03-02 2009-03-25 Icos Vision Systems N.V. Self-supporting adaptable metrology device
AU2002322504A1 (en) 2001-07-13 2003-01-29 Broks Automation, Inc. Trajectory planning and motion control strategies for a planar three-degree-of-freedom robotic arm
US7578649B2 (en) 2002-05-29 2009-08-25 Brooks Automation, Inc. Dual arm substrate transport apparatus
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US20060024451A1 (en) * 2004-07-30 2006-02-02 Applied Materials Inc. Enhanced magnetic shielding for plasma-based semiconductor processing tool
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20060240680A1 (en) * 2005-04-25 2006-10-26 Applied Materials, Inc. Substrate processing platform allowing processing in different ambients
US9248568B2 (en) 2005-07-11 2016-02-02 Brooks Automation, Inc. Unequal link SCARA arm
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US8272825B2 (en) 2007-05-18 2012-09-25 Brooks Automation, Inc. Load lock fast pump vent
CA2701402A1 (en) * 2007-10-24 2009-04-30 Oc Oerlikon Balzers Ag Method for manufacturing workpieces and apparatus
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US9202733B2 (en) 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
US9401296B2 (en) 2011-11-29 2016-07-26 Persimmon Technologies Corporation Vacuum robot adapted to grip and transport a substrate and method thereof with passive bias
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9970098B2 (en) * 2013-12-16 2018-05-15 United Technologies Corporation Movable evaporation source

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55141570A (en) * 1979-04-18 1980-11-05 Anelva Corp Dry etching apparatus
JPS57149748A (en) * 1981-03-12 1982-09-16 Anelva Corp Treating device for substrate
JPS60167420A (ja) * 1983-12-05 1985-08-30 ピルキントン・ブラザ−ズ・ピ−エルシ− 多層被覆装置
JPS62295421A (ja) * 1986-04-04 1987-12-22 マテリアルズ リサ−チ コ−ポレイシヨン ウエハ状物品を輸送して少くとも一つの処理工程にかけるための装置及び方法
JPS6332931A (ja) * 1986-04-18 1988-02-12 ジエネラル・シグナル・コ−ポレ−シヨン プラズマ・エツチング・システム

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59123226A (ja) * 1982-12-28 1984-07-17 Fujitsu Ltd 半導体装置の製造装置
JPS6037129A (ja) * 1983-08-10 1985-02-26 Hitachi Ltd 半導体製造装置
US4584045A (en) * 1984-02-21 1986-04-22 Plasma-Therm, Inc. Apparatus for conveying a semiconductor wafer
US4547247A (en) * 1984-03-09 1985-10-15 Tegal Corporation Plasma reactor chuck assembly
US4548699A (en) * 1984-05-17 1985-10-22 Varian Associates, Inc. Transfer plate rotation system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55141570A (en) * 1979-04-18 1980-11-05 Anelva Corp Dry etching apparatus
JPS57149748A (en) * 1981-03-12 1982-09-16 Anelva Corp Treating device for substrate
JPS60167420A (ja) * 1983-12-05 1985-08-30 ピルキントン・ブラザ−ズ・ピ−エルシ− 多層被覆装置
JPS62295421A (ja) * 1986-04-04 1987-12-22 マテリアルズ リサ−チ コ−ポレイシヨン ウエハ状物品を輸送して少くとも一つの処理工程にかけるための装置及び方法
JPS6332931A (ja) * 1986-04-18 1988-02-12 ジエネラル・シグナル・コ−ポレ−シヨン プラズマ・エツチング・システム

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6962472B2 (en) 1995-07-19 2005-11-08 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US7201551B2 (en) 1995-07-19 2007-04-10 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6752580B2 (en) 1995-07-19 2004-06-22 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6526330B2 (en) 1995-07-19 2003-02-25 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US7347656B2 (en) 1995-07-19 2008-03-25 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6672819B1 (en) 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6705828B2 (en) 1995-07-19 2004-03-16 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6752579B2 (en) 1995-07-19 2004-06-22 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6430469B2 (en) 1995-07-19 2002-08-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6188935B1 (en) 1995-07-19 2001-02-13 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US5855726A (en) * 1995-07-19 1999-01-05 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6895685B2 (en) 1995-07-19 2005-05-24 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6920369B2 (en) 1999-09-20 2005-07-19 Hitachi, Ltd. Methods of operating vacuum processing equipment and methods of processing wafers
US6795745B1 (en) 1999-09-20 2004-09-21 Hitachi, Ltd. Methods of operating vacuum processing equipment and methods of processing wafers
US6537012B2 (en) 1999-10-29 2003-03-25 Hitachi, Ltd. Vacuum processing apparatus and a vacuum processing system
US7738987B2 (en) 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
JP2008109134A (ja) * 2007-10-17 2008-05-08 Hitachi Ltd 真空処理装置及び真空処理方法
JP2009164646A (ja) * 2009-04-22 2009-07-23 Hitachi Ltd 真空処理装置及び真空処理方法
JP2012231150A (ja) * 2012-06-13 2012-11-22 Hitachi Ltd 真空処理装置及び真空処理方法

Also Published As

Publication number Publication date
DE3751738T2 (de) 1996-11-07
DE3751738D1 (de) 1996-04-18
US4715921A (en) 1987-12-29
EP0264945A2 (en) 1988-04-27
CA1283174C (en) 1991-04-16
EP0680074A2 (en) 1995-11-02
KR880005675A (ko) 1988-06-30
EP0680074A3 (en) 1995-11-15
EP0264945B1 (en) 1996-03-13
EP0264945A3 (en) 1989-09-13

Similar Documents

Publication Publication Date Title
JPS63133532A (ja) 四重処理用プロセッサ
US5013385A (en) Quad processor
US5308431A (en) System providing multiple processing of substrates
US5344542A (en) Multiple-processing and contamination-free plasma etching system
US6103055A (en) System for processing substrates
US5102495A (en) Method providing multiple-processing of substrates
EP0555891B1 (en) Vacuum processing system and method
US4687542A (en) Vacuum processing system
US6578287B2 (en) Substrate cooling system and method
US6776846B2 (en) Integrated processing system having multiple reactors connected to a central chamber
USRE43023E1 (en) Dual loading port semiconductor processing equipment
US6429139B1 (en) Serial wafer handling mechanism
KR101554768B1 (ko) 열처리 장치 및 이것에 기판을 반송하는 기판 반송 방법
US4816116A (en) Semiconductor wafer transfer method and arm mechanism
US6347919B1 (en) Wafer processing chamber having separable upper and lower halves
JP6934435B2 (ja) センタリング装置、センタリング方法、基板処理装置、および基板処理方法
US4842680A (en) Advanced vacuum processor
JP2000012647A (ja) ウエハ搬送装置及びその方法
JP3549674B2 (ja) ロードロック室を備えた基板の処理装置
JPH0997583A (ja) 真空装置用ウエハ支持装置
KR20070019240A (ko) 웨이퍼 리프팅 장치, 이에 의해 구성되는 리프터 어셈블리및 이를 구비하는 반도체 제조 설비