DE19605254C2 - Verfahren zur Herstellung einer Halbleitervorrichtung mit Kupferdünnfilmen und chemische Dampfabscheidungsgeräte - Google Patents

Verfahren zur Herstellung einer Halbleitervorrichtung mit Kupferdünnfilmen und chemische Dampfabscheidungsgeräte

Info

Publication number
DE19605254C2
DE19605254C2 DE19605254A DE19605254A DE19605254C2 DE 19605254 C2 DE19605254 C2 DE 19605254C2 DE 19605254 A DE19605254 A DE 19605254A DE 19605254 A DE19605254 A DE 19605254A DE 19605254 C2 DE19605254 C2 DE 19605254C2
Authority
DE
Germany
Prior art keywords
film
cvd
gas
source
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE19605254A
Other languages
English (en)
Other versions
DE19605254A1 (de
Inventor
Akihiro Kajita
Hisashi Kaneko
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=26361558&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE19605254(C2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Toshiba Corp filed Critical Toshiba Corp
Publication of DE19605254A1 publication Critical patent/DE19605254A1/de
Application granted granted Critical
Publication of DE19605254C2 publication Critical patent/DE19605254C2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

Diese Erfindung betrifft ein Verfahren zum Herstellen einer Halbleitervorrichtung mit einer dünnen Metallschicht, die als feine Verbindungsverdrahtung oder Elektrode verwendet werden kann, und Geräte zur chemischen Dampfabscheidung.
Al (Aluminium) oder eine Al-Legierung wird üblicherweise als Material für eine feine metallische Verbindungsverdrahtung in einer Halbleitervorrichtung, wie einem DRAM oder Mikro­ prozessor, verwendet. Im Hinblick auf den ansteigenden Be­ darf nach höherer Operationsgeschwindigkeit von Halbleiter­ vorrichtungen wünscht man sich für die Verbindungsverdrah­ tung ein metallisches Material mit einem niedrigeren elek­ trischen Widerstand als Al. Die RC-Verzögerung elektrischer Signale infolge einer Kapazität zwischen den feinen Verbin­ dungsverdrahtungen wird nämlich bei Operationen von Halblei­ tervorrichtungen mit hoher Geschwindigkeit zu einem Hinder­ nis, so daß die Senkung des Widerstands von Verbindungsver­ drahtungen ein wirksamer Weg zum Minimieren dieser RC-Verzö­ gerung ist. Deshalb wurde in letzter Zeit Cu-(Kupfer-)Metall mit einem niedrigeren spezifischen Widerstand (1,7 µΩ . cm) gegenüber Al-Metall (2,8 µΩ . cm) als Material für den Einsatz bei Verbindungsverdrahtungen untersucht.
Da Cu verglichen mit Al-Metall eine höhere Aktivierungsener­ gie für die Selbstdiffusion besitzt und gegenüber einem Phä­ nomen, wie der Spannungswanderung (stress-migration) oder der Elektronenwanderung (electro-migration), das durch das Diffusionsverhalten der Metallatome bewirkt wird, aus denen die Verbindungsverdrahtung besteht, sehr widerstandsfähig ist, ist es möglich, durch Verwendung von Cu die Zuverläs­ sigkeit der Verbindungsverdrahtung zu verbessern.
Trotz dieser ausgezeichneten Eigenschaften, die durch den Einsatz von Cu-Verbindungsverdrahtungen erzielt werden kön­ nen, sind die Cu-Verbindungsverdrahtungen aus folgenden Gründen jedoch noch nicht zum industriellen Einsatz gelangt. Eine feine metallische Verbindungsverdrahtung, die bei Halb­ leitervorrichtungen eingesetzt wird, wird üblicherweise durch die Prozeßschritte des Strukturierens eines Photolacks bzw. -resists mit Hilfe von Lithographie und des reaktiven Ionenätzens des dünnen Films eines Verbindungsmetalls mit Hilfe eines derart strukturierten Photolacks als Maske ge­ bildet. Jedoch besteht im Falle des Cu-Dünnfilms das Pro­ blem, daß es schwierig ist, Arbeiten auf dem Cu-Dünnfilm zum Ausbilden einer feinen Verbindungsverdrahtung durch eine Trockenätztechnik, wie reaktives Ionenätzen, durchzuführen.
Hinsichtlich dieses Problems wurde kürzlich ein Verfahren untersucht, bei dem ein Graben im voraus in einem Isolier­ film, der in einem Verbindungsverdrahtungsbereich eines Sub­ strats ausgebildet ist, gebildet wird, ein Dünnfilm aus einem Metall, wie Cu, einheitlich auf dem Substrat mit die­ sem Graben abgeschieden wird, und der gesamte Metalldünn­ film, mit Ausnahme der im Graben abgeschiedenen Teile, mit­ tels mechanischem Polieren oder chemisch-mechanischem Polie­ ren abgetragen wird, wodurch letztlich eine vergrabene Ver­ bindungsverdrahtung ausgebildet wird.
Um diese vergrabene Verbindungsverdrahtung zu erhalten, muß der Cu-Dünnfilm einheitlich im Graben vergraben sein. Bei einem physikalischen Dampfabscheide-(PVD-)Verfahren, wie dem Sputtern oder Zerstäuben, ist die Einfallsrichtung der Atome auf das Substrat, auf das die Atome abgeschieden werden sol­ len, jedoch nicht anisotrop, so daß leere Stellen oder Zwi­ schenräume mit hoher Wahrscheinlichkeit auf dem resultieren­ den Dünnfilm entstehen. Darüber hinaus besitzt ein Verbin­ dungsloch, wie ein Durchgangsloch, zum Erzielen einer elek­ trischen Verbindung zwischen den Schichten einer Mehr­ schichtzwischenverbindung üblicherweise ein hohes Seitenver­ hältnis, verglichen mit dem Graben für die Verbindungsver­ drahtung, so daß es wesentlich schwieriger ist, in einem solchen Verbindungsloch durch ein PVD-Verfahren gleichmäßig einen Cu-Dünnfilm abzuscheiden. Daher scheint das chemische Dampfabscheideverfahren (CVD), das sich für die Stufenbe­ deckung sehr gut eignet, das geeignete Verfahren zum Ab­ scheiden eines Cu-Dünnfilms zu sein.
Wie nachfolgend beschrieben, besteht aber auch beim Abschei­ den eines Cu-Dünnfilms mit Hilfe des CVD-Verfahrens ein Pro­ blem. Da das Cu in einen Siliciumoxidfilm und eine Silicium­ schicht diffundieren kann, werden die elektrischen Eigen­ schaften eines Transistors nämlich negativ beeinflußt, wenn die Cu-Atome in ein aktives Gebiet des Transistors diffun­ diert sind. Um die Cu-Atome vom Diffundieren in ein aktives Gebiet des Transistors von der Verbindungsverdrahtung abzu­ halten, wurden verschiedene Maßnahmen ergriffen, wie die Bildung eines dünnen Diffusionsunterdrückungsfilms (Sperr­ schicht) unter einer Cu-Verdrahtungsschicht oder das Einkap­ seln einer Cu-Verdrahtung mit einer Sperrschicht. Dazu wird ein Nitrid eines feuerfesten Metalls, z. B. TiN, als Sperr­ schicht verwendet. Diese Art Sperrschicht haftet jedoch nur schlecht an einem Cu-Dünnfilm. Deshalb kann ein derartiger Cu-Dünnfilm beim Polieren oder thermischen Behandeln nach dem Abscheiden des Cu-Dünnfilms leicht abgezogen werden, was zu Problemen führt.
Der Grund für dieses Abziehen des Cu-Dünnfilms wird einem Oxidfilm zugeschrieben, der unmittelbar (ein natürlicher Oxidfilm) auf der Oberfläche der darunterliegenden Schicht gebildet wird, was die Haftung zwischen dem Cu-Dünnfilm und der darunterliegenden Schicht verschlechtert. Dieser auf der Oberfläche der darunterliegenden Schicht gebildete Oxidfilm ist ein Oxid eines feuerfesten Metalls, das thermisch stabil ist. Deshalb wäre zur Erhöhung der Haftung der darunterlie­ genden Sperrschicht an die daraufliegende dünne Cu-Schicht durch eine Reaktion mit der dünnen Cu-Schicht eine Wärmebe­ handlung mit so hoher Temperatur notwendig, die bei einem gewöhnlichen Herstellungsprozeß von Halbleitervorrichtungen nicht anwendbar ist.
Als anderes Mittel zur Verbesserung der Haftung der darun­ terliegenden Sperrschicht an einer dünnen Cu-Schicht wurde ein Verfahren vorgeschlagen, bei dem der zuvor genannte na­ türliche Oxidfilm zunächst mit Hilfe eines Sputterphänomens, d. h. durch Auftreffen eines Inertgasions, wie ein Ar+-Ion, mit hoher Energie auf das Substrat abgeätzt wird und dann ein dünner Cu-Film auf der darunterliegenden Sperrschicht abgeschieden wird. Ein Beispiel eines solchen Verfahrens ist in einem Artikel von S. K. Reynolds et al. (Applied Physics Letter, Band 59, Seiten 2332-2334 (1991)) beschrieben, wobei die Oberfläche einer Ta-Unterschicht einem Sputtern mit Ar- Ionen unterworfen wird und dann Cu durch chemische Dampfab­ scheidung unter einer Bedingung, daß das Wiederoxidieren der Oberfläche der Unterschicht verhindert wird, aufgewachsen bzw. abgeschieden wird, so daß angeblich eine exzellente Haftung zwischen der Cu-Schicht und der darunterliegenden Sperrschicht erreicht wird.
Wenn jedoch die Oberfläche eines Substrats, auf das Cu abge­ schieden werden soll, zur Bildung der zuvor genannten ver­ grabenen Verbindungsverdrahtung Gräben oder Löcher zur Bil­ dung von Kontaktlöchern oder Durchgangslöchern aufweist, ist es sehr schwierig, den Oxidfilm wegen der Gerichtetheit der einfallenden Ionen gleichmäßig abzuätzen, was zu Ungleich­ mäßigkeiten beim Ätzen von tiefer gelegenen Teilen führt. Darüber hinaus besteht das weitere Problem, daß neben einem CVD-Gerät ein anderes Gerät zum Reinigen des Substrats oder zum Abscheiden der Unterschicht, welches mit der Vakuumkam­ mer des CVD-Geräts verbunden sein sollte, notwendig ist, was zur Vergrößerung der Anlage oder zur Erhöhung der Herstel­ lungsschritte führt.
Es wurde ein weiteres Verfahren zur Verbesserung der Haftung zwischen der untenliegenden Sperrschicht und der dünnen Cu- Schicht vorgeschlagen, bei dem ein anderer Dünnfilm (eine Haftschicht) zwischen die untenliegende Sperrschicht und die dünne Cu-Schicht eingefügt wird. Beispielsweise wird Ti auf der untenliegenden Sperrschicht abgeschieden, bevor Cu abge­ schieden wird. Gemäß diesem Verfahren ist es möglich, eine ausgezeichnete Haftung zwischen der untenliegenden Sperr­ schicht und der dünnen Cu-Schicht zu erhalten, wenn ein ge­ eignetes Material als Haftschicht gewählt wird. Aber auch dieses Verfahren weist die folgenden Probleme auf. Die Ober­ fläche dieser Haftschicht sollte frei von deren natürlichem Oxidfilm sein. Darüber hinaus wird das Verhältnis des Ge­ samtteilbereichs der Haftschicht und der Sperrschicht, die verglichen mit dem Teilbereich der Verbindungsverdrahtung einen relativ hohen elektrischen Widerstand besitzen, mit der Verbesserung der Feinheit der Verbindungsverdrahtungs­ struktur größer, so daß der Widerstand der Verbindungsver­ drahtung erhöht wird. Aus diesem Gesichtspunkt ist es nicht ratsam, zusätzlich eine derartige Haftschicht abzuscheiden.
Wie oben beschrieben worden ist, besteht beim üblichen Her­ stellungsverfahren eines dünnen Cu-Films für den Einsatz als feine Verbindungsverdrahtung, insbesondere beim CVD-Verfah­ ren, das Problem, daß die Haftung zwischen der untenliegen­ den Sperrschicht und dem Cu-Dünnfilm schwach ist. Wenn dar­ über hinaus der Cu-Dünnfilm für die Verdrahtung mit Hilfe des CVD-Verfahrens hergestellt wird, können Elemente, aus denen das Quellengas besteht, d. h. eine organometallische Verbindung ohne Cu, beispielsweise Elemente wie C, O und F im Falle einer organischen Kupferverbindung mit einer Hexa­ fluoracetylaceton-Gruppe, als Verunreinigungen in den re­ sultierenden Cu-Dünnfilm gemengt werden. In diesem Fall hängt die Menge dieser Verunreinigungen, die in den Cu- Dünnfilm eingemengt ist, von den verschiedenen Bedingungen zum Zeitpunkt der Bildung des Cu-Dünnfilms mit Hilfe des CVD-Verfahrens ab, insbesondere von der Filmbildungstempera­ tur. Auf alle Fälle läßt sich in diesem Fall nicht vermei­ den, daß mehrere Tausend ppm dieser Verunreinigungen in dem Cu-Dünnfilm aufgenommen werden können, auch wenn die Film­ bildungsbedingungen optimiert sind. Die Anwesenheit dieser Verunreinigungen läßt den Widerstand des Cu-Dünnfilms an­ steigen.
Der Einschluß dieser Verunreinigungen in den durch das CVD- Verfahren hergestellten Cu-Dünnfilm ergibt sich durch den Einbau von Elementen, die am Liganden einer organischen Ver­ bindung, aus der sich das CVD-Quellengas zusammensetzt, wie beispielsweise C oder O, in den Cu-Dünnfilm während der che­ mischen Dampfabscheidung des Cu. Deshalb wurden die Anstren­ gungen zum Reinigen des CVD-Cu-Dünnfilms darauf konzen­ triert, die Molekularstruktur der organischen Kupferverbin­ dung, aus der das CVD-Quellengas besteht, zu modifizieren. Insbesondere wurde die Auswahl einer organischen Kupferver­ bindung als Quellengas, deren Ligand oder CVD-Reaktionspro­ dukt einen relativ hohen Dampfdruck besitzen, untersucht, um die Elemente, die an dem Liganden einer organischen Verbin­ dung hängen, vom Einmischen in einen resultierenden Cu-Dünn­ film abzuhalten. Andererseits wurde die Modifikation einer organischen Kupferverbindung zur Senkung der Filmbildungs­ temperatur untersucht, um den Einbau von Verunreinigungen infolge thermischer Zersetzung des Liganden während der che­ mischen Dampfabscheidung zu verhindern.
Als Ergebnis wurde berichtet, daß der Einsatz einer organi­ schen Verbindung mit Hexafluoracetylacetonatkupfer (mono­ valente Kupferverbindung) mit verschiedenen, anhaftenden, neutralen Olefinliganden oder Alkinliganden als CVD-Quel­ lengas zur Gewinnung eines Kupferfilms geeignet ist, der sich durch Stufenbedeckung und verschiedene andere Eigen­ schaften auszeichnet. Ein typisches Beispiel einer solchen organischen Kupferverbindung, z. B. (Hfac)Cu(tmvs) (Hexa­ fluoracetylacetonat-Trimethylvinylsilan-Kupfer), wird in einem Artikel von J.A.T. Norman et al. (Journal de Phisique IV, Colloque C2, Seiten 271-278 (1991)) beschrieben.
Gemäß diesem Artikel werden die Verunreinigungselemente in einem Cu-Film mit Hilfe der Auger-Elektronenspektroskopie (AES) analysiert. Bei einem solchen Analyseverfahren ist die Detektionsgrenze von Verunreinigungen, wie C, F und O, al­ lenfalls etwa 1%. Wenn jedoch solche Verunreinigungen, wie nachfolgend beschrieben wird, in den von den Erfindern durchgeführten Experimenten mit Hilfe von Sekundärionenmas­ senspektrometrie (SIMS) analysiert werden, die für das De­ tektieren von Verunreinigungen empfindlicher als die AES ist, können mehrere Tausend ppm C, F und O, die als Verun­ reinigungen in den Cu-Dünnfilm eingemengt sind, ermittelt werden.
Darüber hinaus wurde der spezifische elektrische Widerstand des Cu-Dünnfilms zu 2,0 µΩ . cm ermittelt, was 10% höher ist als im Kupferkörper. Aus der obigen Darstellung geht hervor, daß es nach wie vor mit der üblichen CVD-Technik schwierig ist, einen Cu-Film mit hoher Reinheit und niedrigem spezifi­ schen elektrischen Widerstand herzustellen.
Des weiteren bedeckt sich die Oberfläche des für die Ver­ drahtung benutzten und auf einer Sperrschicht ausgebildeten Kupfermetalls, wie oben erläutert, generell mit einem natür­ lichen Oxidfilm. Beim Verfahren zur Bildung einer Kupfer­ schicht durch ein thermisches CVD-Verfahren mit der zuvor genannten Cu-Komplexverbindung findet die Zersetzung der Cu- Komplexverbindung kaum auf der Oberfläche der Unterschicht statt, wenn die Oberfläche der Unterschicht aus einem Iso­ liermaterial besteht. Deshalb werden Cu-Keime bzw. -Kerne im Anfangsstadium der Cu-Abscheidung in einem geringeren Maß auf der Oberfläche der Unterschicht, die mit der neutralen Oxidschicht bedeckt ist, erzeugt, als dies auf der Oberflä­ che eines Metalls der Fall ist, das nicht mit dem neutralen Oxidfilm bedeckt ist. Folglich ist die Dicke der Cu-Keime im Anfangsstadium verglichen mit dem Fall, daß die Cu-Abschei­ dung mit anderen Mitteln, wie beispielsweise das PVD-Verfah­ ren erfolgt, vermindert, und gleichzeitig würde die für die Kupferkeime notwendige Kupfermenge, damit beim Abscheiden eine kontinuierliche Schicht entsteht, entsprechend erhöht werden.
Das CVD-Verfahren ist mit dem Nachteil behaftet, daß es ge­ genüber dem PVD-Verfahren sehr schwierig ist, einen dünnen, zusammenhängenden Film herzustellen. Aus dem gleichen Grund stellt sich beim CVD-Verfahren das ernsthafte Problem ein, daß die Oberflächeneigenschaften des durch das CVD-Verfahren abgeschiedenen Cu-Dünnfilms, verglichen mit denen beim PVD- Verfahren, sehr schlecht sind. Wegen dieser Probleme ist es sehr schwierig, das CVD-Verfahren als Mittel zur Abscheidung von Cu für die Bildung einer feinen Verbindungsverdrahtung trotz seiner Vorteile, wie etwa der ausgezeichneten Stufen­ bedeckungsfähigkeit usw., einzusetzen.
Zur Lösung der vorgenannten Probleme wurde auch das folgende Verfahren vorgeschlagen, bei dem ein Cu-Dünnfilm mit ausge­ zeichneter Glätte erhalten wird. Nach diesem Verfahren wird ein von einer Oberflächenoxidschicht freier metallischer Film als Unterlage oder Unterschicht zum Abscheiden einer Cu-Schicht hergestellt, wie es in dem zuvor genannten Arti­ kel von S. K. Reynolds beschrieben ist. Bei diesem Verfahren wird zunächst ein Ta-Dünnfilm als Sperrschicht abgeschieden, und die Oxidschicht auf der Oberfläche der Ta-Schicht wird unmittelbar vor der Abscheidung des Cu nach dem CVD-Verfah­ ren mittels Sputtern mit Ar-Ionen unter der Bedingung, daß ein Wiederoxidieren der Ta-Oberfläche gehemmt wird, abge­ ätzt. Bei diesem Verfahren wird die Dichte der Cu-Keime, die auf der Oberfläche der Unterschicht zu erzeugen sind, wäh­ rend des CVD-Prozesses erhöht, so daß beispielsweise ein Cu- Dünnfilm der Dicke von 250 nm mit ausgezeichneter Glätte erhalten werden kann.
Daneben wird ein anderes Verfahren vorgestellt, bei dem das Sputter-Ätzen nicht, wie in dem Artikel von N. Awaya (VMIC- Konferenz, Seite 254 (1991)) beschrieben, eingesetzt wird. Gemäß diesem Verfahren wird ein dünner Cu-Film durch Sput­ tern auf einer Sperrschicht abgeschieden, um einen untenlie­ genden Cu-Film zu bilden, der nachfolgend einer reduzieren­ den Wasserstoffatmosphäre ausgesetzt wird, um die auf der Oberfläche des untenliegenden Cu-Films gebildete Cu-Oxid­ schicht zu reduzieren, damit die Dichte der Cu-Keime, die auf der Oberfläche des untenliegenden Cu-Films zu bilden sind, während des CVD-Prozesses erhöht wird, wodurch ein Cu- Dünnfilm mit ausgezeichneter Glätte erhalten werden kann.
Entsprechend diesen Verfahren ist jedoch zur Sicherstellung der Glätte eines mit Hilfe des CVD-Verfahrens herzustellen­ den Cu-Dünnfilms ein Sputterschritt zur Vorbehandlung der Unterschicht oder zum Abscheiden der untenliegenden oder Un­ terschicht zusätzlich zum CVD-Schritt notwendig, womit die Herstellungsschritte komplizierter werden. Wenn darüber hin­ aus in der untenliegenden Schicht ein feiner Graben zur Bil­ dung einer vergrabenen Verbindungsverdrahtung oder ein fei­ nes Loch (ein Durchgangsloch) zum Erzielen einer elektri­ schen Verbindung zwischen den Schichten einer Mehrschicht­ verdrahtung enthalten ist, entstehen beim Einsatz der vorge­ nannten Verfahren, die sich des Sputterns bedienen, ver­ schiedene Probleme. Beispielsweise ist es mit Hilfe des Sputterns schwierig, eine Schicht von/auf die Seitenwand oder vom/auf den Grund eines Grabens oder von einem/in ein Loch mit hohem Seitenverhältnis gleichmäßig abzutragen oder abzuscheiden. Folglich kann die Glätte des abgeschiedenen Cu-Dünnfilms im Graben oder Loch mit hohem Seitenverhältnis beeinträchtigt sein, oder Leerstellen können im Graben oder Loch verbleiben, auch wenn es möglich ist, einen glatten Cu- Dünnfilm auf einer flachen Unterschicht mit Hilfe des CVD- Verfahrens herzustellen.
Die Wirkungen des zugesetzten Wassers (H2O) oder Sauerstoffs (O2) auf ein Quellengas beim Cu-CVD-Verfahren werden in den folgenden Artikeln beschrieben. Im Artikel von A.V. Gelatos et al. (MRS BULLETIN, Band XIX, Nr. 8, Seiten 49-54 (1994)) wird die Zugabe von H2O zum CVD-Quellengas mit (Hfac)Cu(tmvs) beschrieben. Demnach kann angeblich ein Gra­ ben mit einem Seitenverhältnis von etwa 3 wirkungsvoll mit ausgezeichneter Stufenbedeckung vergraben bzw. bedeckt wer­ den. Es wird angenommen, daß sich die Zugabe von H2O in der Erhöhung der Ausbildungsdichte von Cu-Kristallisationskernen während der Cu-Abscheidung auswirkt. Da sich jedoch ein Cu- Oxid in einem reduzierenden Bereich durch hinreichende Mini­ mierung des H2O-Partialdrucks ausbilden kann, wenn die CVD nicht durchgeführt wird, besteht das Problem, daß der elek­ trische Widerstand des resultierenden Cu-Films um das 4fache gegenüber dem eines Cu-Films, der ohne die Zugabe von H2O hergestellt ist, erhöht werden kann.
Andererseits wird im Artikel von Z. Hammadi et al. (J. Appl. Phys., Band 73, Seiten S213-S215 (1993)) die Zugabe von O2 zum CVD-Quellengas mit Cu(acac)2 beschrieben. Demnach kann angeblich das Abscheiden eines Cu-Dünnfilms auf einem p-do­ tierten Siliciumsubstrat nicht erfolgen, wenn während des CVD-Schritts nicht kontinuierlich O2 dem Quellengas zugege­ ben wird. Der elektrische Widerstand des resultierenden Cu- Films bei Raumtemperatur ist jedoch zweimal so hoch wie der eines Cu-Films, der durch physikalische Verdampfung gebildet worden ist, obwohl der elektrische Widerstand des resultie­ renden Cu-Films ebenso wie dessen Leitfähigkeit auch von der Temperatur abhängt, so daß der Vorteil der Cu-Verdrahtung nicht genutzt werden kann, der darin besteht, daß der Kup­ ferverdrahtung verglichen mit einer Aluminiumverdrahtung ein niedriger spezifischer Widerstand eigen ist.
Wie oben beschrieben worden ist, besitzt ein mittels eines CVD-Verfahrens hergestellter Kupferdünnfilm, der im Rahmen der Herstellung einer Halbleitervorrichtung mit einem metal­ lischen Dünnfilm für Verbindungsverdrahtungen oder Elektro­ den entsteht, eine schlechte Haftung gegenüber einer darun­ terliegenden Sperrschicht, auch wenn der durch das CVD-Ver­ fahren hergestellte Kupferdünnfilm bezüglich der Stufenbe­ deckung und der besseren Eignung für feine Kupferverdrahtung gegenüber dem, der durch ein PVD-Verfahren hergestellt ist, überlegen ist. Andererseits können bei den üblichen Verfah­ ren, die zum Lösen der obigen Probleme eingesetzt werden, neue Probleme entstehen, indem nämlich Herstellungsgeräte größer und komplizierter oder der elektrische Widerstand der Verdrahtung höher werden können.
Wenn aber ein natürlicher Oxidfilm auf der Oberfläche einer eine Unterschicht darstellenden Sperrschicht vor der Ab­ scheidung einer Cu-Schicht vorhanden ist, würde die Oberflä­ chenglätte der abgeschiedenen Cu-Schicht schlecht werden. Gemäß den üblichen, zur Lösung der obigen Probleme angepaß­ ten Verfahren würden neue Probleme (der Prozeß zur Herstel­ lung von Verbindungsverdrahtungen kann komplizierter werden) infolge eines zusätzlichen Herstellungsschritts, wie bei­ spielsweise eines Schritts des Entfernens des natürlichen Oxidfilms oder eines Schritts des Über- bzw. Auflagerns eines dünnen Films, der frei von natürlicher Oxidation auf der Oberfläche der Sperrschicht ist, auftreten.
Andererseits würde gemäß dem üblichen Verfahren, bei dem ein Quellengas zusammen mit H2O oder O2 zugegeben wird, der elektrische Widerstand des resultierenden Cu-Dünnfilms der­ art erhöht, daß der Vorteil des Cu-Dünnfilms als Material für eine Verbindungsverdrahtung mit niedrigem Widerstand verloren wäre.
In den obigen Ausführungen wurden verschiedene Probleme beim Herstellungsprozeß von Cu-Dünnfilmen mit Hilfe des CVD-Ver­ fahrens diskutiert. Es bestehen jedoch auch bezüglich des CVD-Geräts, das zur Ausführung eines solchen CVD-Verfahrens verwendet werden soll, verschiedene Probleme. Zunächst kann festgestellt werden, daß eine monovalente Kupferverbindung, die durch das vorgenannte (hfac)Cu+1(tmvs) dargestellt ist, sich in folgender Hinsicht als CVD-Quellengas hervorragend eignet. Die Cu-Verbindung hat nämlich einen derartigen Dampfdruck, wie er für das CVD-Quellengas notwendig ist und mit ihr läßt sich eine Cu-Filmabscheidung bei einer niedri­ gen Temperatur von 2000°C oder weniger erreichen, was für die Oberflächenglätte vergleichsweise wichtig ist.
Nach einem Artikel von J.A.T. Norman et al. (Journal de Physique C2 (1991), Seiten 271-278) ist das (hfac)Cu+1(tmvs) dafür bekannt, daß sich auf der Oberfläche eines Substrats die folgende Reaktion zur Ausfällung von Cu- Atomen ergibt.
(hfac)Cu+1(tmvs) → Cu + (hfac)2Cu+2(tmvs) + 2(tmvs)
Dabei bedeutet (hfac)2Cu+2 eine zweiwertige Kupferverbin­ dung, die bei Raumtemperatur ein grüner Feststoff ist.
Da außerdem die Zersetzungsreaktion des (hfac)Cu+1(tmvs) auch bei niedriger Temperatur von beispielsweise 160°C fort­ schreitet, besteht der Vorteil der Cu-Verbindung darin, daß es möglich ist, die Cu-Abscheidung auf der Oberfläche eines Substrats bei niedriger Temperatur, wie oben erwähnt, durch­ zuführen. Es besteht jedoch bei der Verwendung dieser Cu- Verbindung das Problem, daß die Abscheidung von Kupfer auch im Randbereich um das Substrat, das erhitzt worden ist, auf­ treten kann. Da beispielsweise der Randbereich um eine Heiz­ vorrichtung, die zum Heizen eines Wafers angeordnet ist, im gleichen Maß wie der Wafer selbst erhitzt wird, tritt auch eine Abscheidung von Kupfer in diesem umgebenden Randbereich ein. Wenn die Abscheidung von Kupfer auf dem Wafer wieder­ holt wird, akkumuliert sich die Menge des abgeschiedenen Cu außerhalb der Oberfläche des Wafers, so daß sich die Dicke der Kupferabscheidung erhöht, und es schließlich zum Abschä­ len dieses abgeschiedenen Cu-Films kommt. Der so abgeschälte Cu-Film kann die Oberfläche des Wafers kontaminieren.
Insbesondere ist ein Haltering, der zum Abdecken des äußeren Randbereichs eines Wafers zwecks Halterung des Wafers oder Verhinderung einer Cu-Abscheidung montiert ist, während der CVD-Behandlung äußerst empfindlich gegenüber der Cu-Abschei­ dung, da der Haltering in direktem Kontakt mit dem Wafer steht. Da dieser Haltering darüber hinaus generell aus einem Isoliermaterial, wie Quarzglas, besteht, läßt sich der so abgeschiedene CVD-Cu-Film noch leichter abschälen, was zu einem Problem führt. Es ist eine bekannte Tatsache, daß ein auf ein Isoliersubstrat abgeschiedener CVD-Cu-Film eine schwache Haftung besitzt und leicht abgezogen werden kann. Deshalb ist es notwendig, den Betrieb eines CVD-Geräts zu unterbrechen, bevor sich dieser abgeschiedene Film abschält, und diesen überflüssigen Cu-Film abzuwaschen. Eine derartige Säuberungsaktion beeinträchtigt jedoch die Betriebsgeschwin­ digkeit des CVD-Geräts extrem, so daß die Produktivität für die Halbleitervorrichtung negativ beeinflußt wird.
Es besteht auch ein Problem der Abtrennung eines Reaktions­ produkts, d. h. des (hfac)2Cu+2 von der Reaktion des oben er­ wähnten Quellengases. Wie im Artikel von Scott K. Reynolds et al. (Appl. Phys. Lett. 59, Seiten 2332-2334 (1991)) offenbart ist, ergäbe sich auf der Oberfläche des Wafers oder auf der Oberfläche des umgebenden Bereichs um den Wafer weder eine (Ab)trennung noch ein Zusammenschluß bzw. eine Anhäufung des Reaktionsprodukts, da das (hfac)2Cu+2 bei einer Temperatur von 80°C etwa einen Dampfdruck von 1 Torr besitzt und verglichen mit (Hfac)Cu(tmvs) thermisch stabil ist, d. h., wie vorher erwähnt, während des Niedertemperatur- CVD-Schritts kaum zersetzbar ist.
Wenn jedoch eine kühle Oberfläche vorhanden ist, die auf Raumtemperatur oder darunter abgekühlt worden ist, bei­ spielsweise eine Rohrleitung, die zwischen einer CVD-Reak­ tionskammer und einer Vakuumpumpe zum Ausstoß eines Quellen­ gases angebracht ist, kann eine Abtrennung des Reaktionspro­ dukts von der Oberfläche der Rohrleitung erfolgen, so daß feste (hfac)2Cu+2-Mikrokristalle ausfallen. Die Anwesenheit von (hfac)2Cu+2-Mikrokristallen kann zur Kontamination der Waferoberfläche führen oder die Präzisionsmaschinenteile der Vakuumpumpe beschädigen. Deshalb ist ein periodisches Reini­ gen des Geräts mit Entfernung des so ausgefallenen (hfac)2Cu+2 notwendig. Eine solche Reinigungsaktion beein­ trächtigt jedoch die Betriebsgeschwindigkeit des CVD-Geräts extrem und beeinflußt die Produktivität für Halbleitervor­ richtungen negativ.
Zum Entfernen des (hfac)2Cu+2 ist im Artikel von A. Jain et al. (J. Electrochem. Soc. 140 (1993), Seiten 1434-1439) ein Verfahren beschrieben, bei dem ein mit flüssigem Stick­ stoff gekühlter Abscheider zwischen einer CVD-Reaktionskam­ mer und einer Vakuumpumpe angebracht ist, um absichtlich die Reaktionsprodukte, wie (hfac)2Cu+2 an der Oberfläche des Ab­ scheiders adsorbieren zu lassen. Mit diesem Verfahren ist es möglich, zu verhindern, daß das feste (hfac)2Cu+2 in die Pumpe eindringt. Bei diesem Verfahren ist jedoch ein perio­ discher Austausch oder eine Reinigung des Abscheiders not­ wendig, so daß wie beim vorherigen Verfahren die Produktivi­ tät für die Halbleitervorrichtungen negativ beeinflußt wird.
Wie oben beschrieben worden ist, kann bei der Herstellung einer Halbleitervorrichtung, die mit einem metallischen Dünnfilm, wie einer Verbindungsverdrahtung oder Elektroden, ausgestattet ist, ein Kupferdünnfilm in unerwünschter Weise auf irgendeinem beheizten Teil einer CVD-Reaktionskammer ab­ geschieden werden, so daß Staub aufgrund des Abschälens des Kupferdünnfilms erzeugt wird, auch wenn der mittels des CVD- Verfahrens hergestellte Kupferdünnfilm bezüglich der Stufen­ bedeckung und der Eignung zur Bildung einer feinen Kupfer­ verdrahtung verglichen mit dem, der mittels eines CVD-Ver­ fahrens hergestellt ist, überlegen ist. Andererseits ergeben die üblichen zur Lösung obiger Probleme eingesetzten Verfah­ ren die neuen Probleme, daß ein periodisches Reinigen im In­ neren des CVD-Geräts notwendig ist, so daß die Produktivität für die Halbleitervorrichtung negativ beeinflußt wird.
Andererseits können beim Einsatz einer monovalenten bzw. einwertigen Kupferverbindung mit ausgezeichneter Eignung als CVD-Quellengas im Inneren einer Vakuumpumpe Reaktionspro­ dukte abgetrennt werden, so daß die Vakuumpumpe beschädigt wird. Des weiteren ist bei dem üblichen zur Lösung des obi­ gen Problems eingesetzten Verfahren, bei dem ein gekühlter Abscheider oder eine Kühlfalle zwischen einer CVD-Reaktions­ kammer und einer Vakuumpumpe zum Abscheiden und Entfernen von Reaktionsprodukten angebracht ist, ein periodisches Austauschen oder Reinigen des Abscheiders notwendig, so daß das neue Problem auftritt, daß die Produktivität für die Halbleitervorrichtung negativ beeinflußt wird.
Wenn eine CVD-Technik, die - wie oben beschrieben - eine ausgezeichnete Filmbildungseignung besitzt, beispielsweise zur Herstellung einer Halbleitervorrichtung industriell ein­ gesetzt werden soll, muß eine stabile Versorgung des Quel­ lengases gewährleistet sein, so daß eine gewisse Stabilität des Filmbildungsschritts erreicht werden kann. Beim CVD- Verfahren mit einer Flüssigkeitsquelle wurde üblicherweise das folgende Verfahren zum Versorgen der CVD-Reaktionskammer mit der Quelle angewandt. Ein mit einer flüssigen Quelle gefülltes Gefäß wird erhitzt, um den Dampfdruck des Quellen­ gases zu dessen Verdampfung zu erhöhen. Gleichzeitig wird das Quellengas mit einem Inertgas, wie Ar, durchsprudelt, so daß die Verdampfung des Quellengases beschleunigt wird. Das das Inertgas begleitende Quellengas wird dann in die CVD- Reaktionskammer eingeleitet (Brodel-(bubbling-)Verfahren).
Bei einem anderen üblichen Verfahren wird eine Mikropumpe oder ein Flüssigkeitsmassendurchflußregler, die/der eine be­ stimmte Menge an Flüssigkeit abgeben oder liefern kann, mit einem Verdampfer kombiniert, der die gelieferte Flüssigkeit verdampfen kann, und das resultierende Quellengas wird in eine CVD-Reaktionskammer eingeleitet (Flüssigkeitsabgabe­ verfahren). Ein Beispiel dieses Flüssigkeitsabgabeverfah­ rens, wie es für den Cu-CVD-Prozeß verwendet wird, ist im Artikel von A. E. Kaloyeros et al. (MRS BULLETIN/JUNE 1993, Seiten 22-29 (1993)) beschrieben.
Da die Flüssigkeitsquelle jedoch nach dem Brodelverfah­ ren erwärmt wird, um den Dampfdruck des Quellengases zu steigern, neigt die Verbindung der Quelle zur thermi­ schen Zersetzung oder Polymerisation im Brodelgefäß, so daß sich der Dampfdruck verändern kann oder sich die Verbindung in eine andere Verbindung ändern kann, wel­ che für den Gebrauch als CVD-Quelle unerwünscht ist. Darüber hinaus kann der Einsatz einer Verbindung mit einem niedrigen Dampfdruck das Problem mit sich brin­ gen, daß die Versorgung mit einer großen Menge Quellen­ gas schwierig werden kann.
Andererseits besitzt die zuvor genannte Flüssigkeitsab­ gabemethode den Vorteil, daß eine große Menge an Quel­ lengas abgegeben oder geliefert werden kann, im Gegen­ satz zum Brodelverfahren, und die Quelle bei Raumtempe­ ratur gehalten werden kann, bis sie tatsächlich an den Verdampfer geliefert wird, so daß ein Denaturieren der Quelle verhindert werden kann. Da jedoch ein Ein/Aus- Ventil o. dgl., das zur Flüssigkeitsabgabe an den be­ heizten Verdampfer angebracht ist, ebenfalls geheizt wird, kann die Quelle an diesem Ein/Aus-Ventil denatu­ riert werden, was zur Verstopfung dieses Ein/Aus-Ven­ tils mit geringer Leitung oder zur Fehlfunktion des Ein/Aus-Ventils führen kann.
Weitere chemische Dampfabscheidungsverfahren zur Her­ stellung von Kupfer-Dünnfilmen sind aus FARKAS, J., et al., "FTIR Studies of the Adsorption/Desorption Beha­ vior of Cu Chemical Vapor Deposition Precursors on Si­ lica", US-Z.: J. Electrochem. Soc., Vol. 141, No. 12, Dezember 1994, Seiten 3539-3546 und Seiten 3547 - 3555, sowie aus JAIN, A., et al. "Control of selectivi­ ty during chemical vapor deposition of copper from cop­ per (I) compounds silicon dioxide surface modificati­ on", US-Z: Appl. Phys. Lett. 61(22), November 1992, Seiten 2662-2664, bekannt. Die US 5 316 974 zeigt ein Verfahren zur Herstellung einer Kupfer-Metallisierung in einer integrierten Schaltung mit einem Zweischicht­ aufbau.
Schließlich ist aus der WO 91/17284 A ein chemisches Dampfabscheidungsverfahren bekannt, bei dem zunächst ein Zwischendünnfilm auf einem Substrat gebildet wird, der entweder ein Diffusionsverhinderungs-Dünnfilm oder ein eine Oberflächenhaftung fördernder Dünnfilm ist. Anschließend wird eine erste Dampfabscheidung über dem Zwischendünnfilm durchgeführt, um dadurch einen ersten leitenden Dünnfilm, der Kupfer als eine Hauptkomponente enthält, aufwachsen zu lassen. Schließlich wird eine zweite Dampfabscheidung durch Einspeisen des Quellenga­ ses ohne Einspeisen eines Oxidiergases durchgeführt, um dadurch einen zweiten hauptsächlich Kupfer enthaltenden leitenden Dünnfilm durch chemische Dampfabscheidung aufwachsen zu lassen. Weiterhin zeigt diese Druck­ schrift ein gattungsgemäßes chemisches Dampfabschei­ dungsgerät mit einer chemischen Dampfreaktionskammer zum Aufnehmen eines Substrates und einer Verdampfungs­ kammer, die mit der chemischen Dampfreaktionskammer in Verbindung steht, um eine Substanz zu verdampfen.
ZUSAMMENFASSUNG DER ERFINDUNG
Es ist eine Aufgabe der vorliegenden Erfindung, ein Herstellungsverfahren für eine Halbleitervorrichtung bereitzustellen, das, ohne eine Vergrößerung oder Kom­ plikation des Herstellungsgeräts mit sich zu bringen, durchgeführt werden kann, und bei dem ein Kupferdünn­ film mit sehr hoher Reinheit auf einer Unterschicht mit Hilfe eines CVD-Verfahrens abgeschieden werden kann, wobei eine ausgezeich­ nete Haftung mit der Unterschicht ohne wesentliche Erhöhung des Widerstands einer Verbindungsverdrahtung, die aus dem Kupferdünnfilm gebildet wird, erreicht wird.
Eine andere Aufgabe dieser Erfindung ist die Bereitstellung eines Geräts zum chemischen Dampfabscheiden, bei dem die Betriebsgeschwindigkeit durch Unterbindung des Abschälens eines auf einem beheizten Teil des Geräts ungewollt abge­ schiedenen Cu-Films verbessert werden kann, wobei ein Wafer nicht mit dem Staub des Cu-Films kontaminiert wird, und die Häufigkeit von Reinigungen im Inneren des Geräts minimiert ist.
Eine andere Aufgabe dieser Erfindung ist die Bereitstellung eines Geräts zur chemischen Dampfabscheidung, bei dem unter Sicherstellung einer ausgezeichneten Regel- bzw. Steuerbar­ keit und Stabilität eine große Menge an Quellengas an eine CVD-Reaktionskammer abgegeben werden kann, ohne daß ein De­ naturieren des Quellengases in einem Speichertank oder ein Verstopfen eines Ein/Aus-Ventils, das an den Einlaß eines Verdampfers montiert ist, durch zersetzte Materialien statt­ findet.
Die obengenannten Aufgaben werden erfindungsgemäß durch die in den Ansprüchen 1 und 11 beschriebenen Verfahren bzw. durch die in den Ansprüchen 23, 28, 32 und 37 beschriebenen Geräte gelöst.
Nachfolgend wird die Erfindung anhand der Zeichnungen näher erläutert. Es zeigen:
Fig. 1A bis 1C Schnittdarstellungen, die Herstellungs­ schritte einer Halbleitervorrichtung gemäß einem ersten Ausführungsbeispiel der Erfindung veran­ schaulichen;
Fig. 2A und 2B Kurvendarstellungen, die jeweils Ergebnisse einer SIMS-Analyse in der Fremdstoffkonzentration in Dickenrichtung von Cu-Filmen von Proben in einem Beispiel und einem Vergleichsbeispiel zeigen;
Fig. 3A bis 3D perspektivische Darstellungen, die die Her­ stellungsschritte einer Halbleitervorrichtung ge­ mäß einem zweiten Ausführungsbeispiel dieser Er­ findung veranschaulichen;
Fig. 4 eine Kurvendarstellung, die die Fremdstoffkonzen­ tration in einem Cu-Dünnfilm gemäß einem vierten Ausführungsbeispiel dieser Erfindung veranschau­ licht;
Fig. 5 eine Kurvendarstellung, die die Abhängigkeit der Fremdstoffkonzentration in der Cu-Schicht f2 von der Sauerstoffkonzentration in der Cu-Schicht f1 veranschaulicht, wobei die Cu-Schicht f2 mittels eines CVD-Verfahrens (CVD = chemische Dampfab­ scheidung) abgeschieden ist, das lediglich eine Gasquelle bei verschiedenen Arten der Cu-Schicht f1 verwendet, deren jede eine verschiedene Sauer­ stoffkonzentration als ein Beispiel einer darun­ terliegenden Schicht hat;
Fig. 6 eine Schnittdarstellung, die schematisch ein che­ misches Dampfabscheidungsgerät dieser Erfindung zeigt;
Fig. 7A und 7B Schnittdarstellungen, die schematisch ein ab­ gewandeltes Beispiel eines chemischen Dampfab­ scheidungsgeräts dieser Erfindung zeigen;
Fig. 8A bis 8C Schnittdarstellungen, die ein CVD-Quellen- Versorgungsgerät veranschaulichen, das in einem chemischen Dampfabscheidungsgerät dieser Erfindung zu verwenden ist;
Fig. 9 eine perspektivische Darstellung, die ein Umlauf­ gerät veranschaulicht, das mit einer Vielzahl von Zylindern versehen ist;
Fig. 10 schematisch ein CVD-Gerät, das mit dem in den Fig. 8A bis 8C gezeigten CVD-Quellenversorgungsgerät ausgestattet ist;
Fig. 11 eine Schnittdarstellung, die ein anderes Beispiel eines CVD-Quellenversorgungsgeräts veranschau­ licht, das in einem chemischen Dampfabscheidungs­ gerät dieser Erfindung zu verwenden ist;
Fig. 12 eine Kurvendarstellung, die eine Zeittabelle zeigt, wobei die Filmbildung von Cu mittels des in Fig. 10 gezeigten CVD-Geräts durchgeführt wird;
Fig. 13 eine Kurvendarstellung, die eine Beziehung zwi­ schen der Filmdicke von aufgetragenem Cu und der Anzahl der Injektion eines Quellengases zeigt;
Fig. 14 schematisch ein CVD-Gerät gemäß einem anderen Bei­ spiel dieser Erfindung;
Fig. 15 eine perspektivische Darstellung, die schematisch eine Reaktorstruktur veranschaulicht, wobei eine Vielzahl von Reaktionsoberflächen, die jeweils eine konusförmige Gestalt haben, einander überla­ gert sind;
Fig. 16 eine perspektivische Darstellung, die schematisch eine Reaktorstruktur veranschaulicht, wobei Reak­ tionsoberflächen in einem porösen Körper gebildet sind;
Fig. 17A bis 17C Schnittdarstellungen, die jeweils sche­ matisch einen Scheibenhaltering zeigen, der in einem chemischen Dampfabscheidungsgerät gemäß einem anderen Ausführungsbeispiel dieser Erfindung zu verwenden ist;
Fig. 18 eine Kurvendarstellung, die eine Beziehung zwi­ schen der Filmdicke von Cu, das auf jedem von drei Arten von Scheibenhalteringen abgeschieden ist, und dem Reflexionsvermögen des Cu-Films zeigt; und
Fig. 19 eine Schnittdarstellung, die schematisch ein CVD- Gerät veranschaulicht, das mit einer Vorrichtung zum Entfernen von Cu-CVD-Reaktionsprodukten verse­ hen ist.
Das Verfahren zum Erzeugen eines Dünnfilms, der als eine Hauptkomponente Kupfer auf einem Substrat enthält, mittels des chemischen Dampfabscheidungsverfahrens gemäß dieser Er­ findung zeichnet sich dadurch aus, daß ein Quellengas mit einer kupferhaltigen organometallischen Verbindung und ein Oxidiergas über dem Substrat zuerst eingeführt werden, und daß dann lediglich das Quellengas fortgesetzt darauf zuge­ führt wird, wobei die Zufuhr des Oxidiergases suspendiert ist, um so einen Dünnfilm, der hauptsächlich Kupfer enthält, auf dem Substrat aufwachsen zu lassen. Weiterhin zeichnet sich das Verfahren dieser Erfindung dadurch aus, daß der sich ergebende Dünnfilm danach bei einer Temperatur, die hö­ her ist als diejenige, die bei der chemischen Dampfabschei­ dung verwendet wird, wärmebehandelt wird.
Es folgen bevorzugte Ausführungsbeispiele des erfindungsge­ mäßen Verfahrens.
  • 1. Als ein Diffusionsverhinderungs-Dünnfilm werden hoch­ schmelzende Metalle, wie beispielsweise Nb, Ta, Ti und W, Nitride der hochschmelzenden Metalle, wie beispiels­ weise TiN, WN, Silicide der hochschmelzenden Metalle, wie beispielsweise TaSi2 und eine Ternärlegierung mit einem hochschmelzenden Metall, Si und N verwendet.
  • 2. Als ein Oxidiergas wird O2, O3 oder H2O verwendet.
  • 3. Die Temperatur des Substrats zu der Zeit des Aufwach­ sens des Kupfer-Dünnfilms wird auf 2000°C oder niedriger eingestellt.
  • 4. Als eine organische Kupferverbindung, die ein Quellen­ gas bildet, wird eine organometallische Verbindung mit einer Molekularstruktur mit einer Lewis-Gruppe, die über ein Sauerstoffatom mit einem Kupferatom verkettet ist, verwendet. Insbesondere wird eine β-Diketonat-Ver­ bindung, wie beispielsweise (Hfac)Cu(tmvs) {[(CF3CO)2CH]Cu(C5H12Si) : Hexafluoracetylacetonat-Trime­ thylvinylsilan-Kupfer} als eine organische Kupferver­ bindung verwendet.
  • 5. Ein Oxidiergas, wie beispielsweise O2, wird zu der CVD- Reaktionskammer zusammen mit einem Quellengas für eine vorbestimmte Zeitdauer ab dem Beginn der Abscheidung eingespeist, und dann wird die Zufuhr des Oxidiergases gestoppt, und lediglich das Quellengas wird zugeführt (das Oxidiergas wird nicht zugeführt), um die thermi­ sche CVD hiervon durchzuführen, bis die Filmdicke des Cu-Films auf einen vorbestimmten Wert angewachsen ist. Bei dieser Gelegenheit ist es möglich, ein Trägergas für Blasenbildung oder Transport des Quellengases zu verwenden.
  • 6. Nach Abschluß der Abscheidung des Cu-Films wird der Cu- Film einer Wärmebehandlung in einem Vakuum oder einer reduzierenden Atmosphäre, wie beispielsweise einem H2- Gas, bei einer Temperatur unterworfen, die zum Bilden eines Cu-Films geeignet ist, vorzugsweise bei 300°C oder mehr und noch vorzugsweiser bei 300-500°C.
  • 7. Cu wird in einem Graben vergraben, der in einem Iso­ lierfilm ausgebildet ist, indem das Cu-Abscheidungsver­ fahren dieser Erfindung verwendet wird, und dann wird überflüssiges Cu, das außerhalb des Grabens abgeschie­ den ist, mittels eines chemisch-mechanischen Polierens oder eines mechanischen Polierens entfernt, um eine vergrabene Cu-Verdrahtung oder Elektrode zu erhalten. Alternativ wird Cu in einem Loch vergraben, das in einem Isolierfilm ausgebildet ist, indem das Cu-Ab­ scheidungsverfahren dieser Erfindung verwendet wird, und dann wird überflüssiges Cu, das außerhalb des Lo­ ches abgeschieden ist, mittels des chemisch-mechani­ schen Polierens oder des mechanischen Polierens ent­ fernt, um einen Durchgangsstöpsel oder einen Kontakt­ stöpsel zu erhalten.
  • 8. Die Zufuhr des Oxidiergases zu der CVD-Reaktionskammer wird derart gesteuert, daß das Partialdruckverhältnis zwischen dem Oxidiergas und der Kupfergasquelle nicht größer als 2 wird oder daß das Verhältnis im Zufuhr­ durchsatz zwischen dem Oxidiergas und der Kupfergas­ quelle nicht größer als 2, vorzugsweise 0,1-2, wird.
  • 9. Mittels eines CVD-Verfahrens, bei dem das Kupferquel­ lengas zusammen mit dem Oxidiergas zugeführt wird, wird ein Kupfer-Dünnfilm, der eine Spur von Sauerstoff ent­ hält, auf einem darunterliegenden Substrat abgeschie­ den, und dann wird mittels eines CVD-Verfahrens, bei dem lediglich das Kupferquellengas zugeführt wird, ein Kupfer-Dünnfilm, der keinen Sauerstoff enthält, darauf abgeschieden, um so eine Stapelstruktur zu bilden, die aus einem Kupfer-Dünnfilm, der eine Spur von Sauerstoff enthält, und einem Kupfer-Dünnfilm, der keinen Sauer­ stoff enthält, besteht. Vorzugsweise beträgt die Kon­ zentration des Sauerstoffs in dem Sauerstoff enthalten­ den Kupfer-Dünnfilm 1 Atom-% oder weniger, bezogen auf die Kupferatome.
  • 10. Die Filmdicke des eine Spur an Sauerstoff enthaltenden Kupfer-Dünnfilmes ist so dünn, daß sie kaum als ein kontinuierlicher Film mit beispielsweise 10-50 nm erkannt werden kann.
Gemäß dem erfindungsgemäßen Verfahren wird das CVD-Quellen­ gas zusammen mit dem Oxidiergas in der Anfangsstufe des Ab­ scheidens oder Auftragens von Cu mittels eines CVD-Verfah­ rens zugeführt, und der abgeschiedene Cu-Film wird einer Wärmebehandlung unter einem reduzierten Druck bei einer Temperatur unterworfen, die höher ist als diejenige, die beim Ausführen der Abscheidung verwendet wird. Mit diesem Verfahren ist es möglich, das Haftvermögen zwischen dem darunterliegenden Diffusionsverhinderungs-Dünnfilm und dem Cu-Dünnfilm zu verbessern und eine vergrabene Cu-Verdrahtung mittels Polierens zu erzeugen. Darüber hinaus ist es auf­ grund der Einführung von O2 bei der Anfangsstufe der Ab­ scheidung möglich, das Vermischen der Fremdstoffe, die auf den Elementen in dem Quellengas beruhen, in den sich erge­ benden Cu-Dünnfilm zu sperren, um so die Bildung einer Cu- Verdrahtung einer Hochreinheit und eines niedrigen elektri­ schen Widerstands zu ermöglichen.
Nunmehr werden die Funktionen der Erfindung in Einzelheiten erläutert.
Diese Erfindung liefert ein Verfahren zum Erzeugen eines Cu- Dünnfilmes mittels eines thermischen CVD-Verfahrens auf einem Substrat, das mit einem Diffusionsverhinderungs-Dünn­ film oder einer Klebschicht mit einem darauf gebildeten na­ türlichen Oxidfilm bedeckt ist, welches sich dadurch aus­ zeichnet, daß das Haftvermögen zwischen dem Substrat und dem Cu-Dünnfilm verbessert ist, und daß ein Cu-Dünnfilm einer hohen Reinheit und eines niedrigen spezifischen Widerstands erzeugt werden kann, um eine feine Verdrahtung oder eine Elektrode zu bilden.
Insbesondere wird erfindungsgemäß ein Diffusionsverhinde­ rungs-Dünnfilm oder eine Klebschicht auf einem Isolierfilm, wie beispielsweise einem SiO2-Film zunächst abgeschieden. Als ein Material für diesen Diffusionsverhinderungs-Dünnfilm kann ein schwer schmelzendes Metall oder eine Verbindung hiervon verwendet werden, die bekanntlich allgemein wirksam ist, um die Diffusion von Cu bei einer hohen Temperatur über 600°C zu vermeiden. Beispielsweise können ein hochschmelzen­ des Metall, z. B. Nb, Ta und W, Nitride von hochschmelzenden Metallen, wie beispielsweise TiN, WN, Silicide von hochmel­ zenden Metallen, wie beispielsweise TaSi2, und eine Ternär­ legierung mit einem hochschmelzenden Metall, Si und N, ver­ wendet werden.
Nach Abschluß der Abscheidung dieses Diffusionsverhinde­ rungs-Dünnfilms wird das Substrat zu einer thermischen CVD- Reaktionskammer übertragen, um die Abscheidung oder Auftra­ gung des Cu-Dünnfilm durchzuführen. Während dieser Übertra­ gung des Substrats liegt die Oberfläche des Diffusionsver­ hinderungs-Dünnfilms im allgemeinen zur Luftatmosphäre in einem staubfreien Raum frei und wird oxidiert, um einen na­ türlichen Oxidfilm zu bilden, der aus einem sehr dünnen Film eines hochschmelzenden Metalloxids besteht. Das so erwärmte Substrat wird in einer thermischen CVD-Reaktionskammer be­ festigt und auf eine vorbestimmte Temperatur erwärmt. Es ist in diesem Fall vorzuziehen, die Temperatur des Substrats auf 2000°C oder niedriger hinsichtlich des Auftragens eines Cu- Dünnfilms mit hervorragender Oberflächenglätte zu steuern.
Die Auftragung oder Abscheidung von Cu wird eingeleitet durch Einführen einer organischen Kupferverbindung in eine CVD-Reaktionskammer, in der das Substrat befestigt ist und die zuvor evakuiert wurde. In diesem Fall wird ein Oxidier­ gas, wie beispielsweise ein O2-Gas, in die CVD-Reaktionskam­ mer zusammen mit der Zufuhr eines organischen Kupferverbin­ dungsgases eingeführt, was eines der Merkmale dieser Erfin­ dung ist. Der Zufuhrdurchsatz des O2-Gases kann mittels eines Massenströmungsreglers konstant gehalten werden.
Als eine organische Kupferverbindung, die ein Quellengas bildet, kann eine organometallische Verbindung mit einer Mo­ lekularstruktur mit einer Lewis-Gruppe, die über ein Sauer­ stoffatom mit einem Kupferatom verkettet ist, wie beispiels­ weise β-Diketonat-Kupfer-(1)-Verbindung (hier bedeutet (1), daß Cu monovalent ist) verwendet werden. Die organische Kup­ ferverbindung dieser Art kann einen relativ hohen Dampfdruck bei einer niedrigen Temperatur von etwa 50°C aufweisen und das Auftreten der Abscheidung von Cu bei der oben erwähnten Temperatur des Substrats erlauben. Infolge der spezifischen Molekularstruktur, bei der eine Lewis-Gruppe über ein Sauer­ stoffatom mit einem Kupferatom verkettet ist, ist es mög­ lich, daß die Einführung von O2-Gas, wie oben erwähnt, die Abscheidung eines hochreinen Cu-Films erlaubt, wie dies in den folgenden Beispielen erläutert ist. Spezifische Bei­ spiele einer derartigen Verbindung sind β-Diketonat-Kupfer- (1)-Olefin-Verbindung, wie beispielsweise (Hfac)Cu(tmvs), {[(CF3CO)2CH]Cu(C5H12Si) : Hexafluoracetylacetonat-Trimethyl­ vinylsilan-Kupfer} und β-Diketonat-Kupfer(1)-Alkinver­ bindung, wie beispielsweise (Hfac)Cu(tmsa), {[(CF3CO)2CH]Cu(C5H10Si) : Hexafluoracetylacetonat-Ttrimethyl­ silanacetylen-Kupfer}. Die organische Kupferverbindung kann verdampft werden, indem sie auf eine Temperatur niedriger als die Zersetzungstemperatur hiervon erwärmt wird, wobei das so sich ergebende verdampfte organische Kupferverbin­ dungsgas dann in die CVD-Reaktionskammer eingeführt wird. Bei dieser Gelegenheit ist es möglich, ein Trägergas für die Blasenbildung oder den Transport des Quellengases zu verwen­ den.
Das O2-Gas sollte zu der CVD-Reaktionskammer über ein Rohr zugeführt werden, das von demjenigen des Quellengases ge­ trennt ist. Wenn das O2-Gas über ein gemeinsames Rohr zusam­ men mit dem Quellengas zugeführt wird, tritt eine uner­ wünschte Erscheinung auf. Wenn beispielsweise die organische Kupferverbindung durch das O2-Gas Blasen bildet, wird eine Reaktion zwischen der flüssigen oder festen organischen Kup­ ferverbindung und dem O2-Gas verursacht, was zu einer Absen­ kung des Dampfdruckes der organischen Kupferverbindung führt.
Die zum Abscheiden von Cu erforderliche Zeitdauer wird durch die Zeitdauer der Zufuhr des Quellengases bestimmt. Es ist jedoch wünschenswert, daß die Zeitdauer, in welcher das Quellengas zusammen mit dem O2-Gas zugeführt wird, auch Teil der Zeitdauer zum Abscheiden von Cu ist. Insbesondere wird das O2-Gas zu der CVD-Reaktionskammer zusammen mit einem Quellengas für eine vorbestimmte Zeitdauer ab dem Beginn der Abscheidung zugeführt, und dann wird die Zufuhr des Oxidier­ gases suspendiert, und lediglich das Quellengas wird zuge­ führt (das Oxidiergas wird nicht zugeführt), um die thermi­ sche CVD hiervon durchzuführen, bis die Filmdicke des Cu- Filmes auf einen vorbestimmten Wert angewachsen ist. Somit wird das O2-Gas lediglich während der Anfangsstufe der Cu- Abscheidung zugeführt. Die Zufuhr des O2-Gases ist bei der Verbesserung des Haftvermögens zwischen der darunterliegen­ den Schicht und dem Cu-Film und beim Minimieren des Ein­ schlusses von Fremdstoffen in dem sich ergebenden Cu-Film wirksam, wie dies weiter unten näher erläutert werden wird. Jedoch nimmt die Abscheidungsrate von Cu durch die gleich­ zeitige Zufuhr des O2-Gases im Vergleich mit dem Fall ab, in welchem lediglich das Quellengas zugeführt wird. Daher liegt die Ursache zum Begrenzen der Zufuhr des O2-Gases auf die Anfangsstufe der Cu-Abscheidung in der Verbesserung des Haftvermögens und der Reinheit des sich ergebenden Cu-Dünn­ films, ohne die Abscheidungszeitdauer übermäßig zu verlän­ gern.
Der Zufuhrdurchsatz des O2-Gases bei der Anfangsstufe der Cu-Abscheidung sollte auf einen vorbestimmten Bereich in be­ zug auf den Zufuhrdurchsatz des Quellengases gesteuert wer­ den. Wenn insbesondere der Partialdruck des Quellengases als Ps und der Partialdruck des O2-Gases als Po ausgedrückt wer­ den, sollte das Verhältnis zwischen dem Zufuhrdurchsatz des Quellengases und dem Zufuhrdurchsatz des O2-Gases vorzugs­ weise auf (Po/Ps) < 2 gesteuert werden. Wenn mit anderen Worten der Zufuhrdurchsatz des Quellengases als Js und der Zufuhrdurchsatz des O2-Gases als Jo ausgedrückt werden, sollte das Verhältnis zwischen dem Zufuhrdurchsatz des Quel­ lengases und dem Zufuhrdurchsatz des O2-Gases vorzugsweise auf (Jo/Js) < 2 eingestellt werden. Die Ursache für das Be­ grenzen des Verhältnisses der Zufuhrdurchsätze ist die fol­ gende: Insbesondere ist es unter derartigen Bedingungen des Zufuhrdurchsatzes, wie oben erwähnt, möglich, einen hoch­ reinen Cu-Film abzuscheiden, dessen Fremdstoffkonzentration auf 1/100 oder weniger im Vergleich mit derjenigen in einem Cu-Film reduziert werden kann, der mittels des herkömmlichen CVD-Verfahrens zu erhalten ist.
Der Mechanismus, warum der Einschluß von Fremdstoffen durch den Zusatz von O2 bei dem erfindungsgemäßen Cu-CVD-Verfahren extrem reduziert werden kann, ist noch nicht vollständig klar. Jedoch wird angenommen, daß die Elemente, die als ein Fremdstoff in den Cu-Film gemischt werden können, auf C, F und O eingeschränkt sind, wenn (Hfac)Cu(tmvs) als ein Quel­ lengas verwendet wird, und Si, das in der (tmvs)-Gruppe ein­ geschlossen ist, kann nicht in dem sich ergebenden Cu-Film erfaßt werden. Daher wird angenommen, daß die Fremdstoffe, die den sich ergebenden Cu-Film verunreinigen, von der (Hfac)-Gruppe oder einem zersetzten Produkt der (Hfac)Cu- Gruppe kommen.
In der Anfangsstufe des Bildens des Cu-Films mittels CVD wird das Quellengas veranlaßt, sich auf der Oberfläche eines Diffusionsverhinderungs-Dünnfilms, wie beispielsweise eines TiN-Films zu zersetzen, was dazu führt, daß die sich erge­ bende (Hfac)-Gruppe oder (Hfac)Cu-Gruppe auf der Oberfläche des Diffusionsverhinderungs-Dünnfilms adsorbiert wird. Diese so adsorbierte (Hfac)-Gruppe oder (Hfac)Cu-Gruppe wird wei­ terhin veranlaßt, in Verbindungen von kleinerem Molekularge­ wicht, wie beispielsweise CF3, zersetzt zu werden, was es diesen Verbindungen von kleinerem Molekulargewicht erlaubt, als Fremdstoffe in dem aufwachsenden Cu-Film eingefangen zu werden. Wenn die Abscheidung des Cu-Films weiter fortschrei­ tend auf diesem Cu-Film fortgesetzt wird, der mit Fremdstof­ fen mittels des CVD-Verfahrens verunreinigt ist, wird der Einschluß der Fremdstoffe gemäß dem gleichen Mechanismus, wie oben erläutert, fortgesetzt, um so die Reinheit des sich ergebenden Cu-Films zu verschlechtern.
Sobald einmal hochreines Cu abgeschieden ist, kann, selbst wenn eine CVD mittels lediglich eines Quellengases durchge­ führt wird, ein Einschluß von Fremdstoffen in den so gebil­ deten Cu-Film extrem minimiert werden.
Gemäß dem erfindungsgemäßen Verfahren, bei dem O2-Gas gleichzeitig zu der Anfangsstufe der Abscheidung des Cu- Films zugeführt wird, wird die Erzeugung dieser zersetzten Produkte, die eine Quelle von Fremdstoffen bilden, gestoppt, oder diese zersetzten Produkte werden unmittelbar von der Oberfläche des gerade aufgewachsenen Cu-Films, wenn sie er­ zeugt werden, desorbiert, um so den Einschluß von Fremdstof­ fen im Vergleich mit dem herkömmlichen CVD-Verfahren extrem zu minimieren.
Ein anderes Merkmal dieser Erfindung liegt darin, daß nach Abschluß der Cu-Abscheidung mittels des CVD-Verfahrens der sich ergebende Cu-Film einer Wärmebehandlung bei einer Tem­ peratur unterworfen wird, die höher ist als diejenige, die bei der Abscheidung von Cu verwendet wird. Die Temperatur dieser Wärmebehandlung sollte vorzugsweise 300°C oder mehr hinsichtlich einer Verbesserung des Haftvermögens des Cu- Films betragen. Die Atmosphäre für diese Wärmebehandlung sollte vorzugsweise Vakuum oder eine H2-Atmosphäre eines reduzierten Druckes im Hinblick auf die Verhinderung der Oxidation des Cu-Films während der Wärmebehandlung sein.
Im folgenden wird das Verfahren zum Bilden einer vergrabenen feinen Cu-Verdrahtung gemäß dieser Erfindung näher erläu­ tert.
Cu wird in einem Graben, der in einer Isolierschicht gebil­ det ist, gemäß dem Cu-Abscheidungsverfahren dieser Erfindung vergraben. In diesem Fall wird die Innenwandfläche des Gra­ bens zuvor mit einem Diffusionsverhinderungs-Dünnfilm verse­ hen, bevor die Cu-Abscheidung durchgeführt wird. Die Cu-Ab­ scheidung wird durchgeführt, bis der Graben vollständig ge­ füllt ist. Wie oben erläutert wurde, wird die Cu-Abscheidung durch Zufuhr von O2-Gas zusammen mit einer organischen Kup­ ferverbindung (einem Quellengas) zu der CVD-Reaktionskammer in der Anfangsstufe der Cu-Abscheidung durchgeführt.
Der Zufuhrdurchsatz des O2-Gases, das gleichzeitig zuzufüh­ ren ist, wie dies oben erläutert wurde, sollte auf einen vorbestimmten Bereich hinsichtlich des Zufuhrdurchsatzes des Quellengases gesteuert werden. Wenn insbesondere der Parti­ aldruck des Quellengases als Ps und der Partialdruck des O2-Gases als Po ausgedrückt werden, sollte das Verhältnis zwischen dem Zufuhrdurchsatz des Quellengases und dem Zu­ fuhrdurchsatz des O2-Gases vorzugsweise aud (Po/Ps) < 2 ge­ steuert werden. Mit anderen Worten, wenn der Zufuhrdurchsatz das Quellengases als Js und der Zufuhrdurchsatz des O2-Gases als Jo ausgedrückt werden, sollte das Verhältnis des Zufuhr­ durchsatzes des Quellengases und des Zufuhrdurchsatzes des O2-Gases vorzugsweise auf (Jo/Js) < 2 gesteuert werden. Als Ergebnis ist es möglich, einen hochreinen Cu-Film, der le­ diglich eine sehr kleine Menge an Fremdstoffen enthält, auf­ zutragen.
Nachdem Cu in dem Graben mittels des CVD-Verfahrens vergra­ ben ist, wird der sich ergebende Cu-Film einer Wärmebehand­ lung bei einer Temperatur unterworfen, die höher ist als diejenige, die bei der Abscheidung von Cu verwendet wird, um das Haftvermögen zwischen dem Cu-Film und der darunterlie­ genden Diffusionsverhinderungsschicht zu verbessern. Diese Wärmebehandlung sollte vorzugsweise im Vakuum oder in der H2-Atmosphäre eines reduzierten Druckes im Hinblick auf eine Verhinderung der Oxidation des Cu-Films während der Wärmebe­ handlung durchgeführt werden.
Dann wird jegliches überflüssige Cu, das außerhalb des Gra­ bens abgeschieden ist, mittels eines chemisch-mechanischen Polierens oder eines mechanischen Polierens entfernt, um eine vergrabene Cu-Verdrahtung oder Elektrode zu erhalten. Durch Verwenden von CVD in Begleitung mit dem Zusatz von O2 und der Wärmebehandlung, wie oben erläutert, ist es möglich, ein derart hervorragendes Haftvermögen zwischen dem Cu-Film und dem darunterliegenden Diffusionsverhinderungs-Dünnfilm zu erzielen, daß der so erhaltene Cu-Film niemals während des oben erwähnten Polierschrittes abgestreift wird. Als ein Ergebnis ist es möglich, eine hervorragende Cu-vergrabene Verdrahtung und eine Cu-vergrabene Elektrode zu erzielen.
Wenn dagegen der Cu-Film, der mittels des CVD-Verfahrens ab­ geschieden ist, das die gleichzeitige Zufuhr von O2 beglei­ tet, danach nicht wärmebehandelt wird, oder wenn der Cu- Film, der abgeschieden ist, ohne das CVD-Verfahren zu ver­ wenden, das bei der Anfangsstufe der Cu-Abscheidung durch die gleichzeitige Zufuhr von O2 begleitet ist, danach wärme­ behandelt wird, so wird der Cu-Film höchstwahrscheinlich während des Polierschrittes abgestreift. Es ist somit zu be­ merken, daß das CVD-Verfahren, das die gleichzeitige Zufuhr von O2 in der Anfangsstufe der Cu-Abscheidung begleitet, und die anschließende Wärmebehandlung beide absolut notwendig sind, um das Haftvermögen des Cu-Filmes zu verbessern.
Das erfindungsgemäße Verfahren ist nicht auf die Bildung einer vergrabenen Verdrahtung oder Elektrode begrenzt, son­ dern kann auf die Bildung eines Cu-Kontaktstöpsels zum elek­ trischen Verbinden einer aktiven Schicht einer Halbleiter­ vorrichtung und einer Verbindungsverdrahtung und auch auf die Bildung eines Cu-Durchgangsstöpsels zum Erzielen einer elektrischen Verbindung zwischen Verdrahtungsschichten in einer Halbleitervorrichtung mit einer Mehrlagen-Verdrah­ tungsstruktur angewandt werden. Darüber hinaus ist das Ver­ arbeiten des Cu-Filmes zum Erzeugen einer Verdrahtung gemäß dieser Erfindung nicht auf ein Polierverfahren begrenzt; vielmehr kann ein Trockenätzverfahren anstelle des Polier­ verfahrens verwendet werden, um einen Cu-Dünnfilm eines hervorragenden Haftvermögens zu erhalten.
Die Ursache, warum die Verwendung des CVD-Verfahrens, das die gleichzeitige Zufuhr von O2 zu der Anfangsstufe der Cu- Abscheidung begleitet, und die nachfolgende Wärmebehandlung beide beim Verbessern des Haftvermögens des Cu-Films wirksam sind, ist noch nicht klar. Jedoch wird angenommen, daß, wenn O2-Gas in der Anfangsstufe der Cu-Abscheidung zugeführt wird, ein Cu-Film, der eine Spurenmenge an Sauerstoff ent­ hält, an der Zwischenfläche zwischen der darunterliegenden Schicht und dem Cu-Dünnfilm gebildet wird. Es wird angenom­ men, daß dieser Sauerstoff enthaltende Cu-Film im Haftvermö­ gen mit einem natürlichen Oxidfilm hervorragend ist, der auf der Oberfläche des Diffusionsverhinderungs-Dünnfilms gebil­ det ist. Darüber hinaus wird angenommen, daß, wenn die Wär­ mebehandlung nach der Abscheidung von Cu durchgeführt wird, eine Zwischendiffusion zwischen dem sauerstoffhaltigen Cu- Film und dem darunterliegenden natürlichen Oxidfilm statt­ findet, um weiter das Haftvermögen zwischen diesen Oxid­ schichten zu verbessern. Wenn jedoch die Zwischenfläche zwi­ schen dem sauerstoffhaltigen Cu-Film und dem darunterliegen­ den natürlichen Oxidfilm durch SEM nach der Wärmebehandlung beobachtet wird, wird die Bildung der oben erwähnten Zwi­ schendiffusionsschicht nicht bestätigt. Es ist jedoch eine Tatsache, daß eine hervorragende Verbesserung des Haftvermö­ gens als ein Ergebnis der O2-Zufuhr und der Wärmebehandlung durch Experimente von den Erfindern bestätigt wurde.
Das Verfahren des Auftragens von Cu gemäß dieser Erfindung wurde erläutert, wobei ein thermisches CVD-Verfahren als ein Beispiel genommen wurde; jedoch ist es auch möglich, andere Arten von CVD-Verfahren, wie beispielsweise ein Plasma-CVD- Verfahren oder ein optisches CVD-Verfahren zu verwenden.
In der obigen Beschreibung sind verschiedene Diffusionsver­ hinderungs-Dünnfilme als ein Beispiel des Substrats erläu­ tert, auf dem Cu gemäß dieser Erfindung aufgetragen ist. Wenn jedoch der Zwischenschicht-Isolierfilm eine Diffusions­ verhinderungsfähigkeit hat, kann ein Metall-Dünnfilm zum Fördern einer Kernerzeugung von Cu oder ein Klebstoff- Dünnfilm anstelle des Diffusionsverhinderungs-Dünnfilms verwendet werden.
Bei der vorliegenden Erfindung kann eine kleine Menge an Le­ gierungsmetallelementen, wie beispielsweise Sn, Co und Te dem Cu beigefügt werden. Es ist bekannt, daß diese Metall­ elemente einen Widerstand für Elektromigration oder Span­ nungs- oder Dehnungsmigration verbessern. In diesem Fall wird während des zweiten Cu-Abscheidungsschrittes während der Einfuhr von Oxidiergas ein metallorganisches Verbin­ dungsgas, das das Legierungsmetall enthält, zusammen mit dem organischen Kupferverbindungsgas zugeführt, um so eine Le­ gierung zu bilden, die Cu als eine Hauptkomponente enthält.
Diese Erfindung wird weiter anhand der folgenden verschie­ denen Beispiele erläutert.
Beispiel 1
Die Fig. 1A bis 1C sind Schnittdarstellungen, die die Her­ stellungsschritte einer Halbleitervorrichtung gemäß einem ersten Ausführungsbeispiel dieser Erfindung veranschauli­ chen, das ein Beispiel für das Abscheiden eines Cu-Dünnfilms darstellt, der im Haftvermögen auf einer TiN-Schicht hervor­ ragend ist.
Wie in Fig. 1A gezeigt ist, wird ein Substrat mit einer Si­ liciumscheibe 11, auf der ein Siliciumoxidfilm 12 mit einer Dicke von 100 nm gebildet ist, zum Abscheiden von Cu verwen­ det. Dann wird ein TiN-Dünnfilm 13 als eine Cu-Diffusions­ verhinderungsschicht mittels Zerstäubens oder Sputterns auf diesem Substrat mit einer Dicke von 90 nm erzeugt. Dieses mit dem TiN-Film versehene Substrat wird einmal einer Luft­ atmosphäre ausgesetzt, um das Substrat zu einem anderen Filmerzeugungsgerät zum Auftragen von Cu zu übertragen. Als ein Ergebnis von diesem Aussetzen wird ein natürlicher Oxid­ film 14, der aus einem Ti-Oxid besteht, auf der Oberfläche des TiN-Dünnfilms 13 gebildet, der die oberste Oberfläche des Substrats darstellt.
Dann wird dieses Substrat in eine Reaktionskammer eines thermischen CVD-Geräts gebracht, und ein Cu-Dünnfilm wird auf dem Substrat mittels eines thermischen CVD-Verfahrens abgeschieden, nachdem das Innere der Reaktionskammer auf einen Vakuumgrad von 1 × 10-4 Pa entleert wurde. In diesem Fall wird als ein Quellengas Hexafluoracetylacetonat-Trime­ thylvinylsilan-Kupfer {(Hfac)Cu(tmvs)} verwendet. Die Mole­ kularformel dieser Verbindung ist [(CF3CO)2CH]Cu(C5H12Si). Durch thermisches Verdampfen dieser Verbindung wird das Cu-Quellengas über das auf 200°C erwärmte Substrat einge­ führt, und gleichzeitig wird O2-Gas über das Substrat durch eine Verrohrung, die getrennt von der Verrohrung für das Cu- Quellengas angeordnet ist, eingeführt, um so ein thermisches CVD-Verfahren unter einem reduzierten Druck auszuführen.
Während dieses Filmbildungsprozesses beträgt der Partial­ druck des Quellengases etwa 0,3 Torr, und der Partialdruck des O2-Gases beträgt etwa 0,08 Torr. Jegliches Quellengas wird nicht bei dieser Zufuhr des Quellengases verwendet. Die Steuerung im Druck der Reaktionskammer während der CVD wird durch Einstellen des Öffnungsverhältnisses eines im Lei­ tungsvermögen variablen Ventils durchgeführt, das zwischen der Reaktionskammer und einer Vakuumpumpe angeordnet ist.
Das heißt, das Quellengas und das O2-Gas werden gleichzeitig für 30 s eingeführt, um einen Cu-Film 15 zu bilden, wie dies in Fig. 1B gezeigt ist. Dann wird die Zufuhr des O2-Gases suspendiert bzw. aufgehalten, und die thermische CVD wird weiter für 90 s fortgesetzt, indem lediglich das Quellengas zugeführt wird, um einen Cu-Film 16 zu bilden, wie dies in Fig. 1C gezeigt ist. Die Filmdicke des während 30 s gebil­ deten Cu-Films 15 (das Quellengas und das O2-Gas werden gleichzeitig zugeführt) in der Anfangsstufe der Abscheidung beträgt etwa 30 nm. Die Gesamtdicke des Cu-Films, der wäh­ rend der gesamten CVD-Periode von 2 min einschließlich der Zeitdauer, in der lediglich das Quellengas zugeführt wird, gebildet wird, beträgt etwa 250 nm.
Danach wird der auf diese Weise gebildete Cu-Film unter ei­ nem reduzierten Druck 14 min mittels eines Quarzofens des heißen Wandtyps wärmebehandelt. Die bei der Wärmebehandlung verwendete Temperatur beträgt 4500°C, die verwendete Gasatmo­ sphäre ist H2, und der verwendete Druck ist 0,1 Torr. Nach der Wärmebehandlung wird das Substrat in dieser H2-Atmo­ sphäre auf Raumtemperatur abgekühlt, um eine Probe von diesem Beispiel zu erhalten.
Um das Haftvermögen zwischen dem Cu-Film und der TiN-Schicht dieses Beispiels mit einer Stapelstruktur aus einer Cu/TiN/SiO2/Si-Scheibe zu prüfen, wird ein Abschältest mittels eines Bandes (Scotch mending tape, R810, Sumitomo 3M Co.) durchgeführt. Als Ergebnis wird ein Abschälen des Cu-Films überhaupt nicht festgestellt.
Andererseits wird die gleiche Art des Substrates wie in dem obigen Beispiel verwendet, und die herkömmliche thermische CVD, bei der die gleichzeitige Zufuhr des O2-Gases während der CVD weggelassen ist, wird durchgeführt, indem das glei­ che Gerät wie das in dem obigen Beispiel als ein Ver­ gleichsbeispiel verwendet wird, um so einen Cu-Film abzu­ scheiden. Dann wird dieser Cu-Film in der gleichen H2- Atmosphäre wärmebehandelt, die in dem obigen Beispiel ver­ wendet ist, und danach dem Abschältest unter den gleichen Bedingungen unterworfen, wie dieser in dem obigen Beispiel eingesetzt ist. Als ein Ergebnis wird die Trennung des Cu- Films in der Form einer Folie von der darunterliegenden TiN- Schicht erkannt. Weiterhin wird eine andere Cu-Filmprobe mittels des herkömmlichen CVD-Verfahrens hergestellt, wobei die gleichzeitige Zufuhr des O2-Gases während der CVD wegge­ lassen und der abgeschiedene Cu-Film nicht der Wärmebehand­ lung unterworfen wurde. Wenn diese Cu-Filmprobe dem Abschäl­ test unter den gleichen Bedingungen unterworfen wird, wie diese in dem obigen Beispiel verwendet sind, wird auch die Trennung des Cu-Films in der Form einer Folie von der darun­ terliegenden TiN-Schicht erkannt. Wenn weiterhin die Cu- Filmprobe in der gleichen Weise wie in dem obigen Beispiel mit der Ausnahme vorbereitet wird, daß die Wärmebehandlung unter einem reduzierten Druck weggelassen ist, und dann dem Abschältest unter den gleichen Bedingungen wie in dem obigen Beispiel unterworfen wird, so wird auch die Trennung des Cu- Films von der darunterliegenden TiN-Schicht erkannt.
Aus diesen Versuchen hat sich bestätigt, daß es durch die Verwendung des Cu-Filmerzeugungsverfahrens gemäß dieser Er­ findung möglich ist, das Haftvermögen zwischen einem Cu- Film, der mittels der thermischen CVD abgeschieden ist, und einem darunterliegenden TiN-Film zu verbessern.
Verunreinigungen oder Fremdstoffe in einem auf einem darun­ terliegenden TiN-Film gebildeten Cu-Film gemäß dieser Erfin­ dung wurden mittels Sekundärionenmassenspektrometrie (SIMS) analysiert. Die insbesondere beobachteten Elemente sind C, O, F und Si, die Bestandteilkomponenten von (hfac)Cu(tmvs) sind, das ein CVD-Quellengas bildet. Die Qualifizierung der Fremdstoff- oder Verunreinigungskonzentration in dem mittels CVD aufgetragenen Cu-Film wird durchgeführt durch Verglei­ chen der erfaßten Sekundärionenstärke des Cu-Films mit der­ jenigen einer Standardprobe, die durch Ionenimplantieren einer vorbestimmten Menge an jeweils C, F und Si in einen hochreinen Cu-Film vorbereitet ist (die Konzentrationen von C, O, F und Si sind niedriger als die SIMS-Erfassungs­ grenze), der mittels Zerstäubens oder Sputterns aufgetragen ist.
Fig. 2A zeigt die Ergebnisse einer SIM-Analyse der Fremd­ stoffe in Dickenrichtung des Cu-Films der Probe dieses Bei­ spiels. Wie aus der Fig. 2A zu ersehen ist, beträgt die Fremdstoffkonzentration in dem gemäß diesem Beispiel vor­ bereiteten Cu-Film 1 × 1018 Atome/cm3 oder weniger (weniger als die Erfassungsgrenze) hinsichtlich Si, 2 × 1017 Atome/cm3 hinsichtlich F, 3 × 1017 Atome/cm3 hinsichtlich C und 4 × 1017 Atome/cm3 hinsichtlich O. Wenn diese Fremd­ stoffkonzentrationen als Verhältnis aufgrund der atomaren Dichte von Cu ausgedrückt werden, sind die Verhältnisse 2 ppm hinsichtlich F, 4 ppm hinsichtlich C und 5 ppm hin­ sichtlich O. Gemäß Fig. 2A scheinen die Konzentrationen von C, O und F in den Bereichen von TiN, SiO2 und Si auf der Ab­ szissenachse zugenommen zu haben. Jedoch sind diese Verände­ rungen durch die Tatsache verursacht, daß die Ionenstärke, die freigegeben ist, abhängig von dem Matrixmaterial verän­ dert werden kann. Daher ist der Konzentrationswert auf der Ordinatenachse lediglich für den Bereich von Cu anwendbar.
Das gleiche Substrat und die Diffusionsverhinderungsschicht, wie diese in obigen Beispiel eingesetzt sind, werden verwen­ det, und eine thermische CVD wird durchgeführt mittels eines Quellengases (hfac)Cu(tmvs) für 2 min. um einen Cu-Film als ein Vergleichsbeispiel aufzutragen. Die Abscheidungstempera­ tur und der Partialdruck des Quellengases, das in diesem Beispiel verwendet ist, sind die gleichen wie diejenigen, die in dem obigen Beispiel eingesetzt sind. Bei dieser CVD wird die gleichzeitige Zufuhr von O2 nicht angewandt. Nach der Cu-Abscheidung wird der Cu-Film in einer H2-Atmosphäre unter einem reduzierten Druck wie in dem Fall des obigen Beispiels wärmebehandelt. Die sich gemäß diesem Vergleichs­ beispiel ergebende Probe wird einer qualitativen Analyse hinsichtlich Verunreinigungen oder Fremdstoffen in dem Cu- Film mittels SIMS unterworfen, wobei die Ergebnisse in Fig. 2B gezeigt sind.
Wie aus der Fig. 2B zu ersehen ist, beträgt die Fremdstoff­ konzentration in dem gemäß diesem Vergleichsbeispiel vorbe­ reiteten Cu-Film 1 × 1020 Atome/cm3 (1200 ppm) hinsichtlich F, 7 × 1020 Atome/cm3 (8300 ppm) hinsichtlich C, 2 × 1020 Atome/cm3 (2400 ppm) hinsichtlich O und 1 × 1018 Atome/cm3 oder weniger (weniger als die Erfassungsgrenze) hinsichtlich Si, um so anzuzeigen, daß einige Tausend ppm an Fremdstof­ fen, wie beispielsweise F, C und O, in dem Cu-Film einge­ schlossen waren.
Es ist aus diesen Versuchen zu ersehen, daß das Verfahren zum Bilden eines Cu-Films gemäß dieser Erfindung, das den Schritt des gleichzeitigen Zuführens von O2 in der Anfangs­ stufe der CVD-Abscheidung umfaßt, sehr wirksam beim Verbes­ sern der Reinheit des Cu-Films ist, so daß es erfindungsge­ mäß möglich ist, die Verunreinigungskonzentration auf 1/100 oder weniger im Vergleich mit derjenigen in einem Cu-Film zu reduzieren, der mittels der herkömmlichen CVD-Methode zu er­ halten ist.
Beispiel 2
Die Fig. 3A bis 3D sind perspektivische Darstellungen, die die Herstellungsschritte einer Halbleitervorrichtung gemäß einem zweiten Ausführungsbeispiel dieser Erfindung veran­ schaulichen. Dieses Beispiel zeigt ein Beispiel des Bildens einer vergrabenen Cu-Verdrahtung mit hervorragendem Haftver­ mögen auf einem Substrat, wobei ein Graben zuerst auf einer Isolierschicht gebildet wird, um eine vergrabene Verdrahtung zu erzeugen, und danach wird eine TiN-Sperrschicht auf der Innenwand des Grabens erzeugt, wobei der Cu-Dünnfilm darauf aufgetragen ist.
Wie in Fig. 3A gezeigt ist, wird ein Substrat mit einer Si­ liciumscheibe 31, auf der ein Siliciumoxidfilm 32 mit einer Dicke von 400 nm gebildet ist, verwendet, um Cu aufzutragen. Dann wird ein Resistmuster zum Erzeugen eines Verdrahtungs­ musters auf dem Siliciumoxidfilm 32 mittels Lithographie er­ zeugt. Dann wird ein reaktives Ionenätzen mittels des Re­ sists als eine Maske durchgeführt, um einen Graben mit einer Tiefe von 400 nm und einer Breite von 0,35 nm auf dem Sili­ ciumoxidfilm 32 zum Erzeugen einer vergrabenen Verdrahtung zu bilden.
Dann wird ein TiN-Dünnfilm 33 mittels Sputterns oder Zer­ stäubens auf diesem Substrat mit einer Dicke von 30 nm ge­ bildet. Dieses mit dem TiN-Film versehene Substrat wird ein­ mal einer Luftatmosphäre ausgesetzt, um das Substrat zu einem anderen Filmerzeugungsgerät zum Auftragen von Cu zu überführen. Als ein Ergebnis dieses Aussetzens wird ein na­ türlicher Oxidfilm 34 aus einem Ti-Oxid auf der Oberfläche des TiN-Dünnfilms 33 gebildet, der die oberste Schicht des Substrats darstellt.
Dann wird dieses Substrat in einer Reaktionskammer eines thermischen CVD-Geräts befestigt, und der Cu-Dünnfilm wird über der gesamten Oberfläche des Substrats mittels eines thermischen CVD-Verfahrens unter den gleichen Bedingungen aufgetragen, wie diese in dem oben erläuterten ersten Bei­ spiel verwendet sind. Insbesondere werden das Quellengas und das O2-Gas gleichzeitig für 30 s zugeführt, um einen Cu-Film 35 zu erzeugen, wie dies in Fig. 3C gezeigt ist. Dann wird die Zufuhr von O2-Gas suspendiert bzw. ausgesetzt, und die thermische CVD wird weiter für 30 s durch Zuführen von le­ diglich dem Quellengas fortgesetzt, um einen Cu-Film 36 zu erzeugen, wie dies in Fig. 3C gezeigt ist.
Die Filmdicke des Cu-Films 35, der während 30 s (das Quel­ lengas und das O2-Gas werden gleichzeitig zugeführt) in der Anfangsstufe der Abscheidung gebildet ist, beträgt etwa 30 nm. Die Gesamtdicke des während der gesamten CVD-Periode von 2 min einschließlich der Zeitdauer, in der lediglich das Quellengas zugeführt ist, gebildeten Cu-Films beträgt etwa 250 nm.
Danach wird das Substrat mit einer Mehrschichtstruktur, wie oben erläutert, in einer Wasserstoffgasatmosphäre unter einem reduzierten Druck für 10 min wärmebehandelt. Die bei der Wärmebehandlung verwendete Temperatur beträgt 450°C, die verwendete Gasatmosphäre ist H2, und der verwendete Druck ist 0,1 Torr.
Die Probe dieser Erfindung, die auf diese Weise erhalten ist, wurde verarbeitet, um eine vergrabene Verdrahtung mit­ tels einer chemisch-mechanischen Poliermethode (CMP) zu er­ zeugen. Fig. 3D zeigt eine schematische Darstellung des Sub­ strats nach der Bildung der Verdrahtung. In dem Prozeß der CMP wird eine Aufschlämmung aus Glycin, einer wäßrigen Lö­ sung von Wasserstoffperoxid, feinen Kieselsäureteilchen und reinem Wasser verwendet. Während dieses CMP-Verarbeitens wird das Abschälen des Cu-Films nicht beobachtet, was ein hervorragendes Haftvermögen zwischen der Cu-Schicht und der darunterliegenden TiN-Schicht anzeigt. Andererseits wird in dem Fall des Vergleichsbeispiels der Cu-Film während des CMP-Verarbeitens abgestreift, wobei sich keine vergrabene Cu-Verdrahtung bildet.
Wenn der elektrische Widerstandswert der vergrabenen Cu-Ver­ drahtung gemäß diesem Beispiel mittels einer Vierspitzenme­ thode der Messung des spezifischen Widerstandswerts bewertet wird, so wird bei Raumtemperatur ein spezifischer Widerstand der Cu-Verdrahtung von 1,7 ± 0,1 µΩ . cm gefunden. Jeglicher Fehler in diesem spezifischen Widerstandswert ist ein Meß­ fehler, der erzeugt wird, da die Querschnittsfläche der Cu- Verdrahtung aus dem Bild eines abtastenden Elektronenmikro­ skops erhalten ist. Der spezifische elektrische Widerstand eines Cu-Körpers beträgt 1,7 µΩ . cm bei einer Temperatur von 20°C, um so anzuzeigen, daß der spezifische elektrische Wi­ derstand der vergrabenen Cu-Verdrahtung gemäß diesem Bei­ spiel im wesentlichen der gleiche ist wie derjenige des Cu- Körpers aufgrund der sehr niedrigen Fremdstoffkonzentration in dem Cu-Film.
Beispiel 3
Dieses Beispiel veranschaulicht ein Beispiel, das die Ver­ besserung des Haftvermögens zwischen einem Cu-Film und einer Diffusionsverhinderungsschicht demonstriert, wenn der Cu- Film mittels einer thermischen CVD-Methode dieser Erfindung auf verschiedenen Arten von Diffusionsverhinderungsschich­ ten, die ein hochschmelzendes Metall enthalten, gebildet wird.
Ein Substrat mit einer Siliciumscheibe, auf der ein Sili­ ciumoxidfilm mit einer Dicke von 100 nm als ein Isolierfilm gebildet ist, wird zum Auftragen von Cu verwendet. Dann wer­ den verschiedene Arten von darunterliegenden Filmen, die in Tabelle 1 unten gezeigt sind, mit einer Dicke von 90 nm als eine Cu-Diffusionsverhinderungsschicht auf dem Substrat mit­ tels Zerstäubens oder Sputterns gebildet. Insbesondere wird als Material für die Diffusionsverhinderungsschicht ein Dünnfilm aus Nb, Ta, W, Mo, TaN, WN, TaSi2 oder Ti1Si0,6N1,6 verwendet. Dieses mit der Cu-Diffusionsverhinderungsschicht versehene Substrat wird einmal einer Luftatmosphäre ausge­ setzt, um das Substrat zu einem anderen Filmerzeugungsgerät zum Auftragen von Cu zu überführen. Als ein Ergebnis dieses Aussetzens wird ein natürlicher Oxidfilm, der aus einem Oxid eines hochschmelzenden Metalls besteht, das die Diffusions­ verhinderungsschicht bildet, auf der Oberfläche der Diffu­ sionsverhinderungsschicht erzeugt, die die oberste Oberflä­ che des Substrats darstellt.
Dann wird dieses Substrat in einer Reaktionskammer eines thermischen CVD-Geräts befestigt, und ein Cu-Dünnfilm wird auf der Oberfläche des Substrats durch eine thermische CVD- Methode unter den gleichen Bedingungen wie im obigen ersten Beispiel aufgetragen. Insbesondere werden das Quellengas und das O2-Gas gleichzeitig für 30 s zugeführt, und dann wird die Zufuhr des O2-Gases ausgesetzt, und die thermische CVD wird für 90 s fortgesetzt, indem lediglich das Quellengas zugeführt wird, um einen Cu-Film zu bilden.
Die Filmdicke des während 30 s in der Anfangsstufe der Ab­ scheidung gebildeten Cu-Films (das Quellengas und das O2-Gas werden gleichzeitig zugeführt) beträgt etwa 30 nm. Die Ge­ samtdicke des während der gesamten CVD-Periode von 2 min einschließlich der Zeitdauer, in der lediglich das Quellen­ gas zugeführt ist, gebildeten Cu-Films beträgt etwa 250 nm.
Danach wird der auf diese Weise gebildete Cu-Film einer Wär­ mebehandlung unter einem reduzierten Druck 14 min mittels eines Quarzofens des heißen Wandtyps ausgesetzt. Die bei der Wärmebehandlung verwendete Temperatur beträgt 450°C, die verwendete Gasatmosphäre ist H2, und der verwendete Druck ist 0,1 Torr. Nach der Wärmebehandlung wird das Substrat in dieser H2-Atmosphäre auf Raumtemperatur abgekühlt, um eine Probe von diesem Beispiel zu erhalten.
Um das Haftvermögen zwischen dem Cu-Film und der Diffusions­ verhinderungsschicht dieser Probe mit einer Laminatstruktur aus einer Cu/Diffusionsverhinderungsschicht/SiO2/Si-Scheibe zu prüfen, wird ein Abschältest mittels eines Bandes (Scotch mending tape, R810, Sumitomo 3M Co.) durchgeführt. Als ein Ergebnis wird ein Abschälen des Cu-Films überhaupt nicht be­ obachtet.
Andererseits wird die gleiche Art eines Substrats wie in dem obigen Beispiel verwendet, und die herkömmliche thermische CVD, bei der die gleichzeitige Zufuhr von O2-Gas während der CVD weggelassen ist, wird mittels des gleichen Geräts herge­ stellt, wie in dem obigen Beispiel ausgeführt, um so als ein Vergleichsbeispiel einen Cu-Film aufzutragen. Dann wird die­ ser Cu-Film in der gleichen H2-Atmosphäre, wie in dem obigen Beispiel wärmebehandelt und anschließend einem Abschältest unter den gleichen Bedingungen wie in dem obigen Beispiel unterworfen. Als ein Ergebnis wird die Abtrennung des Cu- Films in der Form einer Folie von der Diffusionsverhinde­ rungsschicht beobachtet. Weiterhin wird eine andere Cu-Film­ probe mittels der herkömmlichen CVD-Methode hergestellt, wo­ bei die gleichzeitige Zufuhr von O2-Gas während der CVD weg­ gelassen ist und der aufgetragene Cu-Film nicht einer Wärme­ behandlung unterworfen ist. Wenn diese Cu-Filmprobe dem Ab­ schältest unter den gleichen Bedingungen wie in dem obigen Beispiel unterworfen wird, wird die Abtrennung des Cu-Films in der Form einer Folie von der Diffusionsverhinderungs­ schicht ebenfalls erkannt. Wenn weiterhin eine Cu-Filmprobe in der gleichen Weise wie in dem obigen Beispiel mit der Ausnahme vorbereitet wird, daß die Wärmebehandlung unter einem reduzierten Druck weggelassen ist, und wenn dann der Abschältest unter den gleichen Bedingungen wie in dem obigen Beispiel angewandt wird, dann wird die Abtrennung des Cu- Films von der Diffusionsverhinderungsschicht ebenfalls fest­ gestellt. Die Ergebnisse dieser Beispiele und Vergleichs­ beispiele sind in Tabelle 1 zusammengefaßt.
TABELLE 1
In dieser Tabelle 1 werden die Vergleichsbeispiele A, B und C unter den unten angegebenen Bedingungen erhalten.
Vergleichsbeispiel A: Eine Cu-CVD, die lediglich ein Quel­ lengas verwendet, und eine Wärmebehandlung in einer H2-Atmo­ sphäre.
Vergleichsbeispiel B: Eine Cu-CVD, die lediglich ein Quel­ lengas verwendet, und eine Wärmebehandlung wird nicht durch­ geführt.
Vergleichsbeispiel C: Eine Cu-CVD, die gleichzeitig ein Quellengas und ein O2-Gas verwendet, und eine Wärmebehand­ lung wird nicht durchgeführt.
Die Zeichen o und x geben die folgenden Ergebnisse an.
o: Der Cu-Film wird in dem Bandabschältest nicht abge­ schält.
x: Der Cu-Film wird in dem Bandabschältest abgeschält.
Wie aus Tabelle 1 zu ersehen ist, bestätigt sich, daß durch die Verwendung der Cu-Filmerzeugungsmethode gemäß dieser Er­ findung das Haftvermögen zwischen dem durch das thermische CVD-Verfahren abgeschiedenen Cu-Film und der Diffusionsver­ hinderungsschicht mit einem hochschmelzenden Metall verbes­ sert werden kann. Die bei diesem Beispiel verwendeten Diffu­ sionsverhinderungsschichten sind lediglich Beispiele der darunterliegenden Schicht, die bei dieser Erfindung ange­ wandt werden kann. Somit können andere Arten von hochschmel­ zendem Metall, Legierungen eines hochschmelzenden Metalls, Nitride eines hochschmelzenden Metalls, Silicide eines hoch­ schmelzenden Metalls oder ternäre Legierungen mit einem hochschmelzenden Metall, Si und N, die als Diffusionsverhin­ derungsschicht wirken können, ebenfalls als eine darunter­ liegende Schicht bei dieser Erfindung benutzt werden, um einen Cu-Film mit einem hervorragenden Haftvermögen zu er­ zeugen.
In der obigen Beschreibung wird der natürliche Oxidfilm auf der Oberfläche der Diffusionsverhinderungsschicht erzeugt. Bei dieser Erfindung kann jedoch die Diffusionsverhinde­ rungsschicht ohne den natürlichen Oxidfilm verwendet werden.
Selbst wenn der natürliche Oxidfilm nicht auf der Oberfläche des Diffusionsverhinderungsschicht erzeugt wird, wird die Oberfläche des Diffusionsverhinderungsschicht sofort durch Sauerstoffgas oxidiert, das während des CVD-Prozesses zuge­ führt ist, und der gleiche Zustand wie derjenige mit dem na­ türlichen Oxidfilm wird erhalten.
Beispiel 4
Fig. 4 zeigt eine Kurvendarstellung, die die Verunreini­ gungs- oder Fremdstoffkonzentration in einem Cu-Dünnfilm ge­ mäß einem vierten Beispiel dieser Erfindung veranschaulicht. In diesem Beispiel wird (Hfac)Cu(tmvs) als ein Quellengas verwendet und eine thermische CVD wird durchgeführt, indem gleichzeitig das Quellengas und das O2-Gas zugeführt werden. In diesem Fall schwankt die Verunreinigungs- oder Fremd­ stoffkonzentration des aufgetragenen Cu-Films abhängig von dem Verhältnis im Partialdruck zwischen dem Sauerstoffgas und dem Quellengas. Daher ist eine optimale Durchsatzrate de 99999 00070 552 001000280000000200012000285919988800040 0002019605254 00004 99880s O2-Gases zum Vermindern der Fremdstoffkonzentration in dem Cu-Film herab auf 1/100 oder weniger im Vergleich zu derjenigen gemäß der herkömmlichen Methode hier angegeben.
Der in Fig. 4 gezeigte Cu-Film wird wie folgt vorbereitet. Insbesondere wird eine Siliciumscheibe thermisch oxidiert, um einen Siliciumoxidfilm mit einer Dicke von 100 nm zu er­ zeugen. Dann wird ein TiN-Film auf der Oberfläche der Scheibe als eine Cu-Diffusionsverhinderungsschicht durch Zerstäuben oder Sputtern aufgetragen. Dann wird ein Cu-Dünn­ film mit einer Dicke von etwa 400 nm auf den TiN-Film mit­ tels einer thermischen CVD-Methode abgeschieden. In diesem CVD-Schritt wird (Hfac)Cu(tmvs) als ein Quellengas verwen­ det, und die Temperatur des Substrats während der Abschei­ dung des Cu-Films wird auf 200°C eingestellt. Das O2-Gas wird in die CVD-Reaktionskammer durch eine Verrohrung einge­ führt, die getrennt von der Verrohrung zum Zuführen des (Hfac)Cu(tmvs) vorgesehen ist. Um weiterhin den Effekt der Reduzierung der Menge an Verunreinigungen infolge der gleichzeitigen Zufuhr des O2-Gases klar zu machen, wird die gleichzeitige Zufuhr des O2-Gases ab dem Beginn der Filmer­ zeugung durch die CVD fortgesetzt, bis die Filmerzeugung ab­ geschlossen ist. Die Abhängigkeit des Effekts der Verringe­ rung in der Menge an Verunreinigungen von dem Partialdruck­ verhältnis wurde durch Ändern des Partialdruckverhältnisses gemessen, d. h. durch Einstellen des Durchsatzes des Quellen­ gases auf einen konstanten Wert von 13 sccm und durch Ändern des Durchsatzes des O2-Gases in dem Bereich von 0-50 sccm bei der Vorbereitung der Proben.
Die Abszissenachse in Fig. 4 stellt das Partialdruckverhält­ nis (Po/Ps) dar, wobei Ps den Partialdruck des Quellengases und Po den Partialdruck des O2-Gases bedeuten, und die Ordi­ natenachse stellt die Fremdstoffkonzentration in dem Cu- Film, gemessen mittels Sekundärionenmassenspektrometrie (SIMS) dar. Die insbesondere beobachteten Elemente sind C, O, F und Si, die Bestandteilkomponenten von (hfac)Cu(tmvs) sind, welches das CVD-Quellengas bildet. Da jedoch der Ge­ halt an Si kleiner als die Erfassungsgrenze (< 1 ppm) von SIMS ist, ist Si von Fig. 4 ausgeschlossen. Die Quantifizie­ rung der Fremdstoffkonzentration in einem Cu-Film wurde durch Vergleichen der erfaßten Sekundärionenintensität des Cu-Films mit derjenigen einer Standardprobe durchgeführt, die durch Ionenimplantieren einer vorbestimmten Menge an je­ weils C, O, F und Si in einen hochreinen Cu-Film vorbereitet ist, der mittels Zerstäubens oder Sputterns aufgetragen ist. Die linke Seite der Abszissenachse gibt die Fremdstoffkon­ zentration in dem CVD-Cu-Film an, der durch die herkömmliche Methode erhalten ist, bei der die gleichzeitige Zufuhr von O2 nicht durchgeführt wird.
Es ist aus Fig. 4 zu ersehen, daß unter der Bedingung von Po/Ps ≦ 2 die Konzentrationen von C und F um eine Größenord­ nung im Vergleich zu denjenigen in der Probe abnehmen, die gemäß dem herkömmlichen Verfahren erhalten ist. Darüber hin­ aus nimmt unter der Bedingung von Po/Ps ≦ 2 die Konzentra­ tion von O im Vergleich zu derjenigen in der Probe ab, die gemäß der herkömmlichen Methode erhalten ist, trotz der Zu­ fuhr von O2-Gas, um so anzuzeigen, daß die gleichzeitige Zu­ fuhr von O2-Gas wirksam beim Verhindern des Einschlusses von Verunreinigungen ist, die auf einer übermäßigen Zersetzung des Quellengases beruhen, wenn die Abscheidungsbedingungen geeignet gewählt sind. Wenn insbesondere das Po/Ps-Verhält­ nis im wesentlichen 1 beträgt, nehmen die Konzentrationen von C und F auf etwa 1/100 des herkömmlichen CVD-Cu-Films ab, um so einen hervorragenden Effekt zum Verbessern der Reinigung des Cu-Films anzuzeigen.
In diesem Beispiel wird eine TiN-Schicht, die einmal einer Luftatmosphäre ausgesetzt ist, als eine Diffusionsverhinde­ rungsschicht verwendet. Jedoch ist es auch möglich, ohne den oben erwähnten Effekt der Verbesserung der Vereinigung des CVD-Cu-Films gemäß dieser Erfindung zu vermindern, übermäßig Cu direkt auf der TiN-Schicht abzuscheiden, ohne die TiN- Schicht einer Luftatmosphäre auszusetzen.
Die Ursache hierfür ist die folgende. In dem herkömmlichen thermischen CVD-Prozeß sind Verunreinigungen in dem Cu-Film enthalten, ob der natürliche Oxidfilm auf der Oberfläche der TiN-Schicht vorhanden ist oder nicht. Da andererseits bei dem CVD-Prozeß dieser Erfindung die Oberfläche der TiN- Schicht sofort durch das während des CVD-Prozesses zuge­ führte Sauerstoffgas oxidiert wird, kann der Cu-Film von ho­ her Reinheit in der gleichen Weise wie in dem Fall erhalten werden, in welchem der natürliche Oxidfilm auf der Oberflä­ che der TiN-Schicht gebildet wird.
In diesem Beispiel ist die Tatsache erläutert, daß der durch die gleichzeitige Zufuhr des Quellengases und des O2-Gases in der Anfangsstufe der CVD bei der Abscheidung eines Cu- Filmes zu erhaltende sauerstoffhaltige Cu-Film die Fremd­ stoffkonzentration in dem CVD-Cu-Dünnfilm beeinflußt, der auf diesem sauerstoffhaltigen Cu-Film abzuscheiden ist, in­ dem lediglich das Quellengas zugeführt wird. Es ist auch ein Verfahren zum Erzeugen des sauerstoffhaltigen Cu-Films sowie die Konzentration von Sauerstoff in dem sauerstoffhaltigen Cu-Film gezeigt, die am meisten zum Reinigen des CVD-Cu- Dünnfilms geeignet sind.
Fig. 5 zeigt einen Graph, der die Abhängigkeit der Fremd­ stoffkonzentration in der Cu-Schicht f2 von der Sauerstoff­ konzentration in der Cu-Schicht f1 veranschaulicht, wobei die Cu-Schicht f2 mittels eines CVD-Verfahrens abgeschieden wird, indem lediglich ein Quellengas auf verschiedenen Arten der Cu-Schicht f1 verwendet wird, die jeweils als ein Bei­ spiel einer darunterliegenden Schicht verschiedene Sauer­ stoffkonzentrationen haben. Die linke Seite der Abszissen­ achse von Fig. 5 stellt Vergleichsbeispiele dar, wobei die Cu-Schicht f2 auf einer hochreinen Cu-Unterschicht (Sauer­ stoffkonzentration < 1 ppm) mittels einer lediglich das Quellengas verwendenden CVD-Methode abgeschieden ist, wäh­ rend die rechte Seite der Abszissenachse in Fig. 5 her­ kömmliche Beispiele wiedergibt, wobei die Cu-Schicht f2 auf einer TiN-Unterschicht mittels einer CVD-Methode aufgetragen ist, die lediglich das Quellengas verwendet.
Jede der Proben dieses in Fig. 5 gezeigten Beispiels wurde wie folgt vorbereitet. Insbesondere wird eine Silicium­ scheibe thermisch oxidiert, um einen Siliciumoxidfilm mit einer Dicke von 100 nm zu bilden. Dann wird ein TiN-Film auf der Oberfläche der Scheibe als eine Cu-Diffusionsverhinde­ rungsschicht durch Zerstäuben oder Sputtern aufgetragen. Dann wird die Cu-Schicht f1 auf den TiN-Film mittels einer thermischen CVD-Methode aufgetragen, indem gleichzeitig (Hfac)Cu(tmvs) und ein O2-Gas zugeführt werden, wobei die Temperatur des Substrats während der Auftragung oder Ab­ scheidung auf 200°C gehalten ist. Die Sauerstoffkonzentra­ tion der sauerstoffhaltigen Cu-Schicht wird verändert, indem der Durchsatz des O2-Gases geändert wird. Die Filmdicke der sauerstoffhaltigen Cu-Schicht wird auf 100 nm eingestellt. Danach wird die Cu-Schicht f2 mit einer Dicke von 300 nm auf dem Substrat abgeschieden, das in der oben beschriebenen Weise durch ein CVD-Verfahren vorbereitet ist, indem ledig­ lich das (Hfac)Cu(tmvs) als ein Quellengas zugeführt wird, wobei die Temperatur des Substrats auf 200°C während der Ab­ scheidung gehalten ist. Die Verunreinigungs- oder Fremd­ stoffkonzentrationen in der Cu-Schicht f1 und der Cu-Schicht f2 werden mittels SIMS gemessen.
Wie aus Fig. 5 zu ersehen ist, wird klar verstanden, daß es durch eine geeignete Steuerung der Sauerstoffkonzentration in der untenliegenden Cu-Schicht f1 möglich ist, einen hoch­ reinen Cu-Film mittels einer CVD-Methode abzuscheiden, indem lediglich das Quellengas von (Hfac)Cu(tmvs) verwendet wird. Wenn insbesondere die Sauerstoffkonzentration in der unten­ liegenden Cu-Schicht f1 etwa 2000 ppm oder weniger beträgt, können die Konzentrationen von C und F in der darauf abge­ schiedenen Cu-Schicht f2 auf 10 ppm oder weniger gesteuert werden, um so die Konzentrationen von C und F herab auf etwa 1/100 des herkömmlichen CVD-Cu-Films zu vermindern und einen hervorragenden Effekt des erfindungsgemäßen Verfahrens für die Verbesserung der Reinigung des Cu-Films anzuzeigen.
In dem Vergleichsbeispiel wird ein Cu-Film einer hohen Rein­ heit, der durch Sputtern oder Zerstäuben gebildet ist, als eine untenliegende Schicht verwendet, und die CVD-Cu-Schicht f2, die darauf abgeschieden ist, enthält eine ausreichend verminderte Konzentration an Fremdstoffen oder Verunreini­ gungen. Jedoch tritt das folgende Problem bei dem Verfahren hinsichtlich der Verwendung eines durch Sputtern erzeugten hochreinen Cu-Films als untenliegende Schicht auf. Insbeson­ dere wird in dem Fall einer Halbleitervorrichtung, die eine Cu-Verdrahtung erfordert, die Mehrschicht-Verdrahtungsstruk­ tur hiervon zunehmend dicht oder fein in der Verdrahtung und hoch im Seitenverhältnis, so daß es schwieriger wird, falls eine physikalische Abscheidungsmethode, wie beispielsweise Sputtern, zu verwenden ist, einen Cu-Dünnfilm als eine un­ tenliegende Schicht abzuscheiden, während eine hervorragende Stufenbedeckung über einem Stufenteil eines höheren Seiten­ verhältnisses beibehalten wird, das bei einer derartigen Mehrlagen-Verdrahtungsstruktur erwartet werden kann.
Wenn dagegen das erfindungsgemäße Verfahren, bei dem eine sauerstoffhaltigen Cu-Schicht mittels eines CVD-Verfahrens abgeschieden wird, angewandt wird, ist es möglich, das Stu­ fenbedeckungsverhalten, d. h. eines der Merkmale der CVD-Me­ thode, hervorragend zu machen, so daß eine Cu-Schicht einer hohen Reinheit selbst auf einem Substrat abgeschieden werden kann, das einen Stufenteil von einem hohen Seitenverhältnis begleitet.
Die Filmdicke der sauerstoffhaltigen Cu-Schicht gemäß dieser Erfindung sollte vorzugsweise aus den folgenden beiden Grün­ den so dünn als möglich sein. Ein erster Grund liegt darin, daß es wünschenswert ist, das Absolutvolumen des Sauerstoffs zu minimieren, der aus der sauerstoffhaltigen Cu-Schicht zu der oberen Cu-Schicht diffundiert. Eine zweite Ursache liegt darin, daß die Abscheidungsrate von Cu unter eine CVD-Bedin­ gung abnimmt, wenn die Cu-Schicht, die Sauerstoff ohne Ver­ unreinigungen, wie beispielsweise F und C enthält, abge­ schieden wird, so daß es wünschenswert ist, die Dicke der sauerstoffhaltigen Cu-Schicht hinsichtlich der Produktivität so dünn als möglich zu machen.
In den Beispielen 1 bis 6 ist die gleichzeitige Zufuhr des O2-Gases auf eine vorbestimmte Zeitdauer ab dem Beginn der Abscheidung des Cu-Dünnfilms beschränkt. Falls jedoch die Abscheidungsrate des Cu-Films vernachläßigt werden kann, muß die Zufuhr des O2-Gases nicht notwendig ausgesetzt oder sus­ pendiert werden. Es ist auch möglich, eine andere Art eines Oxidiergases, wie beispielsweise Ozon-Gas, anstelle des Sau­ erstoffgases zu verwenden.
Wenn kein natürlicher Oxidfilm auf einem Diffusionsverhinde­ rungsfilm erzeugt ist, der eine untenliegende Schicht bil­ det, tritt das Problem einer Haftung zwischen Cu und der un­ tenliegenden Schicht nicht auf, selbst wenn das herkömmliche Verfahren verwendet wird. Hinsichtlich der in dem Cu-Film gemäß dieser Erfindung gebildeten Verunreinigungen kann je­ doch der gleiche Effekt, wie oben in dem ersten Beispiel be­ schrieben, erhalten werden, selbst wenn der natürliche Oxid­ film auf der Oberfläche der untenliegenden Schicht nicht vorhanden ist.
In den obigen Beispielen wurde ein Verfahren des Bildens eines hochreinen Kupfer-Dünnfilms mit hervorragender Haftung bezüglich der untenliegenden Schicht erläutert. Nunmehr wird ein chemisches Dampfabscheidungsgerät zum Erzeugen eines Kupfer-Dünnfilms im folgenden beschrieben.
Zunächst wird ein Gerät beschrieben, das fähig ist, stabil einen großen Durchsatz an Gas, wie beispielsweise einen or­ ganometallischen Komplex, der ein Quellengas bildet, für die chemische Dampfabscheidung über der Oberfläche eines Sub­ strats zu liefern.
Bei der Auftragung oder Abscheidung eines metallischen Dünn­ films mit einer hervorragenden Oberflächenglätte ist die Steuerung des Partialdruckes der organometallischen Quelle sehr wichtig. Daher zeichnet sich das chemische Dampfab­ scheidungsgerät gemäß diesem Beispiel dadurch aus, daß der Zufuhrdurchsatz einer zu einem Verdampfer (oder einer Ver­ dampfungskammer) zu speisenden flüssigen Quelle so gesteuert ist, daß die Steuerung des Partialdrucks erreicht wird. Da­ her ist dieser Verdampfer mit einer Vorrichtung versehen, um unmittelbar die flüssige Quelle zu verdampfen, ohne den Fluß der Quelle einzuschränken. Insbesondere zeichnet sich dieser Verdampfer oder Zerstäuber dadurch aus, daß er eine Vielzahl von konusförmigen Verdampfungsoberflächen hat, wobei die Zu­ fuhröffnung für das flüssige Quellenmaterial an der Spitze hiervon vorgesehen ist, und wobei die Verdampfungsoberflä­ chen mit einer Vielzahl von Rillen versehen sind, die ab­ wärts von der Zufuhröffnung verlaufen, um das Zurückhalten der flüssigen Quellenströmung, die aus der Zufuhröffnung ausgeworfen ist, zu verhindern.
Bei dieser Struktur des Verdampfers ist es möglich, ohne ein Zurückhalten der Flüssigkeitsquelle zu verursachen, unmit­ telbar die in den Verdampfer eingeführte Flüssigkeitsquelle zu verdampfen. Die Verdampfungsoberfläche sollte vorzugs­ weise aus einem Isoliermaterial, wie beispielsweise Oxiden (Siliciumoxid) oder Nitriden (Siliciumnitrid) gebildet sein. Da die Verdampfungsoberfläche aus einem leitenden Material, wie beispielsweise einem Metall, gebildet ist, neigt die Quelle dazu, aufgrund des katalytischen Effektes der metal­ lischen Oberfläche zersetzt zu werden, so daß, selbst wenn die Temperatur der Quelle auf einen niedrigen Grad um die Verdampfungstemperatur gesteuert ist, die im allgemeinen ausreichend niedrig ist, um die Zersetzung der Quelle zu verhindern, die Zersetzung der Quelle fortschreiten kann, so daß der Verdampfer mit den zersetzten Produkten eines nied­ rigen Dampfdruckes gefüllt wird.
Die Speicherkammer zum Speichern eines organischen Metalles zeichnet sich dadurch aus, daß sie fähig ist, niedriger als die oder gleich zu der Raumtemperatur gekühlt und bei einem mit Druck beaufschlagten Zustand gehalten zu werden, wenn sie mit einem Inertgas, wie beispielsweise einem Ar-Gas ge­ füllt ist. Der Zweck des Kühlens der Speicherkammer liegt im Sperren des Denaturierens der Quelle infolge der thermischen Zersetzung eines organischen Metalls, wie oben erläutert, bezüglich des Verdampfers.
Eines der Merkmale dieses Geräts liegt darin, daß die Spei­ cherung einer Quelle, die leicht zersetzt werden kann, in der Speicherkammer durchgeführt wird, die ständig gekühlt ist, und lediglich eine erforderliche Menge der Quelle zum Bewirken einer chemischen Dampfabscheidung wird zu dem Ver­ dampfer gespeist. Als ein Ergebnis kann die Zersetzung eines Rohmaterials während der Speicherung hiervon gesperrt wer­ den, und die Schwankung des Dampfdruckes aufgrund des Dena­ turierens oder einer Verschlechterung der Quelle oder die Schwankung in der Qualität des sich ergebenden Cu-Films kann verhindert werden. Da weiterhin das Innere der Speicherkam­ mer bei einem mit Druck beaufschlagten Zustand durch die Einführung eines Inertgases gehalten werden kann, wird die flüssige Oberfläche des organischen Metalles unter Druck be­ aufschlagt gehalten, um es so zu ermöglichen, das organische Metall zu dem Verdampfer über ein Zufuhrsystem in einer mit Druck beaufschlagten Weise zu liefern.
Die Speicherkammer steht in Verbindung mit dem Verdampfer über ein Zufuhrgerät mit einem feinen Rohr und einem Piezo- Ventil. Das Schalten des Piezo-Ventils ist mit einem Mano­ meter verkettet, das in der Reaktionskammer angeordnet ist. Insbesondere wird der Druck in der Reaktionskammer während der chemischen Dampfabscheidung durch das Manometer, bei­ spielsweise ein in der Reaktionskammer angeordnetes Bara­ tron-Manometer erfaßt, und aufgrund dieses erfaßten Druckes wird das Piezo-Ventil geschaltet, so daß der Partialdruck des Quellengases auf einen vorbeschriebenen Bereich gesteu­ ert wird, um so den Druck in der Reaktionskammer konstant zu machen. Wenn der Zufuhrdurchsatz der Quelle genügend wird, um den metallischen Dünnfilm in einer vorgeschriebenen Dicke auftragen zu lassen, wird das Piezo-Ventil geschlossen, und die chemische Dampfabscheidung wird beendet.
Für den Zweck der Zufuhr des Dampfes des organometallischen Komplexes, der in dem Verdampfer gleichmäßig über der Ober­ fläche des Substrats verdampft ist, ist eine Richtplatte zwischen dem Verdampfer und der Reaktionskammer angeordnet. Die Richtplatte ist mit einer großen Anzahl von Gasauswurf­ öffnungen, die dem Substrat und Teilungen der Reaktionskam­ mer von dem Verdampfer gegenüberliegen, versehen. Die Richt­ platte hat einen Oberflächenbereich, der größer ist als der­ jenige des Substrats, und die Fläche des Befestigungsbe­ reichs der auf der Richtplatte gebildeten Gasauswurföffnun­ gen ist größer als diejenige des Substrats. Durch das Vorse­ hen dieser Richtplatte kann das in dem Verdampfer verdampfte organometallische Komplexgas gleichmäßig über das Substrat mittels der Gasauswurföffnungen gespeist werden.
Es ist auch möglich, einen Mechanismus zwischen der Richt­ platte und dem Verdampfer vorzusehen, um den Verdampfer von der Reaktionskammer abzuschließen, so daß es möglich ist, die Zufuhr des Quellengases zu der Reaktionskammer einzulei­ ten oder auszusetzen.
Die schematische Struktur des obigen chemischen Dampfab­ scheidungsgeräts wird im folgenden erläutert.
Fig. 6 zeigt eine schematische Darstellung des chemischen Dampfabscheidungsgeräts. In Fig. 6 bezeichnet das Bezugszei­ chen 41 eine Reaktionskammer zum Erzeugen eines Films mit­ tels chemischer Dampfabscheidung. Das Innere der Reaktions­ kammer 41 kann über ein Leitungsventil 41d durch eine bei 45c angedeutete Vakuumpumpe entleert oder abgesaugt werden. Die Reaktionskammer 41 ist darin mit einem Suszeptor 41c aus einem Quarzglas versehen, der eine Siliciumscheibe 41b (ein Substrat) hält, wobei die Oberfläche hiervon abwärts gerich­ tet ist. Die Siliciumscheibe wird über den Suszeptor auf einen Heizblock 41a gepreßt, um erwärmt zu werden, was es möglich macht, die Oberflächentemperatur hiervon auf einen vorbestimmten Bereich einzustellen. Somit wird der ein Quel­ lengas bildende organometallische Komplex veranlaßt, auf der erwärmten Oberfläche des Substrats zersetzt zu werden, was es erlaubt, einen vorbestimmten metallischen Film darauf ab­ zuscheiden.
Weiterhin ist ein Verdampfer 43 vorgesehen, um den in einem flüssigen Zustand zugeführten organometallischen Komplex zu verdampfen. Der Verdampfer kann insgesamt mittels einer Heizeinrichtung erwärmt werden. Der Querschnitt des Verdamp­ fers 43 ist in der Gestaltung kreisförmig, und die Zufuhr­ öffnung 43d der flüssigen Quelle ist in der Mitte der kreis­ förmigen Gestalt angeordnet. Bei diesem Verdampfer 43 ist eine konusförmige Verdampfungsoberfläche 43a vorgesehen, wo­ bei die Zufuhröffnung für die flüssige Quelle an der Spitze hiervon vorgesehen ist. Diese Verdampfungsoberfläche 43a ist aus einem Quarzglas gebildet und mit einer Vielzahl von Drähten 43b versehen. Der organische Komplex wird verdampft, wenn er abwärts längs der erwärmten Verdampfungsoberfläche 43a läuft und in die Reaktionskammer 41 eingeführt wird, wie dies durch 45b angedeutet ist.
Der Verdampfer 43 kann über ein Ventil 43e getrennt von der Reaktionskammer 41 entleert werden, um so jegliches Restgas, wie beispielsweise Wasserdampf, aus dem Verdampfer 43 zu entladen. Auf dem oberen Teil des Verdampfers 43 ist ein Ventil 43c befestigt, das die Reaktionskammer 41 von dem Verdampfer 43 unterteilt.
Das Bezugszeichen 42 bezeichnet eine Richtplatte, die vorge­ sehen ist, um den Dampf des in dem Verdampfer verdampften organometallischen Komplexes gleichmäßig über die Oberfläche des Substrats zu speisen, und die mit einer Vielzahl von kleinen Löchern versehen ist. Die Richtplatte 42 kann an zahlreichen Stellen längs der Quellengasströmung ab der Stromaufseite bis zu der Stromabseite hiervon angeordnet sein. In diesem Fall sollte wenigstens eine der Richtplatten 42, die am nächsten zu der Reaktionskammer 41 angeordnet ist, von der Größe sein, die größer als der Durchmesser der das Substrat bildenden Scheibe ist. Gas 42b, wie beispiels­ weise in Inertgas, kann auch über ein Ventil 42a über die Richtplatte 42 eingeführt werden, wobei es ermöglicht wird, die Zufuhr des Gases zu steuern.
Der flüssige organometallische Komplex 45a als eine Quelle kann in der Speicherkammer 44 gespeichert werden. Diese Speicherkammer 44 wird bei einer niedrigen Temperatur (10°C) ständig mittels eines Kühltanks 44e gehalten. Das Innere der Speicherkammer 44 ist mit einem Inertgas (Ar-Gas) gefüllt, wie dies durch 44d angedeutet ist, um so auf einem mit Druck beaufschlagten Zustand (980 Torr) gehalten zu sein. Insbe­ sondere ist die flüssige Oberfläche des in die Speicherkam­ mer 44 gefüllten organometallischen Komplexes 45a mit dem über das Ventil 44c eingeführten Inertgas 44d auf einem mit Druck beaufschlagten Zustand gehalten, so daß es möglich ist, den organometallischen Komplex in einer mit Druck be­ aufschlagten Weise zu dem Verdampfer 43 durch Öffnen eines Ventils 44a zu liefern.
Die Speicherkammer 44 steht in Verbindung mit dem Verdampfer 43 über ein feines Rohr 44b, und ein Piezo-Ventil 44a ist auf einem Teil des feinen Rohres 44b angeordnet, der gerade vor dem Verdampfer 43 liegt. Das Schalten des Piezo-Ventils 44a wird durch das Ausgangssignal von dem Baratron-Manometer 41e betätigt, das in der Reaktionskammer 41 vorgesehen ist. Insbesondere wird ein Ein- und Ausschalten des Piezo-Ventils 44a bewirkt, um den Partialdruck innerhalb der Reaktionskam­ mer 4 so zu steuern, daß er auf einem vorbestimmten Druck gehalten ist. Der Durchmesser des feinen Rohres 44b sollte vorzugsweise so klein wie möglich sein, damit eine kleine Menge an der flüssigen Quelle in hervorragend gesteuerter Weise zugeführt werden kann. In diesem Beispiel wird ein Glasrohr mit einem Innendurchmeser von 0,5 mm als das feine Rohr 44b verwendet.
Die Fig. 9A und 9B veranschaulichen ein anderes Beispiel des Verdampfers. Dabei sind vorgesehen eine Zufuhröffnung 53d für eine flüssige Quelle, eine Verdampfungsoberfläche 53a und ein Graben 53b. Wie in diesen Figuren gezeigt ist, kann darauf eine Vielzahl von Zufuhrmechanismen befestigt werden. Bei dieser Konstruktion ist es möglich, momentan eine große Menge eines organometallischen Komplexes zu verdampfen und übermäßig den Ursprung der Verdampfung zu erzeugen. Daher ist es möglich, eine große Menge an Quellengas gleichmäßig über die Oberfläche einer Scheibe eines großen Durchmessers zu speisen.
Für den organischen Kupferkomplex kann β-Diketonat-Kupfer- Verbindung, die bei Raumtemperatur eine Flüssigkeit ist, verwendet werden. Insbesondere ist ein organischer Kupfer­ komplex vorzuziehen, der einen hohen Dampfdruck hat und auf der Oberfläche des Substrats bei einer relativ niedrigen Temperatur zersetzt werden kann. Spezifische Beispiele einer derartigen Verbindung sind Hexafluoroacetylacetonat-Trime­ thylvinylsilan-Kupfer {[(CF3CO)2CH]Cu(C5H12Si)}, Hexafluoroacetylacetonat-Trimethylsilylacetylen-Kupfer {[(CF3CO)2CH]Cu(C5H10Si)}, Hexafluoroacetylacetonat­ bistrimethylsilylacetylen-Kupfer {[(CF3CO)2CH]Cu(C5H9Si)} oder eine Mischung von irgendwelchen dieser organischen Kupferkomplexe.
Der Dampfdruck dieser organischen Kupferkomplexe ist relativ hoch. Da jedoch der Durchmesser einer ein Substrat bildenden Siliciumscheibe größer wird, muß das Volumen der Reaktions­ kammer extrem größer sein, so daß es gemäß dem herkömmlichen Verfahren sehr schwierig ist, einen ausreichenden Grad eines Zufuhrdurchsatzes des organischen Kupferkomplexes sicherzu­ stellen.
Dagegen wird das obige Problem gemäß dem Verfahren der vor­ liegenden Erfindung gelöst, bei dem der organische Kupfer­ komplex, der in einem Zustand einer Flüssigkeit zugespeist ist, unmittelbar verdampft wird, ohne zurückgehalten zu werden, indem ein Verdampfer verwendet wird, der Eigen­ schaften hat, wie diese oben erläutert sind, so daß es mög­ lich ist, einen großen Durchsatz des organischen Kupferkom­ plexes zu der Reaktionskammer zu speisen.
Da darüber hinaus der organische Kupferkomplex nicht in dem Verdampfer zurückgehalten ist, ist der Zufuhrdurchsatz des organischen Kupferkomplex zu der Reaktionskammer identisch mit dem Zufuhrdurchsatz der flüssigen Quelle. Wenn demgemäß die Menge der Quelle, die in einem Filmerzeugungsprozeß zu verwenden ist, zuvor bestimmt wird, kann der Betrieb des Bildens eines Filmes ausgesetzt werden, wenn diese Menge der Quelle verbraucht ist, um es so zu ermöglichen, stabil einen Film einer vorgeschriebenen Dicke in guter Reproduzierbar­ keit zu erhalten.
Da darüber hinaus die Verwendung jeglichen Trägergases prin­ zipiell bei dem dieses Gerät verwendenden Verfahren zum Er­ zeugen eines dünnen Films nicht erforderlich ist, besteht keine Möglichkeit eines Absenkens der Konzentration des Quellengases durch den Zusatz eines Trägergases, so daß die Ausnutzung des Quellengases verbessert wird und dieses Ver­ fahren hinsichtlich der Produktionskosten vorteilhaft ist.
Ein Leitungs- oder Durchgangsventil kann zwischen der Reak­ tionskammer und einer Vakuumpumpe zum Entleeren der Reakti­ onskammer angeordnet sein, und das Öffnungsverhältnis des Leitungsventils kann automatisch durch den Druck innerhalb der Reaktionskammer gesteuert werden, um so den Druck inner­ halb der Reaktionskammer konstant zu halten. Auch in diesem Fall ist das Verfahren des Verwendens eines großen Durch­ satzes bei der Einspeisung des Quellengases gemäß der Erfin­ dung nützlich, um einen Kupfer-Dünnfilm mit einer hervorra­ genden Oberflächenglätte zu erhalten.
Im folgenden wird ein Verfahren zum Erzeugen eines metalli­ schen Dünnfilms beschrieben, das das oben erwähnte chemische Dampfabscheidungsgerät verwendet.
Beispiel 6
Dieses Beispiel veranschaulicht ein Beispiel zum Erzeugen eines Kupfer-Dünnfilms auf einer Scheibe (TiN : 60 nm/SiO2 : 100 nm/Si-Scheibe) mit einer TiN-Schicht als einer untenliegen­ den Schicht für ein Abscheiden mittels des in Fig. 6 gezeig­ ten chemischem Dampfabscheidungsgeräts.
Das TiN ist ein Sperr- ober Barrieremetall, um zu verhin­ dern, daß Cu-Atome in die SiO2-Schicht oder die Si-Schicht diffundieren. Die Abscheidungstemperatur oder die Oberflä­ chentemperatur des Substrats ist auf 160°C eingestellt. Die Reaktionskammer und der Verdampfer werden auf 5 × 10-2 Torr entleert. Dann wird das in Fig. 6 gezeigte Ventil 42a geöff­ net, um Ar mit einem Durchsatz von 100 sccm fließen zu las­ sen. In diesem Zeitpunkt wird das Öffnungsverhältnis des Leitungsventils 41d so eingestellt, daß der Druck innerhalb der Reaktionskammer auf 2,5 × 10-1 Torr eingestellt ist. So­ dann wird das Ventil 42a geschlossen, um die Zufuhr des Ar- Gases zu stoppen, und die Reaktionskammer 41 wird wieder entleert. Der Verdampfer wird auf 60°C erwärmt. Als eine Quelle für chemische Dampfabscheidung wird Hexafluoro­ acetylacetonat-Trimethylvinylsilan-Kupfer {[(CF3CO)2CH]Cu(C5H12Si)} verwendet.
Dann wird der automatische Steuermechanismus zum Steuern des Druckes innerhalb der Reaktionskammer, der durch das Piezo- Ventil 44a bewirkt werden kann, in den Bereitschaftszustand gehalten, und der Einstellwert der Druckbeibehaltung wird auf 2,5 × 10-1 Torr eingestellt. Dann wird der automatische Steuermechanismus in einen Betriebszustand gebracht, und das Piezo-Ventil 44a wird geöffnet, um so die Quelle zu dem Ver­ dampfer 43 zu speisen, damit der Druck innerhalb der Reak­ tionskammer 41 bei 2,5 × 10-1 Torr gehalten wird, so daß die chemische Dampfabscheidung des Kupfer-Dünnfilmes fortschrei­ ten kann. Das Absperr- oder Durchlaßventil 43c, das zwischen der Reaktionskammer 41 und dem Verdampfer 43 angeordnet ist, kann gleichzeitig mit der Zufuhr des organischen Kupferkom­ plexes oder vor der Zufuhr des organischen Kupferkomplexes geöffnet werden. Wenn die Menge der flüssigen Quelle, die durch das Piezo-Ventil 44a verläuft, 0,8 g annimmt, wird das Piezo-Ventil 44a geschlossen, um so die Zufuhr des Quellen­ gases und die Abscheidung des Kupferfilmes auszusetzen. Die bis zu diesem Zeitpunkt verbrauchte Gesamtzeit beträgt etwa 5 min.
Der so erhaltene Kupferfilm hat eine spiegelähnliche Ober­ fläche, und das Reflexionsvermögen des Films, das ein Anzei­ chen der Oberflächenglätte ist, wird zu 95% gefunden (das Reflexionsvermögen des Kupferfilms mit einer Dicke von 400 nm, der bei Raumtemperatur mittels Zerstäubens oder Sput­ terns abgeschieden ist, wird zu 100% angenommen), wenn mit­ tels eines Lichts mit einer Wellenlänge von 780 nm gemessen wird. Dies zeigt, daß die Oberflächenglätte des Kupfer-Dünn­ films, der durch das obige chemische Dampfabscheidungsver­ fahren zu erhalten ist, vergleichbar zu demjenigen ist, das durch die Zerstäubungs- oder Sputtermethode zu erhalten ist.
Wenn der elektrische Widerstand des Kupfer-Dünnfilms gemäß diesem Beispiel mittels der Vierspitzenmethode der Messung des spezifischen Widerstands bewertet wird, so wird ein spe­ zifischer Widerstand des Kupfer-Dünnfilms zu 2,0 µΩ.cm er­ mittelt, was niedriger ist als der Wert (2,8 µΩ.cm) des her­ kömmlichen Aluminium-Dünnfilms, um so eine hervorragende Ausnutzung der feinen Zwischenverbindungs-Verdrahtung mit niedrigem Widerstandswert anzuzeigen. Wenn weiterhin die Fremdstoffelemente in einem Cu-Dünnfilm mittels einer Auger- Elektronenspektroskopie analysiert werden, sind jegliche Elemente wie C, O, F und Si, die in den organometallischen Komplex eingeschlossen sind, kleiner als die Erfassungs­ grenze (etwa 2%), was eine hohe Reinheit des in diesem Bei­ spiel erhaltenen Kupfer-Dünnfilms anzeigt.
Beispiel 7
Dieses Beispiel veranschaulicht ein Beispiel des Bildens eines Kupfer-Dünnfilms, der hervorragend in der Stufenbe­ deckung und Oberflächenglätte ist, indem das in Fig. 6 gezeigte chemische Dampfabscheidungsgerät verwendet wird. Als ein Substrat wird eine Siliciumscheibe, die auf ihrer Oberfläche mit einem Siliciumoxidfilm versehen ist, ver­ wendet, und ein Muster eines Grabens wird auf dem Sili­ ciumoxidfilm gebildet, indem eine Lithographietechnik be­ nutzt wird. Danach wird TiN als ein Sperr- oder Barriere­ metall überall auf der Oberfläche des Grabens aufgetragen, um so ein Substrat für eine Abscheidung vorzubereiten. Ins­ besondere ist die Stapelstruktur dieses Substrats gegeben duch TiN : 30 nm/SiO2 : 400 nm/Si-Scheibe. Das auf dem SiO2 ge­ bildete Grabenmuster beträgt 400 nm in der Tiefe und 330 nm in der Breite.
Die Abscheidungstemperatur oder Oberflächentemperatur des Substrats wird auf 160°C eingestellt. Die Reaktionskammer und der Verdampfer werden auf 5 × 10-2 Torr entleert oder abgesaugt. Dann wird das in Fig. 6 gezeigte Ventil 42a ge­ öffnet, um Ar mit einem Durchsatz von 100 sccm strömen zu lassen. In diesem Zeitpunkt ist das Öffnungsverhältnis des Leitungsventils 41d so eingestellt, daß der Druck innerhalb der Reaktionskammer 2,5 × 10-1 Torr beträgt. Sodann wird das Ventil 42a geschlossen, um die Zufuhr des Ar-Gases zu stop­ pen, und die Reaktionskammer 41 wird wieder entleert. Der Verdampfer wird auf 60°C erwärmt. Als eine Quelle für chemi­ sche Dampfabscheidung wird Hexafluoroacetylacetonat-Trime­ thylvinylsilan-Kupfer {[(CF3CO)2CH]Cu(C5H12Si)} verwendet.
Dann wird der automatische Steuermechanismus zum Steuern des Druckes innerhalb der Reaktionskammer, der auch durch das Piezo-Ventil 44a bewirkt wird, in den Bereitschaftszustand gehalten, und der Einstellwert der Druckbeibehaltung wird auf 2,5 × 10-1 Torr eingestellt. Sodann wird der automati­ sche Steuermechanismus in einen Betriebszustand gebracht, und das Piezo-Ventil 44a wird geöffnet, um so die Quelle zu dem Verdampfer 43 zu speisen, damit der Druck innerhalb der Reaktionskammer auf 2,5 × 10-1 Torr gehalten wird, damit die chemische Dampfabscheidung des Kupfer-Dünnfilms fortschrei­ ten kann. Das Absperrventil 43c, das zwischen der Reaktions­ kammer 41 und dem Verdampfer 43 angeordnet ist, kann gleich­ zeitig mit der Zufuhr des organischen Kupferkomplexes oder vor der Zufuhr des organischen Kupferkomplexes geöffnet wer­ den.
Um zunächst die hervorragende Eigenschaft in der Stufenbe­ deckung des abgeschiedenen Films zu bestätigen, wird die Zu­ fuhr des organischen Kupferkomplexes ausgesetzt, um so die Abscheidung des Cu zu beenden, bevor der Graben vollständig mit Cu vergraben ist. Wenn insbesondere die Menge der durch as Piezo-Ventil 44a geschickten flüssigen Quelle den Wert 0,16 g annimmt, wird das Piezo-Ventil 44a geschlossen, um so die Zufuhr des Quellengases und die Abscheidung des Kupfer­ filmes beide auszusetzen. Die bis zu diesem Zeitpunkt ver­ brauchte Gesamtzeit beträgt etwa 1 min.
Der so erhaltene Kupferfilm ist ein kontinuierlicher Film und hervorragend in der Oberflächenglätte trotz der Tatsa­ che, daß die Filmdicke des so erhaltenen Kupferfilms einen sehr dünnen Wert von 80 nm hat. Weiterhin ist die Filmdicke innerhalb des Grabens nahezu identisch zu derjenigen außer­ halb des Grabens (ein Terrassenteil), und der Randteil des Grabens ist ebenfalls gleichmäßig mit der Abscheidung von Cu bedeckt, um so eine hervorragende Stufenüberdeckung der Cu-Abscheidung anzuzeigen.
In einem anderen Beispiel wird das Grabenmuster vollständig mit Cu gefüllt. Dann werden die gleichen Prozeduren, wie oben erläutert, wiederholt, mit der Ausnahme, daß das flüs­ sige Rohmaterial in einer Menge von 0,8 g zugespeist ist. Die in diesem Fall verbrauchte Gesamtzeit beträgt etwa 5 min. Als ein Ergebnis ist es möglich, daß der Graben flach mit Cu begraben werden konnte, ohne die Erzeugung von Leer­ stellen selbst nach irgendeiner Wärmebehandlung nach der Ab­ scheidung von Cu zu verursachen.
Beispiel 8
In diesem Beispiel wird der Einfluß des Quellengasdruckes auf die Form des abgeschiedenen Kupfer-Dünnfilms experimen­ tell mittels des in Fig. 6 gezeigten chemischen Dampfab­ scheidungsgeräts geprüft. Die Oberflächentemperatur des Sub­ strats wird auf 160°C eingestellt. Die chemische Dampfab­ scheidung des Kupfer-Dünnfilms wird durchgeführt, wobei der Partialdruck des organischen Kupferkomplexes in der Reak­ tionskammer 41 auf einen Bereich von 5 × 10-2 Torr bis 5 × 10-1 Torr gesteuert ist. Die Einstellung des Partialdrucks wird derart durchgeführt, daß Ar-Gas mit einem Durchsatz von 100 sccm von dem Ventil 43e zu der Reaktionskammer strömen konnte, wobei gleichzeitig das Öffnungsverhältnis des Lei­ tungsventils 41d so eingestellt war, daß der Druck innerhalb der Reaktionskammer 41 5 × 10-1 Torr beträgt und wobei der Zufuhrdurchsatz der flüssigen Quelle zu dem Verdampfer 43 automatisch gesteuert ist, um den Quellendruck innerhalb der Reaktionskammer 41 auf einen vorgeschriebenen Wert einzu­ stellen. Das Gesamtvolumen des organischen Komplexes, der für eine Operation des Bildens des Cu-Films zu verwenden ist, wird unabhängig von der Druckbedingung des Quellengases konstant gemacht.
Insbesondere wird das Gesamtvolumen des Quellengases kon­ stant gemacht und der Zufuhrdurchsatz des Quellengases wird verändert, um so den Druck innerhalb der Reaktionskammer zu ändern. Als ein Abscheidungssubstrat wird eine Scheibe mit einer TiN-Oberflächenschicht (TiN : 30 nm/SiO2 : 400 nm/Si) ver­ wendet. Als ein organischer Kupferkomplex, der eine Quelle der chemischen Dampfabscheidung bildet, wird Hexafluoro­ acetylacetonat-Trimethylvinylsilan-Kupfer {[(CF3CO)2CH]Cu(C5H12Si)} verwendet.
Der unter den obigen Bedingungen erhaltene Kupferfilm wird bezüglich der Flachheit des Films gemessen, wobei das Ergeb­ nis durch das Reflexionsvermögen (%) ausgedrückt wird. Bei dieser Messung wird die Filmdicke der Proben auf 400 nm ein­ gestellt, und ein Licht mit einer Wellenlänge von 780 nm wird verwendet. Ein Kupfer-Dünnfilm, der ein Reflexionsver­ mögen von 90% oder mehr zeigt, hat 50 nm oder weniger in der Oberflächenrauheit hiervon und wird so als hervorragend in der Glätte angesehen.
Die gemessenen Ergebnisse des Reflexionsvermögens sind die folgenden. Das Reflexionsvermögen beträgt 74,1%, wenn der Partialdruck des Quellengases 5 × 10-2 Torr ist; das Refle­ xionsvermögen beträgt 91,8%, wenn der Partialdruck des Quel­ lengases 1 × 10-1 Torr ist; und das Reflexionsvermögen be­ trägt 94,7%, wenn der Partialdruck des Quellengases 5 × 10-1 Torr ist.
Wie aus diesen Ergebnissen zu ersehen ist, ist es wünschens­ wert, für die Bildung eines Kupfer-Dünnfilms mit einer her­ vorragenden Oberflächenglätte den Partialdruck der Quelle innerhalb der Reaktionskammer auf 1 × 10-1 Torr oder mehr zu halten.
Nahezu die gleichen Ergebnisse werden erhalten, selbst wenn ein anderer organischer Kupferkomplex, der bei Raumtempera­ tur flüssig ist, wie beispielsweise Hexafluoroacetylaceto­ nat-trimethylsilylacetylen-Kupfer {[(CF3CO)2CH]Cu(C5H10Si)} oder Hexafluoroacetylacetonat-bistrimethylsilylacetylen-Kup­ fer {[(CF3CO)2CH]Cu(C5H9Si)} anstelle des organischen Kupferkomplexes, der in den obigen Experimenten verwendet ist, eingesetzt wird.
Ein anderes Beispiel des chemischen Dampfabscheidungsgeräts, das stabil eine große Menge an Quellengas zu einer CVD-Reak­ tionskammer zuführen kann, während eine gute Steuerbarkeit beibehalten wird, wird im folgenden beschrieben.
Die Fig. 8A bis 8C veranschaulichen ein CVD-Quellenzufuhrge­ rät, das in einem chemischen Dampfabscheidungsgerät dieser Erfindung zu verwenden ist. In den Fig. 8A bis 8C ist ein Zylinder 61 in einer Dreheinrichtung 62 derart angeordnet, daß der Zylinder 61 nicht mit der Mittenachse der Drehein­ richtung 62 zusammenfällt. Die Anzahl der Zylinder 61 kann eins oder mehrere sein. Jeder Zylinder 61 ist derart zu­ sammengebaut, daß, wenn die Dreheinrichtung 62 um einen vor­ geschriebenen Drehwinkel umläuft, ein Ende des Zylinders 61 in Verbindung mit einer Zufuhröffnung 64 einer flüssigen Quelle kommt, wie dies in Fig. 8A gezeigt ist.
Da eine mit Druck beaufschlagte flüssige CVD-Quelle immer über eine Verrohrung zu der Zufuhröffnung 64 der flüssigen Quelle gespeist ist, wenn der Zylinder 61 mit der Zufuhröff­ nung 64 verbunden ist, wie dies in Fig. 8A gezeigt ist, wird eine vorgeschriebene Menge an flüssiger Quelle über den einen Endteil 65 des Zylinders 61 in den Zylinder 61 gela­ den. Während dieses Ladens der flüssigen Quelle wird der an­ dere Endteil 66 (entgegengesetzt zu demjenigen, der der Zu­ fuhröffnung gegenüberliegt) geschlossen mit einer die Dreh­ einrichtung 62 bedeckenden Teilungswand 71 gehalten, um so zu verhindern, daß die Quelle aus dem Zylinder 61 austritt.
Wenn dann die Dreheinrichtung weiter um einen vorgeschriebe­ nen Winkel gedreht wird, der durch den in Fig. 8B gezeigten Zustand verläuft, um die in Fig. 8C gezeigte Position einzu­ nehmen, wird veranlaßt, daß der Endteil 65 des mit der flüs­ sigen Quelle gefüllten Zylinders 61 eine Hochdruck-Gaszu­ fuhröffnung 67 überlagert. Bei dieser Gelegenheit wird das andere Ende 66 des Zylinders 61 veranlaßt, eine Quellen-Aus­ wurföffnung 68 zu überlagern. Da die Hochdruck-Gaszufuhröff­ nung 67 immer mit einem mit Druck beaufschlagten Inertgas über eine Verrohrung gespeist ist, wird die vollständig in den Zylinder 61 gefüllte flüssige Quelle zu der Quellen-Aus­ wurföffnung 68 durch das Inertgas gestoßen, sobald der Zy­ linder 61 mit der Hochdruck-Gaszufuhröffnung 67 überlagert ist. Auf diese Weise wird ein vorgeschriebenes Volumen der CVD-Quelle zu der CVD-Reaktionskammer gespeist oder ausge­ worfen.
Durch die abwechselnde Wiederholung des Quellenladeschrittes zu dem Zylinder 61 und des Quellenauswurfschrittes zu dem Zylinder 61 ist es möglich, ein vorgeschriebenes Volumen der CVD-Quelle zu der CVD-Reaktionskammer zu speisen.
In dem in den Fig. 8A bis 8C gezeigten CVD-Quellen-Zufuhrge­ rät ist lediglich ein Zylinder 61 in der Dreheinrichtung 62 angeordnet. Jedoch ist es möglich, eine Vielzahl von Zylin­ dern (61a bis 61d) in der Dreheinrichtung 62 vorzusehen, wie dies in Fig. 9 gezeigt ist. Wenn in diesem Fall diese Zylin­ der 61a bis 61d vorzugsweise um die Mittenachse der Drehein­ richtung 62 verteilt werden sollten, wobei jeder in gleichem Abstand voneinander gehalten ist, ist es möglich, nacheinan­ der das Laden und Auswerfen der Quelle durchzuführen.
Das Volumen des Zylinders 61 sollte vorzugsweise nicht mehr als das Volumen der flüssigen Quelle sein, das erforderlich ist, um einen Betrieb der CVD-Filmerzeugung durchzuführen, obwohl es von dem Zweck der Verwendung abhängig sein kann. Beispielsweise in dem Fall eines CVD-Geräts, das zum Ab­ scheiden lediglich eines dünnen Films einer vorgeschriebenen Dicke zu verwenden ist, kann das Volumen des Zylinders 61 nahezu gleich zu dem Volumen der flüssigen Quelle sein, das ausreichend ist, um den dünnen Film einer solchen vorbe­ stimmten Dicke abzuscheiden. Wenn andererseits dünne Filme, die in der Dicke voneinander abweichen, mittels des gleichen Geräts abzuscheiden sind, kann das Volumen des Zylinders minimiert werden, und das Auswerfen zum Einspeisen einer Quelle kann mehrmals wiederholt werden, bis ein Film einer gewünschten Dicke erhalten werden kann.
Fig. 10 veranschaulicht ein CVD-Gerät, das mit einer derar­ tigen Speiseeinrichtung für eine CVD-Quelle versehen ist, wie dies oben erläutert ist. In Fig. 10 steht eine Quellen- Auswurföffnung 68 in Verbindung mit einem Verdampfer 81 mit einem ausreichenden Volumen im Vergleich zu demjenigen des Zylinders 61. Der Verdampfer 81 ist gestaltet, um dadurch erwärmt zu werden, so daß eine CVD-Quelle erwärmt wird, die in der Form einer Flüssigkeit oder Dunstes bzw. Nebels ver­ sorgt ist, um unmittelbar die CVD-Quelle zu verdampfen. Ein Teil der Quellen-Auswurföffnung 68, der in Berührung mit der Dreheinrichtung 62 steht, sollte vorzugsweise auf Raumtempe­ ratur gehalten sein. Die Ursache hierfür liegt darin, daß, falls die Temperatur dieses Teils relativ hoch ist, die un­ erwünschte Zersetzung an der Quellen-Auswurföffnung 68 mit einem niedrigen Leitvermögen auftreten kann, um so ein Zu­ setzen der Quellen-Auswurföffnung 68 zu verursachen. Daher sollte der Zylinderseitenteil der Quellen-Auswurföffnung 68 thermisch von dem Verdampfer isoliert sein. Es ist auch rat­ sam, positiv die Dreheinrichtung zu kühlen.
Das Quellengas, das am Verdampfer 81 verdampft ist, verläuft durch die Gasrichtplatte 61 und wird dann über die erwärmte Oberfläche eines Substrats 94 eingeführt, das in einer CVD- Reaktionskammer 92 angeordnet ist. In diesem Fall kann ein Trägergas 83, wie beispielsweise ein Inertgas, in den Ver­ dampfer 81 getrennt von dem Quellenmaterial-Gaszufuhrsystem eingeführt werden.
Im folgenden wird ein Verfahren zum Abscheiden eines dünnen Films unter Verwendung des chemischen Dampfabscheidungsge­ räts der Erfindung erläutert, wobei auf ein Beispiel des Ab­ scheidens eines Cu-Films mittels CVD bezug genommen wird.
Als die CVD-Quelle kann eine organische Kupferverbindung, die bei Raumtemperatur flüssig ist, wie beispielsweise Hexafluoroacetylacetonat-Kupfer-Olefin oder Hexafluoroace­ tylacetonat-Kupfer-Alkin, verwendet werden.
Die organische Kupferverbindung wird von dem Speichertank zu der Quellenzufuhröffnung durch Druck eines Inertgases über­ tragen. Da diese flüssige Quelle (organische Kupferverbindung) immer durch das Inertgas mit Druck beauf­ schlagt ist, wird die flüssige organische Kupferverbindung, die zu der Quellenzufuhröffnung übertragen ist, immer unter Druck gehalten. Wenn daher die Dreheinrichtung des Quellen­ zufuhrgeräts zu einem vorgeschriebenen Winkel gedreht wird, kann die so mit Druck beaufschlagte flüssige organische Kup­ ferverbindung in den Zylinder von der Zufuhröffnung ausge­ worfen werden.
Dann wird die Dreheinrichtung weitergedreht, um den mit der organischen Kupferverbindung gefüllten Zylinder mit der Aus­ wurföffnung sowie mit der Hochdruck-Gaszufuhröffnung zu ver­ binden. Unter dieser Bedingung wird die organische Kupfer­ verbindung übertragen, während sie durch das Hochdruckgas zu dem Verdampfer von der Auswurföffnung gestoßen wird. Da der Verdampfer bis zu einer Temperatur erwärmt ist, die nicht größer als die thermische Zersetzungsanfangstemperatur der organischen Kupferverbindung ist, kann die so ausgeworfene organische Kupferverbindung unmittelbar verdampft werden. Wenn beispielsweise (Hfac)Cu(tmvs) als die organische Kup­ ferverbindung verwendet wird, wird die Temperatur des Ver­ dampfers in gewünschter Weise nicht höher als 90°C erwärmt.
Das in dem Verdampfer verdampfte Quellengas wird über eine Gasdiffusionsplatte zu der Oberfläche des in der CVD-Reak­ tionskammer angeordneten Substrats übertragen. Bei dieser Gelegenheit wird die Strecke des Quellengases beginnend vom Gleichrichten des Verdampfers herab zu der CVD-Reaktionskam­ mer über die Gasrichtplatte in gewünschter Weise zuvor auf eine Temperatur gleich der oder leicht höher als die Tempe­ ratur des Verdampfers erwärmt, um eine Rekondensation des Quellengases zu verhindern. Ein Inert-Trägergas kann in den Verdampfer eingeführt werden, um das Beibehalten des Quel­ lengases in dem Weg der Strecke beginnend von dem Verdampfer herab zu der CVD-Reaktionskammer zu sperren. Das Substrat sollte auf eine geeignete Temperatur erwärmt werden, um die Abscheidung von Cu zu erlauben. Wenn beispielsweise das oben erwähnten (Hfac)Cu(tmvs) als das Quellengas bei der thermi­ schen CVD verwendet wird, wird die Temperatur des Substrats in gewünschter Weise auf 200°C oder niedriger erwärmt.
Der Prozeß des Bildens eines Cu-Filmes mit einer gewünschten Dicke durch Verwenden des erfindungsgemäßen CVD-Geräts kann wie folgt durchgeführt werden. Nachdem insbesondere der Ver­ dampfer ausreichend durch eine Überbrückungsleitung 83 ent­ leert ist, wird das zwischen dem Verdampfer und der CVD-Re­ aktionskammer gelegene Absperrventil 95 geöffnet. Danach oder nahezu gleichzeitig wird das Quellengas aus dem Zylin­ der ausgeworfen, indem das oben erwähnte Verfahren des Aus­ werfens des Quellengases verwendet wird. Durch Drehen des Zylinders kann das Auswerfen des Quellengases wirksam einige Male durchgeführt werden, bis eine gewünschte Filmdicke er­ reicht werden kann.
Nach Beendigen der vorbestimmten Anzahl eines Quellengasaus­ werfens kann der Druck innerhalb der CVD-Reaktionskammer ab­ nehmen, bis er ausreichend niedriger als der Druck während des Quellengasauswerfens wird, und dann wird das Absperrven­ til 95 geschlossen. Danach wird der Verdampfer über die Überbrückungsleitung 83 evakuiert. Diese Evakuierung kann durchgeführt werden, während ein Inertgas in den Verdampfer geschickt wird. Mit diesen Verfahrensschritten wird die Bil­ dungsoperation für einen Film abgeschlossen.
Obwohl die obige Erläuterung des erfindungsgemäßen CVD-Ge­ räts auf Beispiele konzentriert ist, bei denen die Bildung eines Cu-Films mittels CVD durchgeführt ist, ist es auch möglich, dieses CVD-Gerät in Verfahren zu verwenden, die an­ dere Arten eines CVD-Verfahrens einsetzen, welche eine flüs­ sige CVD-Quelle verwenden. Beispielsweise sind das CVD-Gerät und das CVD-Verfahren dieser Erfindung auf ein CVD-Verfahren zum Erzeugen verschiedener Arten von Isolierfilmen anwend­ bar, wie beispielsweise ein CVD-Verfahren zum Erzeugen eines Al-Dünnfilms, der eine flüssige organische Aluminiumverbin­ dung verwendet, wie beispielsweise Triisobutylaluminium, ein CVD-Verfahren zum Erzeugen eines Ti-Dünnfilms oder eines TiN-Dünnfilms, der eine organische Titanverbindung verwen­ det, wie beispielsweise Tetradimethylamidtitan, ein CVD-Ver­ fahren zum Erzeugen eines Ta2O5-Dünnfilms, der eine organi­ sche Tantalverbindung verwendet, wie beispielsweise Pen­ taethoxytantal, oder ein CVD-Verfahren zum Erzeugen eines SiO2-Dünnfilms, der eine organische Siliciumverbindung ver­ wendet, wie beispielsweise Tetraethoxysilan.
Das CVD-Gerät dieser Erfindung ist nicht nur auf organome­ tallische Verbindungen als eine CVD-Quelle anwendbar, son­ dern auch auf anorganische Metalle, die bei oder nahe bei Normaltemperatur flüssig sind. Beispielsweise können Metall­ halogenide, wie beispielsweise TiCl4, das bei Raumtemperatur flüssig ist, als eine Quelle verwendet werden.
Obwohl thermische CVD-Verfahren bezüglich des erfindungsge­ mäßen CVD-Geräts erläutert wurden, können das CVD-Quellenzu­ fuhrgerät und das CVD-Quellenzufuhrverfahren gemäß dieser Erfindung auch auf andere Arten von CVD-Verfahren angewandt werden, wie beispielsweise auf ein Plasma-CVD-Verfahren oder ein optisches CVD-Verfahren.
Es ist gemäß dem chemischen Dampfabscheidungsgerät dieser Erfindung möglich, momentan ein großes Volumen und ein kon­ stantes Volumen eines CVD-Quellengases zu einer CVD-Reak­ tionskammer zu speisen, indem die Drehung einer Dreheinrich­ tung durchgeführt wird, die darin mit einem Zylinder einer vorbestimmten Kapazität versehen ist, um so eine vorbe­ stimmte Menge an der flüssigen CVD-Quelle auszuwerfen, die in den Zylinder gefüllt ist. Da darüber hinaus das erfin­ dungsgemäße chemische Dampfabscheidungsgerät mit einem Dreh­ mechanismus versehen ist, der fähig ist, als ein Meter bzw. Meßgerät für die CVD-Quelle und auch als eine Transportein­ richtung zu wirken, ist es nicht mehr erforderlich, einen Heiz-Ein/Aus-Mechanismus (Ventil) eines niedrigen Leitvermö­ gens vorzusehen, was in einem kontinuierlichen Quellenzu­ fuhrverfahren, wie in dem Fall des herkömmlichen Flüssig­ keitstransportverfahrens, problematisch sein kann. Daher ist es erfindungsgemäß möglich, stabil eine Quelle zuzuführen, ohne ein Problem, wie beispielsweise ein Zusetzen eines Ven­ tils aufgrund der Zersetzung oder Denaturierung der CVD- Quelle zu verursachen.
Ein spezifisches Beispiel des oben erwähnten chemischen Dampfabscheidungsgeräts wird im folgenden erläutert.
Beispiel 9
Dieses Beispiel veranschaulicht ein Beispiel, bei dem das erfindungsgemäße CVD-Gerät auf die Bildung eines Cu-Dünn­ films angewandt ist. Fig. 11 zeigt schematische Darstellun­ gen eines Zylinders und einer Dreheinrichtung in dem Quel­ len-Zufuhrgerät, das in einem chemischen Dampfabscheidungs­ gerät dieser Erfindung verwendet wird. Der Zylinder 61 wird gebildet, indem ein säulenartiges Loch mit einem Innendurch­ messer von 4 mm und einer Tiefe von 10 mm (das Volumen des Zylinders beträgt 0,13 cc) in der Dreheinrichtung ausgebil­ det wird. Die Mittenachse des Zylinders 61 ist beabstandet von der Mittenachse 63 der Dreheinrichtung 62 eingestellt. Die Dreheinrichtung 62, die in der Gestaltung säulenförmig ist, ist mit einem Mechanismus versehen, der die Drehein­ richtung 62 um ihre Mittenachse 63 mittels eines Schrittmo­ tors umlaufen läßt, welcher ein ausreichendes Drehmoment hat. Der Zylinder 61 ist in einem Behälter untergebracht, der eine Unterteilung 71 und 72 hat und hermetisch geschlos­ sen werden kann, wie dies in Fig. 11 gezeigt ist. Die Unter­ teilung 71 und 72 ist mit einer Flüssigkeitsquellen-Zufuhr­ öffnung 64, einer Druckgas-Zufuhröffnung 67 und einer Quel­ len-Auswurföffnung 68 versehen, die jeweils angeordnet sind, um mit den offenen Enden 65 und 66 des Zylinders 61 zusam­ menzufallen, wenn die Dreheinrichtung 62 umläuft, wie dies in Fig. 11 gezeigt ist. Die Quellenmaterial-Zufuhröffnung 64 und die Druckgas-Zufuhröffnung 67 sind symmetrisch bezüglich der Mittenachse 63 der Dreheinrichtung 62 angeordnet. Ande­ rerseits sind die Druckgas-Zufuhröffnung 67 und die Quellen- Auswurföffnung 68 vorgesehen, um einander gegenüberzuliegen, wobei die Dreheinrichtung 62 dazwischen angeordnet ist.
Die Innendurchmesser von der Flüssigkeitsquellen-Zufuhröff­ nung, der Druckgas-Zufuhröffnung 67 und der Quellen-Auswurf­ öffnung 68 sind gleich zu dem Innendurchmesser des Zylinders 61 gemacht, d. h. 4 mm. Ein Teflon-Ring 75 ist auf den End­ teilen des Zylinders 61 befestigt, um zu verhindern, daß flüssige CVD-Quelle aus dem Zylinder 61 während der Drehung der Dreheinrichtung 62 ausläuft oder leckt. Die Unterteilung 71, die auf der Quellen-Auswurföffnungsseite vorgesehen ist, ist von einer 3-strähnigen Struktur mit einer Quarzplatte, die in der Mitte gelegen ist, um die thermischen Isolations­ eigenschaften hiervon zu verbessern. Weiterhin läuft die Quellen-Auswurföffnung 68 kegelförmig zu, wobei sie sich nach außen ausdehnt, um es für die ausgeworfene Quelle schwierig zu machen, darauf abgelagert zu werden.
Nunmehr wird dieses Rohmaterial-Zufuhrgerät eingestellt, um in Verbindung mit der CVD-Reaktionskammer 92 zu sein, wie dies schematisch in Fig. 10 gezeigt ist. Eine flüssige Cu- CVD-Quelle (Hfac)Cu(tmvs) wird unter Druck von dem Speicher­ tank 84, der auf Raumtemperatur gehalten ist, zu der Flüs­ sigquellen-Zufuhröffnung 64 übertragen, indem Ar-Gas verwen­ det wird, das mit Druck bis 1 kgG/cm2 beaufschlagt ist. An­ dererseits wird Ar-Gas, das mit Druck bis 2 kgG/cm2 beauf­ schlagt ist, über einen Massendurchflußregler (nicht ge­ zeigt) der Druckgas-Zufuhröffnung gespeist. Die Innenfläche des Verdampfers ist mit einer Teflon-Schicht beschichtet, um so die Innenfläche inaktiv für die Zersetzung der CVD-Quelle zu machen.
Eine Gasrichtplatte 91, die aus Quarz hergestellt ist und mit einer großen Anzahl von Öffnungen versehen ist, liegt zwischen dem Verdampfer 81 und der CVD-Reaktionskammer 92. Weiterhin liegt ein Absperrventil 95 zwischen der Gasricht­ platte 91 und der CVD-Reaktionskammer 92. Die Ursache für das Anordnen des Absperrventils 95 liegt darin, das Quellen­ gas, das in dem Verdampfer 81 erzeugt ist, zu der Reaktions­ kammer 92 zu übertragen, ohne das Zurückhalten des Quellen­ gases in dem Weg zu verursachen, da das Leitvermögen der Strecke des Quellengases in gewünschter Weise so groß als möglich für diesen Zweck sein sollte.
Ein Rohr 83 für eine Überbrückungsevakuierung ist mit dem Verdampfer 81 getrennt von der Strecke für die Quellengaszu­ fuhr zum Absaugen der Innenräume des Trägergas-Einfuhrrohres 82 und des Verdampfers 81 verbunden. Weiterhin liegt ein Substrat 94, das zum Aufwärmen durch eine Heizeinrichtung 93 gestaltet ist, in der CVD-Reaktionskammer 92 derart, daß das Substrat 94 der Hauptfläche der Gasrichtplatte 91 gegenüber­ liegt. Mit dieser CVD-Reaktionskammer 92 ist eine (nicht ge­ zeigte) Trockenpumpe über ein (nicht gezeigtes) Rohr verbun­ den, um die CVD-Reaktionskammer 92 zu evakuieren.
Das auf die obige Weise aufgebaute CVD-Gerät wird verwendet, um die Zersetzung von Cu durchzuführen, damit so die Wirkun­ gen der Erfindung geprüft werden. Das Substrat zum Abschei­ den von Cu wird wie folgt vorbereitet. Insbesondere wird eine Siliciumscheibe mit einem Durchmesser von 6 Zoll ther­ misch oxidiert, um einen Siliciumoxidfilm mit einer Dicke von 100 nm zu bilden. Dann wird ein TiN-Film auf der Ober­ fläche der Scheibe als eine Cu-Diffusionsverhinderungs­ schicht durch Zerstäuben oder Sputtern abgeschieden. An­ schließend wird das Substrat 94 in das CVD-Gerät gebracht, und nach der Evakuierung der CVD-Reaktionskammer 92 wird das Substrat 94 auf 200°C erwärmt.
Nachdem dann der Verdampfer 81 ausreichend über das Ventil 26 evakuiert ist, wird das Ventil 26 geschlossen und das Ab­ sperrventil 95 wird geöffnet. Zu diesem Zeitpunkt ist der Verdampfer 81 gleichmäßig auf 60°C mittels einer Heizein­ richtung erwärmt. Sodann wird die Gasrichtplatte 91 eben­ falls auf 65°C mittels einer in der Gasrichtplatte 91 in­ stallierten Heizeinrichtung erwärmt. Sodann wird 10 sccm ei­ nes Ar-Gases in die Reaktionskammer über das Rohr 82, die Gasrichtplatte 91 und das Absperrventil 35 eingeführt.
Wenn der Druck in der Reaktionskammer 92 auf den Normalzu­ stand (0,15 Torr) eingestellt ist, läßt man die Dreheinrich­ tung 62 umlaufen, damit der Endteil des Zylinders 61 mit der Quellen-Zufuhröffnung 64 übereinstimmt, damit der Zylinder 61 mit dem (Hfac)Cu(tmvs) gefüllt wird. Dann wird der Zylin­ der 61 weiter um 180° gedreht, damit der Endteil des Zylin­ ders 61 mit der Hochdruck-Gaszufuhröffnung 67 sowie mit der Quellen-Auswurföffnung 68 übereinstimmt, um so Gas (Hfac)Cu(tmvs) zu dem Verdampfer durch die Wirkung des Ar- Gases ausspritzen zu lassen (der Massendurchflußregler ist auf 20 sccm eingestellt), welches zuvor in die Hochdruck- Gaszufuhröffnung 67 eingeführt ist. Zu diesem Zeitpunkt wird der Druck innerhalb der CVD-Reaktionskammer 92 einmal auf etwa 3 Torr erhöht und dann unmittelbar auf 1 Torr abge­ senkt.
Nach Beibehalten dieses Gasauswurfzustandes für 10 s wird die Dreheinrichtung 62 weiter um 180° gedreht, um den Zylin­ der 61 die Flüssigquellen-Füllposition einnehmen zu lassen, um wieder mit dem (Hfac)Cu(tmvs) gefüllt zu werden. Dann wird die Dreheinrichtung 62 weiter um 180° gedreht, um so das Auswerfen der Quelle in der gleichen Weise zu wiederho­ len, wie dies oben erläutert ist. Dieser Zyklus des Füllens und Auswerfens der Quelle wird 8mal wiederholt.
Nach Beendigen des achten Auswerfens der Quelle wird die Drehposition der Dreheinrichtung 62 in der Flüssigquellen- Füllposition gehalten, und die CVD-Reaktionskammer 92 wird evakuiert, bis der Druck darin auf 0,3 Torr abgesenkt ist. Dann wird das Absperrventil 95 geschlossen, um so den Be­ trieb der Cu-Abscheidung zu beenden. Sodann wird das Ab­ sperrventil geschlossen und gleichzeitig wird die Evakuie­ rung des Verdampfers 81 durchgeführt, während ein Trägergas darin über die Überbrückungsleitung 83 eingeführt wird, bis die Vorbereitung für die nächste Cu-Abscheidung auf dem Sub­ strat 94 in der Reihenfolge ist. Die oben erläuterten Proze­ duren sind für eine Operation, um die Filmabscheidung durch­ zuführen. Die Zeittabelle für diese eine Operation ist in Fig. 12 gezeigt.
Wenn die Filmdicke des so vorbereiteten Cu-Films mit einem Stufenmesser gemessen wird, so wird eine Filmdicke von etwa 400 nm gefunden. Die zum Durchführen der achtmaligen Quel­ lengas-Auswerfung erforderliche Zeit beträgt etwa 2 min. was nahezu den gleichen Grad einer Filmabscheidungsrate wie denjenigen des CVD-Verfahrens anzeigt, das die herkömmliche Quellenzufuhrmethode verwendet.
Um sodann die Möglichkeit des Steuerns der Filmdicke des Cu- Films durch Einstellen der Anzahl des Quellengasauswerfens zu demonstrieren, wurde die Beziehung zwischen der Filmdicke des abgeschiedenen Cu-Films und der Anzahl des Quellengas­ auswerfens geprüft, wobei die Ergebnisse in Fig. 13 gezeigt sind. Wie aus Fig. 13 zu ersehen ist, wächst die Filmdicke des abgeschiedenen Cu-Films linear proportional mit der An­ zahl des Quellengasauswerfens an, um so die Möglichkeit des Steuerns der Filmdicke des Cu-Films durch die Einstellung der Anzahl des Quellengasauswerfens zu demonstrieren. Wenn die Abscheidung von Cu unter der gleichen Bedingung wieder­ holt wird, um die Reproduzierbarkeit der Cu-Filmdicke zu prüfen, wird der Fehler in der Filmdicke zu ±10% oder weni­ ger gefunden. Daher hat sich bestätigt, daß es möglich ist, mit dem CVD-Gerät und -Verfahren dieser Erfindung die Repro­ duzierbarkeit in der Filmdicke eines Cu-Films und die Stabi­ lität der Quellengaszufuhr sicherzustellen.
Obwohl obiges Beispiel durch Bezugnahme auf den Fall erläu­ tert ist, in welchem ein Ar-Trägergas, ein Ar-Gas zum Aus­ werfen eines Quellengases und (Hfac)Cu(tmvs) für die Ab­ scheidung eines Cu-Films verwendet werden, ist es auch mög­ lich, ein drittes Gas zusätzlich zu diesen Gasen in die CVD- Reaktionskammer einzuführen. Weiterhin ist es auch möglich, nahezu das gleiche Ergebnis zu erhalten, selbst wenn andere Arten von organischen Kupferverbindungen, die flüssig bei Raumtemperatur sind, wie beispielsweise Hexafluoroacetylace­ tonat-bistrimethylsilylacetylen-Kupfer oder Hexafluoroace­ tylacetonat-trimethylsilylpropylen-Kupfer als ein Quellengas für Cu anstelle des im obigen Beispiel eingesetzten (Hfac)Cu(tmvs) verwendet werden. Darüber hinaus kann selbst eine organische Verbindung, die bei Raumtemperatur fest ist, bei dieser Erfindung verwendet werden, vorausgesetzt, daß die organische Verbindung durch Auflösen in einem geeigneten Lösungsmittel verflüssigt werden kann.
Beispiel 10
Dieses Beispiel erläutert einen Fall, in welchem das erfin­ dungsgemäß CVD-Gerät auf die Bildung eines Al-Dünnfilms an­ gewandt ist. Das gleiche CVD-Gerät, wie dieses in Beispiel 9 erläutert ist, wird verwendet, und Triisobutylaluminium (TIBA), das eine CVD-Quelle für Al ist, wird anstelle von Cu verwendet. Jedoch ist der Verdampfer nicht mit einer Teflon- Beschichtung beschichtet. Die Vorbereitung eines Substrats wird wie folgt durchgeführt. Insbesondere wird eine Sili­ ciumscheibe von 6 Zoll im Durchmesser chemisch oxidiert, um einen Siliciumoxidfilm mit einer Dicke von 100 nm zu bilden.
Dann wird ein 30 nm dicker TiN-Film auf die Oberfläche der Scheibe als eine Klebschicht mittels Zerstäuben oder Sputtern aufgetragen. Sodann wird das Substrat 94 in das CVD-Gerät gebracht, und nach der Evakuierung der CVD-Reaktionskammer 92 wird das Substrat 94 auf 380°C erwärmt. Dann wird der Verdampfer auf 90°C erwärmt, und der Gasduschkopf und/oder eine Düse 91 wird ebenfalls auf 150°C erwärmt. Sodann wird der Zylinder mit dem TIBA in der gleichen Weise gefüllt, wie dies im Bei­ spiel 9 erläutert ist, und das TIBA wird in die CVD- Reaktionskammer durch die Wirkung des Hochdruck-Ar- Gases eingeführt. Der Al-Film wird durch viermaliges Wiederholen des Zyklus des Füllens und Auswerfens von TIBA abgeschieden.
Wenn die Filmdicke des so vorbereiteten Al-Filmes ge­ messen wird, so wird die Filmdicke zu etwa 450 nm ge­ funden. Die zum Durchführen des viermaligen TIBA-Aus­ werfens erforderliche Zeit beträgt etwa 1 Minute, was nahezu den gleichen Grad einer Filmabscheidungsrate wie diejenige des CVD-Verfahrens zum Abscheiden eines Al- Filmes mittels der herkömmlichen Quellenzufuhrmethode anzeigt.
Wenn die Beziehung zwischen der Filmdicke des abge­ schiedenen Al-Filmes und der Anzahl des Quellengasaus­ werfens durch Ändern der Anzahl des Auswerfens geprüft wird, zeigt sich, daß die Filmdicke des abgeschiedenen Al-Filmes proportional mit der Steigerung der Anzahl des Quellengasauswerfens ansteigt, um so die Möglich­ keit des Steuerns der Filmdicke des Al-Filmes durch die Einstellungen der Anzahl des Quellengasauswerfens und der Kapazität des Zylinders zu demonstrieren. Wenn die Abscheidung von Al unter der gleichen Bedingung wieder­ holt wird, um die Reproduzierbarkeit der Al-Filmdicke zu prüfen, wird der Fehler in der Filmdicke zu ±10% oder weniger gefunden. Daher hat sich bestätigt, daß es möglich ist, mit dem CVD-Gerät und dem Verfahren dieser Erfindung die Reproduzierbarkeit in der Filmdicke des Al-Filmes und die Stabilität der Quellengaszufuhr si­ cherzustellen.
Obwohl das obige Beispiel durch Bezugnahme auf den Fall erläutert ist, in welchem Ar-Trägergas, Ar-Gas für das Auswerfen des Quellengases und TIBA bei der Abscheidung des Al-Filmes verwendet werden, ist es auch möglich, ein drittes Gas, wie beispielsweise H2-Gas, zusätzlich zu diesen Gasen in die CVD-Reaktionskammer einzuführen. Weiterhin ist es auch möglich, nahezu das gleiche Er­ gebnis zu erzielen, selbst wenn andere Arten einer or­ ganischen Aluminiumverbindung, deren Viskosität in ih­ rem flüssigen Zustand nicht so hoch ist und die in den Zylinder übertragen werden kann, wie beispielsweise Di­ methylethylamin-Alun (DMEAA) als ein Quellengas für Al anstelle des im obigen Beispiel verwendeten TIBA be­ nutzt wird. Darüber hinaus kann selbst Dimethylalumini­ umhydrid (DMAH) usw., das fest oder zu viskos bei Raum­ temperatur ist, um übertragen zu werden, bei dieser Er­ findung durch geeignetes Erwärmen der Übertragungslei­ tungen benutzt werden.
Im folgenden wird ein anderes Beispiel eines chemischen Dampfabscheidungsgerätes beschrieben, das fähig ist, die Betriebsrate des CVD-Gerätes zu verbessern. In die­ sem Beispiel wird die Verbesserung der Betriebsrate be­ wirkt, indem das Abschälen des auf erwärmten Teilen des CVD-Gerätes niedergeschlagenen Cu-Filmes verhindert wird, indem eine Verschmutzung mit Staub der Scheibe verhindert wird, und indem die Frequenz des Reinigens des CVD-Gerätes minimiert wird.
Dieses CVD-Gerät umfaßt im allgemeinen eine CVD-Reak­ tionskammer, die mit einer Heizvorrichtung zum Erwärmen eines Substrates oder einer Scheibe und mit einer Scheibenhaltevorrichtung versehen ist, ein Gaszufuhrsy­ stem zum Einspeisen von CVD-Quellengas usw. in die CVD- Reaktionskammer und ein Evakuiersystem zum Absaugen ei­ nes Quellengases usw. aus der Reaktionskammer. Dieses CVD-Gerät ist auch dadurch gekennzeichnet, daß die Oberflächenteile innerhalb der Reaktionskammer, die ab­ sichtlich oder unabsichtlich erwärmt sind und dem Quel­ lengas oder Reaktionsprodukten des Quellengases auszu­ setzen sind, mit Kupfer oder einem Kupferoxid bedeckt sind.
Spezifische Beispiele der Oberflächenteile, die mit Kupfer oder einem Kupferoxid bedeckt sind, sind eine Heizvorrichtung zum Heizen einer Scheibe und ein Teil der Reaktionskammer, der um die Scheibe vorliegt, die in die Reaktionskammer zu legen ist. Insbesondere sind in Fig. 14, die ein CVD-Gerät zeigt, die Oberflächen­ teile, die mit Kupfer oder einem Kupferoxid zu bedecken sind, die Oberseite und die Seitenwand eines Halterin­ ges 112, der vorgesehen ist, um die Scheibe zu halten oder zu verhindern, daß das Quellengas in die rückwär­ tige Oberfläche der Scheibe eintritt, und die Oberseite und die Seitenwand eines Heiztisches 113. Da insbeson­ dere der Haltering gestaltet ist, um mit einer erwärm­ ten Scheibe während des CVD-Schrittes in Berührung zu sein, tritt die chemische Dampfabscheidung von Cu am wahrscheinlichsten auf dem Haltering unter allen Berei­ chen in der Reaktionskammer ausschließlich der Scheibe ein. Daher schält sich der Cu-Film, der auf dem Halte­ ring durch die CVD abgeschieden ist, am wahrscheinlich­ sten ab, so daß die Beschichtung der Oberfläche des Halteringes mit einem Kupfer-Dünnfilm zuvor sehr wirk­ sam ist, um ein derartiges Abschälen zu verhindern.
Die Abscheidung oder Auftragung eines derartigen Cu- Dünnfilmes wird vorzugsweise durch eine physikalische Dampfabscheidung (PVD), wie beispielsweise Sputtern oder Zerstäuben, durchgeführt. Dies beruht darauf, daß der mittels PVD abgeschiedene Cu-Film im Haftvermögen an der untenliegenden Schicht hervorragender im Ver­ gleich zu demjenigen ist, der mittels CVD abgeschieden ist. Es ist auch möglich, eine Klebschicht zwischen der untenliegenden Schicht und dem Cu-Film zwischenzulegen. Beispiele einer solchen Klebschicht sind Nitride eines hochschmelzenden Metalles, wie beispielsweise TiN, ein hochschmelzendes Metall, wie beispielsweise Nb und Ta. Jedoch braucht die Klebschicht nicht notwendig ein Sperr- oder Barrierematerial zu sein, das häufig in der Cu-Verdrahtung verwendet wird, sondern kann ein Metall sein, das ein Haftvermögen zwischen der untenliegenden Schicht und Cu verbessert, wobei spezifische Beispiele hiervon Al und Ti sind.
Es gibt zwei Gründe zum vorherigen Bedecken der Ober­ fläche des Abscheidungsgerätes mit Cu, wie dies im fol­ genden erläutert ist.
  • 1. Da der CVD-Cu-Film, der unabsichtlich auf einer Oberfläche des Gerätes aufgetragen wird, schwach in der Haftung oder in Haftvermögen mit der untenliegenden Schicht ist, wird der CVD-Cu-Film leicht abgestreift, wenn die Filmdicke hiervon zunimmt. Wenn dagegen die Oberfläche des Gerätes darauf mit einem Cu-Film be­ schichtet ist, der relativ hervorragend im Haftvermögen mit der untenliegenden Schicht ist, so wird der CVD-Cu- Film nunmehr auf diesen geschichteten Cu-Film aufgetra­ gen, so daß die Haftung des CVD-Cu-Filmes an der Ober­ fläche des Gerätes im Vergleich mit dem Fall verbessert ist, in welchem der CVD-Cu-Film direkt auf der Oberflä­ che des Gerätes aufgetragen wird, um so das Abschälen des CVD-Cu-Filmes schwieriger zu machen.
  • 2. Wenn die Oberfläche des Gerätes zuvor mit einem Cu- Film bedeckt wird, der hervorragend in der Oberflächen­ glätte ist, so ist die Oberflächenglätte des auf diesen Cu-Film aufgetragenen CVD-Cu-Filmes im Vergleich mit dem Fall verbessert, in welchem der CVD-Cu-Film direkt auf der Oberfläche des Gerätes aufgetragen wird.
Die Oberflächenglätte eines CVD-Cu-Filmes ist graduell verbessert, wenn die Abscheidung des Cu-Filmes fort­ schreitet (Zunahme in Filmdicke) und wird optimal bei einem gewissen Punkt der Cu-Abscheidung. Wenn jedoch die Abscheidung des Cu-Filmes über diesen optimalen Punkt fortschreitet, wird die Oberflächenglätte des Cu- Filmes verschlechtert. Die Ursache einer graduellen Verbesserung in der Oberflächenglätte des Cu-Filmes in der Anfangsstufe der Cu-Abscheidung kann der Tatsache zugeschrieben werden, daß, wenn die Cu-CVD durchgeführt wird, die Kerne von Cu zuerst erzeugt werden, und daß dann diese Kerne von Cu miteinander zusammenwachsen, um so in die Form einer Insel zu kommen, wenn die Abschei­ dung von Cu weiter fortschreitet, wobei die Inseln letztlich in einen Cu-Film auswachsen, der die Oberflä­ che eines Substrates bedeckt.
Wenn die Abscheidung von Cu fortgesetzt wird, wird be­ wirkt, daß der einen kontinuierlichen Film bildende Cu- Film weiter aufwächst, wobei die Oberflächenglätte hiervon für eine Zeitdauer beibehalten wird, jedoch an­ schließend ein Sekundärkern von Cu bewirkt wird, um auf diesem kontinuierlichen Cu-Film erzeugt zu werden. Die­ ser Sekundärkern oder -keim von Cu wächst dann in ein größeres Teilchen, um so graduell die Oberflächenglätte eines Cu-Filmes zu verschlechtern. Die aus dem Sekun­ därkern gewachsenen Cu-Teilchen können leicht abge­ schält werden, um Staub zu werden, wodurch die Oberflä­ che der Scheibe verunreinigt wird. Daher ist es wün­ schenswert, daß selbst bei zunehmender Filmdicke des Cu-Filmes die Oberflächenglätte des Cu-Filmes nicht verschlechtert wird.
In dem Fall eines auf der Oberfläche des Gerätes, das zuvor mit Cu bedeckt ist, aufwachsenden CVD-Cu-Filmes wird jedoch nunmehr der CVD-Cu-Film auf einer glatten Oberfläche der untenliegenden Schicht des gleichen Ma­ terials aufgetragen, so daß das Wachstum des abgeschie­ denen Cu in eine Inselgestalt bei der Anfangsstufe der Abscheidung, wie oben erläutert, vernachlässigt werden kann. Daher kann die Oberflächenglätte des untenliegen­ den Cu-Filmes beibehalten werden, selbst wenn die Filmdicke des Cu-Filmes mit Fortschreiten der Cu- Abscheidung zunimmt. Demgemäß kann die Erzeugung des Sekundärkernes oder -keimes von Cu sowie die Erzeugung von Staub im Vergleich mit dem Fall minimiert werden, in welchem der CVD-Cu-Film direkt auf der Oberfläche des Gerätes abgeschieden wird. Es ist möglich, ein Cu, das eine Spur an Sauerstoff enthält, oder ein Cu-Oxid anstelle von reinem Cu als ein Material zu verwenden, um zuvor die Oberfläche des Gerätes zu bedecken. Das Cu, das eine Spur an Sauerstoff enthält, oder Cu-Oxid, wie oben erwähnt, kann dasjenige sein, das als reines Cu abgeschieden wurde, jedoch wird die Oberfläche des Cu anschließend absichtlich oder unabsichtlich oxi­ diert.
Das Steuern der Kristallinität des Cu-Filmes, der den Scheibenhaltering 112 bedeckt, ist vorzugsweise bei dieser Erfindung hinsichtlich eines weiteren Verbes­ serns der Oberflächenglätte des CVD-Cu-Filmes, der auf diesem untenliegenden Cu-Film abzuscheiden ist. Insbe­ sondere wird der Scheibenhaltering aus einem monokri­ stallinen Material hergestellt, und dann wird ein mono­ kristalliner Cu-Film epitaxial darauf aufgewachsen, um so einen Scheibenhaltering vorzubereiten, der mit einem monokristallinen Cu-Film bedeckt ist. Die Ursache für diese Verbesserung in der Oberflächenglätte, die durch die Verwendung von monokristallinem Cu als eine unten­ liegende Schicht im Vergleich mit dem Fall erzielt wird, in welchem ein polykristalliner Cu-Film als eine untenliegende Schicht abgeschieden wird, wird im fol­ genden erläutert.
Die Abscheidungsrate bei der CVD wird allgemein abhän­ gig von der Kristallorientierung von Cu geändert. Wenn daher der untenliegende Cu-Film polykristallin ist, wird die Aufwachsrate in der Dickenrichtung des Cu- Filmes abhängig von der Kristallorientierung jedes ein­ zelnen Kristalles, der den Polykristall bildet, geän­ dert. Demgemäß kann mit zunehmender Filmdicke die Un­ ebenheit der Oberfläche mehr hervortreten, um die Ober­ flächenglätte zu verschlechtern, damit so letztlich Veranlassung gegeben wird für die Erzeugung der oben erwähnten Sekundärkerne oder -keime und des Makroteil­ chen-Cu-Wachstums. Wenn dagegen der untenliegende Cu- Film aus einem Monokristall ist, wird erwartet, daß der darauf abzuscheidende CVD-Cu-Film in der Form eines Monokristalls aufwächst. Daher wird die Schwankung der Wachstumsrate in Dickenrichtung von Cu abhängig von der Lage in der Oberfläche der untenliegenden Schicht nicht verursacht, um so zu ermöglichen, daß die Verschlechte­ rung der Oberflächenglätte minimiert ist.
Es ist bei der Bildung eines monokristallinen Cu-Filmes als eine untenliegende Schicht auch vorzuziehen, den Scheibenhaltering mit einem monokristallinen Aluminiu­ moxid (Saphir) herzustellen. In diesem Fall kann die Abscheidung des monokristallinen Cu durch ein epitaxia­ les Wachstum durchgeführt werden, indem in geeigneter Weise die Kristallebene der abscheidenden Oberfläche des Scheibenhalteringes gewählt wird, da der Saphir in die Gestalt des Scheibenhalteringes geschnitten ist. Wenn beispielsweise <024< des Saphirs als die abschei­ dende Oberfläche gewählt wird, kann die Abscheidung von Cu (100) monokristallin durchgeführt werden.
Für das Material des Scheibenhalteringes kann jegliche Art eines Materiales verwendet werden, sofern das epi­ taxiale Wachstum von Cu darauf durchgeführt werden kann und es gleichzeitig keine Veranlassung für irgendein strukturelles Problem als ein Material für den Schei­ benhaltering gibt. Hinsichtlich des Verfahrens der Bil­ dung einer Cu-Beschichtung ist es möglich, andere Me­ thoden zu verwenden, sofern sie fähig sind, eine her­ vorragende Haftung an dem abzuscheidenden Material zu bewirken. Beispielsweise kann eine Plattiermethode ver­ wendet werden. Die Oberflächenteile des Scheibenhalte­ ringes, die dem Quellengas oder Reaktionsprodukten des Quellengases ausgesetzt sind, brauchen nicht nur durch die Abscheidung, sondern können auch mittels eines Kör­ pers von Kupfer oder Kupferoxid bedeckt werden. Im fol­ genden wird ein anderes Ausführungsbeispiel dieser Er­ findung erläutert. Das CVD-Gerät gemäß dieser Erfindung ist aufgebaut, um das Entfernen von Reaktionsprodukten zu verbessern, die auf der Reaktion des CVD-Quellenga­ ses beruhen und umfaßt im allgemeinen eine CVD-Reak­ tionskammer, die mit einer Scheibenhaltevorrichtung, einem Gaszufuhrsystem zum Zuführen eines CVD-Quellen­ gases usw. zu der CVD-Reaktionskammer und einem Evaku­ iersystem zum Absaugen eines Quellengases usw. aus der Reaktionskammer versehen ist. Dieses CVD-Gerät zeichnet sich auch dadurch aus, daß eine Quellenreaktionsober­ fläche, die erwärmt werden kann, auf wenigstens einem Platz zwischen einer Vakuumpumpe, die das oben erwähnte Evakuiersystem bildet, und der chemischen Dampfabschei­ dungskammer vorgesehen ist, und daß die Quellenreakti­ onsoberfläche mit Kupfer oder einem Kupferoxid bedeckt ist.
Ein spezifisches Beispiel der Quellenreaktionsoberflä­ che, die erwärmt werden kann und mit Kupfer oder einem Kupferoxid in dem CVD-Gerät gemäß dieser Erfindung be­ deckt ist, ist eine Vorrichtung 121, die in Fig. 14 ge­ zeigt ist. Das heißt, die Quellenreaktionsoberfläche ist in einer Verrohrung angeordnet, die befestigt ist, um die Reaktionskammer und die Vakuumpumpe zu verbinden und die darin mit einer Heizeinrichtung versehen ist, um die Quellenreaktionsoberfläche zu erwärmen. Eine bi­ valente Kupferverbindung, die auf der Cu-CVD-Reaktion beruht, läßt man auf der durch Kupfer oder Kupferoxid gebildeten Reaktionsoberfläche zersetzen, d. h. es wird bewirkt, daß beispielsweise (Hhac)2Cu in Cu und andere Bestandteilkomponenten auf der Reaktionsoberfläche, die durch Kupfer oder Kupferoxid gebildet ist, zersetzt wird, und damit wird verhindert, daß jegliche feste Re­ aktionsprodukte in die Abstromseite des Absaugsystems eintreten, wo eine Vakuumpumpe usw. angeordnet ist, um so die Beschädigung der Vakuumpumpe usw. zu verhindern.
Die Ursachen für das Bilden der Reaktionsoberfläche mit Kupfer oder Kupferoxid sind die folgenden. Insbesondere wirkt die Oberfläche von Kupfer oder Kupferoxid kataly­ tisch auf die Zersetzung von (Hhac)2Cu, so daß Cu leichter aus (Hhac)2Cu auf der Oberfläche von Kupfer oder Kupferoxid als auf der Oberfläche eines anderen Materials ausgefällt werden kann. Als ein Ergebnis wird das meiste von (Hhac)2Cu, das erneut in einen Feststoff gesammelt werden kann, verbraucht, so daß die meisten stromab von der Reaktionsoberfläche fließenden Reakti­ onsprodukte gasförmig (Hfac) und (Hfac)-Zersetzungspro­ dukte sind.
Da Kupferoxid in seiner katalytischen Fähigkeit hervor­ ragend ist, sollte die Reaktionsoberfläche vorzugsweise mit Kupferoxid gebildet werden. Ein anderer Grund für das Verwenden von Kupfer oder Kupferoxid als eine Reak­ tionsoberfläche liegt darin, daß, da die Reaktionsober­ fläche lediglich mit Kupfer abgeschieden ist, die Reak­ tionsoberfläche immer durch Kupfer oder Kupferoxid ge­ bildet wird, selbst wenn die Reaktionsoberfläche für eine lange Zeitdauer verwendet wird. Wenn die Reakti­ onsoberfläche in einem Zustand von Kupferoxid gehalten wird, kann leicht das Fließen einer kleinen Menge an Sauerstoff über eine erwärmte Reaktionsoberfläche bei jeder Gelegenheit realisiert werden, wenn das Scheiben­ verarbeiten durchzuführen ist.
Falls die Wirksamkeit zum Entfernen von (Hhac)2Cu ver­ bessert werden soll, sollte der Oberflächenbereich der Reaktionsoberfläche so groß als möglich innerhalb des Gebietes sein, wo eine befriedigende Absaugdurchführung gewährleistet werden kann. Dies kann realisiert werden, indem mehrere konusförmige Reaktionsoberflächen überla­ gert werden, wie dies in den Fig. 15A bis 15C gezeigt ist, oder indem ein poröser Körper benutzt wird, wie dies in Fig. 16 gezeigt ist.
Die Betriebstemperatur der Reaktionsoberfläche sollte vorzugsweise im Bereich von 200 bis 350°C liegen. Wenn nämlich die Betriebstemperatur innerhalb dieses Berei­ ches eingestellt ist, kann ein ausreichender Grad einer Kupferausfällungsrate auf der Reaktionsoberfläche er­ zielt werden, um so die Festmaterial-Entfernungsfähig­ keit zu verbessern, und gleichzeitig kann das Einfangen von Verunreinigungen infolge der Zersetzung von (Hfac) durch das Kupfer, das auf der Reaktionsoberfläche abge­ schieden ist, vermieden werden. Wenn die Kapazität zum Entfernen des Festmaterials verbessert werden soll, sollte die Reaktionsoberfläche so hoch als möglich in­ nerhalb des oben erwähnten Temperaturbereiches erwärmt werden.
Das Reaktionsprodukte-Entfernungsgerät gemäß dieser Er­ findung kann zusätzlich zu dem obigen Beispiel an ande­ ren Stellen angewandt werden. Beispielsweise kann das Gerät zwischen einer Verrohrung, die angebracht ist, um einen Bereich zu reinigen, der einer Quelle einer hohen Dichte auszusetzen ist (beispielsweise einem Quellen­ speichertank oder einem Quellengaszufuhrsystem) und ei­ ner Vakuumpumpe angeordnet werden, um so jegliche feste Reaktionsprodukte zu entfernen, damit die Beschädigung der Vakuumpumpe verhindert wird.
In der obigen Beschreibung konzentriert sich die Erläu­ terung auf ein chemisches Dampfabscheidungsgerät zum Abscheiden von Kupfer. Jedoch kann die Idee des Verwen­ dens eines durch die CVD abzuscheidenden Metalles oder eines Oxides eines solchen Metalls als ein Beschich­ tungsmetall oder als eine Reaktionsoberfläche gemäß dieser Erfindung auch auf jedes andere chemische Damp­ fabscheidungsgerät zum Abscheiden einer anderen Art ei­ nes Metalles angewandt werden, indem zumeist die glei­ che Art einer chemischen Reaktion durchgeführt wird, wie dies oben erläutert ist. Beispielsweise ist diese Erfindung auf ein chemisches Dampfabscheidungsgerät an­ wendbar, das ein Quellengas mit einem (Hfac)-Radikal und einem Au-Atom verwendet. Wenn insbesondere die CVD von aufwendigen Edelmetallen durchzuführen ist, kann die Wiedergewinnung der Edelmetalle in hoher Reinheit einfach durchgeführt werden, indem diese Erfindung an­ gewandt wird.
Im folgenden wird ein spezifisches Beispiel eines che­ mischen Dampfabscheidungsgerätes beschrieben, dessen Reaktionskammer auf ihrer Oberfläche mit Kupfer oder Kupferoxid bedeckt ist.
Beispiel 11
Fig. 14 veranschaulicht schematisch ein CVD-Gerät gemäß einem anderen Beispiel dieser Erfindung. Der Zweck die­ ses Beispieles ist die Veranschaulichung eines Verfah­ rens zum Verbessern des Haftvermögens eines Cu-Filmes, der unabsichtlich auf einem erwärmten Teil einer Cu- CVD-Reaktionskammer abgeschieden wurde, um so das Ab­ streifen eines abgeschiedenen Filmes zu verhindern.
Dieses Gerät umfaßt im wesentlichen eine CVD-Reaktions­ kammer 111 zum Abscheiden von Cu auf einer Scheibe mit­ tels einer chemischen Dampfabscheidungsmethode, eines Gaszufuhrsystems zum Einspeisen eines Quellengases zu der CVD-Reaktionskammer und einem Evakuiersystem zum Absaugen eines Quellengases aus der Reaktionskammer. Die CVD-Reaktionskammer ist ein CVD-Gerät des kalten Wandtyps mit einer Heizeinrichtung 115 zum Heizen einer Scheibe 110, einem Trägertisch 113, der die Heizein­ richtung und eine Scheibe unterstützt, einem Haltering 114, der verhindert, daß eine CVD-Quelle in die rück­ wärtige Oberfläche der Scheibe eintritt und einer Gas­ richtplatte 116 zum gleichmäßigen Einspeisen eines Quellengases zu der Oberfläche des Substrates.
Das Gaszufuhrsystem umfaßt einen Speichertank 141 zum Speichern einer flüssigen Cu-CVD-Quelle, eine Verroh­ rung zum Liefern eines Inertgases 147 zum Vergasen der CVD-Quelle in dem Speichertank mittels Blasenbildung und eine Verrohrung 118 zum Übertragen des vergasten Quellengases 142 zu der Reaktionskammer. Das Evakuier­ system umfaßt einen Hauptpumpe zum Evakuieren der Reak­ tionskammer bis zu einem hohen Vakuum und eine Hilf­ spumpe 132. Der oben erwähnte Aufbau ist von einem thermischen CVD-Gerät eines allgemeinen Typs. Die Cu- Beschichtung 114, die eines der Merkmale dieser Erfin­ dung ist, wird auf der Oberseite und der Seitenwand des Halteringes 112 und auf dem Außenumfang des Heizein­ richtung-Trägertisches 113 durchgeführt. Die Cu-Be­ schichtung wird mittels Zerstäubens oder Sputterns vor­ genommen. Der Haltering ist aus Quarz hergestellt, und der Heizeinrichtung-Trägertisch besteht aus rostfreiem Stahl.
Versuche des Abscheidens eines Cu-Filmes mittels CVD, die das oben beschriebene chemische Dampfabscheidungs­ gerät verwendet, werden wie folgt vorgenommen. Als eine CVD-Quelle 136 wird (Hfac)Cu(tmvs): {[(CF3CO)2CH]Cu(C5H12Si)} verwendet, das eine monovalen­ te Kupferverbindung ist. Ein Substrat mit einer Silizi­ umscheibe, auf der ein thermischer Oxidfilm mit einer Dicke von 100 nm gebildet ist, wird zum Abscheiden von Cu benutzt. Dann werden ein Ti-Dünnfilm, der 30 nm dick ist, und ein TiN-Dünnfilm, der 60 nm dick ist, mittels Zerstäubens oder Sputterns auf diesem Substrat gebil­ det. Diese Scheibe wird auf eine Heizeinrichtung ge­ bracht, indem die TiN-Oberfläche hiervon so angeordnet wird, daß sie der Gasrichtplatte 116 gegenüberliegt. Der Außenumfang der Scheibe wird auf der Heizeinrich­ tung befestigt, indem die Oberseite der Scheibe mit dem Haltering gepreßt wird.
Dann wird die CVD-Reaktionskammer bis in die Größenord­ nung von 10-4 Pa evakuiert, und sodann wird die Tempera­ tur der Oberseite der Scheibe auf 180°C durch die Hei­ zeinrichtung gesteuert. Wenn die Temperatur der Scheibe konstantgemacht wird, wird der Speichertank auf etwa 70°C erwärmt, um den Dampfdruck der CVD-Quelle anzuhe­ ben, und eine Blasenbildung der CVD-Quelle wird durch­ geführt, indem Ar-Gas verwendet wird, und das sich er­ gebende Mischgas mit dem Quellengas und dem Ar-Gas wird in die CVD-Reaktionskammer eingeführt. Die Zufuhr des Quellengases wird für zwei Minuten fortgesetzt. Die Einleitung und Aussetzung der Zufuhr des Quellengases werden mittels eines Ventiles 40 durchgeführt. Als ein Ergebnis wird ein Cu-Film, der etwa 400 nm dick ist, auf der Scheibe (TiN) aufgetragen. In diesem Zeitpunkt wird die Oberflächentemperatur des Scheibenhalteringes auf etwa 160°C erhöht, und die Abscheidung eines etwa 150 nm dicken Cu-Filmes wird als in einer CVD-Operation auf der Oberfläche des Cu-bedeckten Substrates, das zu­ vor vorbereitet wurde, abgeschieden gefunden.
Die Oberfläche des so mit der CVD behandelten Halterin­ ges wird als eine Spiegelfläche gefunden, um so die ur­ sprüngliche Spiegelfläche des Halteringes beizubehal­ ten. Das Abschälen des abgeschiedenen Cu-Filmes von dem Haltering wurde überhaupt nicht zugelassen. Eine kleine Menge der Cu-Abscheidung wird auf der Seitenwand des Heizeinrichtung-Trägertisches zugelassen, obwohl die Menge der Cu-Abscheidung sich abhängig von deren Be­ reich verändert. Insbesondere wird die höchste Abschei­ dung von Cu in einem Bereich des Heizeinrichtung- Trägertisches gefunden, der am nächsten zu dem Halte­ ring ist, wobei die Menge der Cu-Abscheidung nahezu auf dem gleichen Pegel wie diejenige auf dem Haltering ist. Kein Abschälen der Cu-Abscheidung wird an diesem Teil zugelassen.
Dann wird die Cu-Filmabscheidung auf einer großen An­ zahl von Scheiben mittels der CVD durchgeführt, indem das CVD-Gerät dieser Erfindung verwendet wird, um das Abschälen des unabsichtlich auf der Innenfläche des CVD-Gerätes abgeschiedenen Cu-Filmes zu bestätigen. 60 Stücke von 6-Zoll-Scheiben werden jeweils mit einem 400 nm dicken Cu-Film bei einer Temperatur von 180°C mittels der CVD abgeschieden. Sodann wird das Innere des CVD-Gerätes beobachtet, um eine große Menge einer Cu-Filmabscheidung auf dem Scheibenhaltering und auf der Seitenwand des Heizeinrichtung-Trägertisches zu be­ stätigen. Wenn die Dicke des auf dem Scheibenhaltering abgeschiedenen Cu-Filmes gemessen wird, so wird die Filmdicke hiervon als etwa 10 µm dick gefunden. Jegli­ ches Abschälen des Cu-Filmes, der auf dem Scheibenhal­ tering abgeschieden ist, wird nicht zugelassen, obwohl der Cu-Film in Farbe wolkig ist. Jegliches Abschälen des auf dem Scheibenhaltering abgeschiedenen Cu-Filmes wird auch in einem Abschältest nicht zugelassen, der ein Band verwendet (Scotch mending tape, R810, Sumitomo 3M Co.), was ein hervorragendes Haftvermögen zwischen dem Cu-Film und dem Scheibenring anzeigt.
Andererseits wird der gleiche Versuch, wie oben erläu­ tert, als ein Vergleichsbeispiel mit der Ausnahme durchgeführt, daß auf dem Scheibenhaltering kein Cu- Film abgeschieden ist. Der bei diesem Versuch verwende­ te Scheibenhaltering besteht aus Quarz. Die Ursache für das Auswählen von Quarz liegt darin, daß ein Isolierma­ terial, wie beispielsweise Quarz, im allgemeinen eine Abscheidungsselektivität zeigt, so daß eine CVD-Ab­ scheidung nicht einfach auf der Oberfläche von Quarz im Vergleich mit der Oberfläche der Scheibe bewirkt werden kann. In der gleichen Weise wie in dem obigen Beispiel wird die Scheibe auf 180°C erwärmt, und nach Abscheiden eines Cu-Filmes mit einer Dicke von 400 nm wird das In­ nere des CVD-Gerätes beobachtet, um eine Cu-Filmab­ scheidung mit einer Dicke von etwa 100 nm auf dem Scheibenhaltering zu bestätigen. Jegliches Abschälen des auf dem Scheibenhaltering abgeschiedenen Cu-Filmes wird in diesem Zeitpunkt nicht zugelassen, jedoch wird die Abscheidungsselektivität des Quarzes ebenfalls nicht zugelassen.
In der gleichen Weise, wie in dem obigen Versuch erläu­ tert, werden 60 Stücke von 6-Zoll-Scheiben jeweils mit einem 400 nm dicken Cu-Film bei einer Temperatur von 180°C mittels der CVD abgeschieden. Danach wird das In­ nere des CVD-Gerätes beobachtet, um eine große Menge einer Cu-Filmabscheidung auf dem Scheibenhaltering und auf der Seitenwand des Heizeinrichtung-Trägertisches zu bestätigen. Der auf dem Scheibenhaltering abgeschiedene Cu-Film ist ein rötlicher wolkiger Film im Vergleich mit dem Körper-Cu, und ein Teil des Cu-Filmes wird als abgeschält gefunden.
Das teilweise Abschälen wird auch auf der Seitenwand des Heizeinrichtung-Trägertisches zugelassen, und das Abfallen des abgestreiften Cu auf den Boden der CVD- Reaktionskammer wird ebenfalls zugelassen. Es ist hoch­ wahrscheinlich, daß dieser abgestreifte Cu-Film Staub wird und die Oberfläche der in dem CVD-Gerät zu behan­ delnden Scheibe verunreinigt, um so ein Problem hervor­ zurufen. Wenn das Haftvermögen des auf dem Scheibenhal­ tering abgeschiedenen Cu-Filmes in einem Abschältest wie in dem Fall des obigen Beispiels bewertet wird, so konnte der Cu-Film einfach in der Form einer Folie ab­ gestreift werden, um so ein schwaches Haftvermögen an­ zuzeigen.
Es ist aus den obigen Ergebnissen klar, daß, selbst wenn ein Cu-Film mit einer großen Dicke unabsichtlich auf einem Wärmeteil innerhalb der CVD-Reaktionskammer abgeschieden wird, das Abschälen eines derartigen Cu- Filmes verhindert werden kann, indem das CVD-Gerät die­ ser Erfindung verwendet wird. Daher kann die Frequenz der periodischen Wartung zum Reinigen des Innern der CVD-Reaktionskammer minimiert werden, um so die Be­ triebsrate des CVD-Gerätes zu verbessern.
Beispiel 12
Die Fig. 17A bis 17C zeigen schematisch einen Scheiben­ haltering in einem CVD-Gerät gemäß einem anderen Bei­ spiel dieser Erfindung. Der Zweck dieses Beispieles ist die Veranschaulichung eines Verfahrens zum Verbessern des Haftvermögens eines Cu-Filmes, der unabsichtlich auf einem Scheibenhaltering einer Cu-CVD-Reaktionskam­ mer abgeschieden wurde, um so das Abstreifen eines ab­ geschiedenen Filmes zu verhindern und gleichzeitig die Oberflächenglätte des auf dem Scheibenhaltering abzu­ scheidenden Cu-Filmes zu verbessern, damit die Erzeu­ gung von Staub infolge der Verschlechterung in der Oberflächenglätte des Cu-Filmes minimiert wird.
Die Fig. 17A veranschaulicht einen Scheibenhaltering 152, der aus einkristallinem Aluminiumoxid hergestellt ist, auf dessen Oberfläche ein etwa 400 nm dicker ein­ kristalliner Cu-Film 151 abgeschieden wird. Die Bildung des einkristallinen Cu-Filmes wird wie folgt durchge­ führt. Die Ebenenorientierung des einkristallinen Alu­ miniumoxids wird so behandelt, daß die Oberfläche des Halteringes eine hexagonale Al2O3(024)-Ebene wird. Die Abscheidung des Cu-Filmes wird durchgeführt, während dieses einkristalline Aluminiumoxid bei einer Tempera­ tur von 400°C mittels Zerstäubens erwärmt wird, um so einen (100)-einkristallinen Cu-Film mit einer Dicke von etwa 400 nm abzuscheiden. Fig. 17B veranschaulicht ei­ nen Scheibenhaltering 154, der aus Quarz hergestellt ist, dessen Oberseite und Seitenwand mittels Zerstäu­ bens oder Sputterns mit einem 400 nm dicken Cu-Film 153 ab­ geschieden sind. In diesem Fall ist der Cu-Film ein po­ lykristalliner Film, der hauptsächlich ein Cu-(111)- Kristallkorn und ein Cu-(100)-Kristallkorn aufweist. Fig. 17C veranschaulicht einen Scheibenhaltering 155 des herkömmlichen Typs, der aus Quarz hergestellt ist.
Diese drei Scheibenhalteringe werden in der CVD-Reak­ tionskammer angeordnet, und das Abscheiden einer großen Anzahl von Cu-Filmen wird mittels CVD durchgeführt. Dann wird das Haftvermögen des sich ergebenden, auf dem Scheibenhaltering abgeschiedenen Cu-Filmes beobachtet. Die Abscheidungsbedingungen des Cu-Filmes in der CVD sind die gleichen wie in Beispiel 10. Insbesondere wird die thermische CVD durchgeführt, wobei die Temperatur der Scheibe auf 180°C eingestellt ist, um so einen Cu- Film auf jeder Scheibe abzuscheiden. Als eine CVD- Quelle wird (Hfac)Cu(tmvs) verwendet. Wenn die Abschei­ dung von 60 Stücken von 6-Zoll-Scheiben abgeschlossen ist, wird die Abscheidung eines einkristallinen Cu- Filmes mit einer Dicke von etwa 10 µm auf dem Scheiben­ haltering bestätigt, wie dies in Fig. 17A gezeigt ist. Wenn das Haftvermögen des durch die CVD abgeschiedenen Cu-Filmes an dem Scheibenhaltering in einem Abschältest mittels eines Bandes bewertet wird (Scotch mending ta­ pe, R810, Sumitomo 3M Co.), so wird jegliches Abschälen des Cu-Filmes nicht zugelassen, was ein hervorragendes Haftvermögen zwischen dem Cu-Film und dem Scheibenring anzeigt.
Wenn in ähnlicher Weise die Abscheidung von 60 Stücken von 6-Zoll-Scheiben abgeschlossen ist, wird die Ab­ scheidung eines polykristallinen Cu-Filmes mit einer Dicke von etwa 10 µm auf dem Scheibenhaltering bestä­ tigt, wie dies in Fig. 17B gezeigt ist. Wenn das Haft­ vermögen des durch die CVD abgeschiedenen Cu-Filmes an dem Scheibenhaltering in einem Abschältest mittels ei­ nes Bandes bewertet wird (Scotch mending tape, R810, Sumitomo 3M Co.), so wird jegliches Abschälen des Cu- Filmes wie in dem Fall des in Fig. 17A gezeigten Halte­ ringes nicht zugelassen, was ein hervorragendes Haft­ vermögen des Cu-Filmes anzeigt.
Wenn die Abscheidung von 60 Stücken von 6-Zoll-Scheiben abgeschlossen ist, indem der herkömmliche, in Fig. 17C gezeigte Scheibenhaltering verwendet wird, so wird die Abscheidung eines etwa 10 µm dicken polykristal 17259 00070 552 001000280000000200012000285911714800040 0002019605254 00004 17140linen Cu-Filmes auf dem Scheibenhaltering zugelassen, wie dies in Fig. 17B gezeigt ist. Jedoch wird ein Teil des Cu-Filmes als abgeschält gefunden. Wenn das Haftvermö­ gen des Cu-Filmes an dem Scheibenhaltering in einem Ab­ schältest mittels eines Bandes bewertet wird (Scotch mending tape, R810, Sumitomo 3M Co.), so wird das Ab­ schälen des Cu-Filmes in der Form einer Folie zugelas­ sen. wie aus den obigen Experimenten zu ersehen ist, kann die Verhinderung des Abschälens eines Cu-Filmes, der auf einem Scheibenhaltering nach der Behandlung ei­ ner großen Anzahl von Scheiben abgeschieden ist, wirk­ sam erreicht werden, indem der Scheibenhaltering mit einem polykristallinen oder einkristallinen Cu-Film ge­ mäß dieser Erfindung bedeckt wird.
Dann werden diese drei Scheibenhalteringe in der CVD- Reaktionskammer angeordnet, und das Abscheiden einer großen Anzahl von Cu-Filmen wird mittels einer CVD durchgeführt. Dann wird die Oberflächenglätte des sich ergebenden Cu-Filmes beobachtet, der auf dem Scheiben­ haltering abgeschieden ist. Die Abscheidungsbedingungen des Cu-Filmes in der CVD sind die gleichen wie in Bei­ spiel 10. Insbesondere wird die thermische CVD durchge­ führt, indem die Temperatur der Scheibe auf 180°C ein­ gestellt wird, um so einen Cu-Film auf jeder Scheibe abzuscheiden. Als eine CVD-Quelle wird (Hfac)Cu(tmvs) verwendet. In der Mitte der Abscheidungsbehandlung von 60 Stücken von 6-Zoll-Scheiben wird der Scheibenhalte­ ring aus der Reaktionskammer genommen, und die Oberflä­ chenglätte des auf dem Scheibenhaltering abgeschiedenen Cu-Filmes wird bewertet, indem das Licht-Reflexionsver­ mögen des Cu-Filmes gemessen wird. Die Wellenlänge des bei dieser Messung des Reflexionsvermögens verwendeten Lichtes beträgt 720 nm.
Fig. 18 zeigt eine Beziehung zwischen der Filmdicke des auf jeder von drei Arten von Scheibenhalteringen abge­ schiedenen Cu und dem Reflexionsvermögen des Cu-Filmes. In dieser Fig. 18 wird ein 400 nm dicker Kupferfilm mit einer Spiegeloberfläche, der durch Zerstäuben oder Sputtern bei einer Raumtemperatur auf einer 600 nm dic­ ken TiN-Schicht gebildet ist, die auf einer Si-Scheibe mittels Zerstäubens oder Sputterns abgeschieden ist, als 100% im Reflexionsvermögen angenommen.
Wie aus Fig. 18 zu ersehen ist, ist eine Abnahme im Re­ flexionsvermögen infolge der Zunahme in der Filmdicke minimal in dem Fall eines Scheibenhalteringes, der mit einem einkristallinen Cu-Film bedeckt ist. Insbesondere kann im Vergleich mit anderen zwei Arten von Scheiben­ halteringen die Oberflächenglätte des Cu-Filmes relativ hervorragend gehalten werden, selbst wenn der abge­ schiedene Cu-Film größer wird. Andererseits ist in dem Fall eines mit einem polykristallinen Cu-Film bedeckten Scheibenhalteringes das Reflexionsvermögen des Cu- Filmes ebenfalls hoch, und die Oberflächenglätte hier­ von kann wirksam beibehalten werden, selbst wenn der abgeschiedene Cu-Film größer wird, wenn er mit dem Cu- Film verglichen wird, der auf dem herkömmlichen Schei­ benhaltering abgeschieden ist, welcher nicht mit einem Cu-Film bedeckt ist, obwohl diese Eigenschaften etwas im Vergleich mit dem Fall unterlegen sind, in welchem ein Scheibenhaltering mit einem einkristallinen Cu-Film bedeckt ist.
Selbst wenn, wie oben erläutert ist, die Filmdicke des auf einem Scheibenhaltering während der CVD-Verarbei­ tung abgeschiedenen Cu-Filmes zunimmt, kann die Ober­ flächenglätte des Cu-Filmes hervorragend gehalten wer­ den, indem ein Verfahren verwendet wird, bei dem zuvor ein Cu-Film auf dem Scheibenhaltering abgeschieden wird. Demgemäß ist es möglich, die Erzeugung von Staub infolge des Abschälens des Cu-Filmes zu unterbinden, indem so feine Cu-Teilchen abfallen, die durch die Ver­ schlechterung in der Oberflächenglätte des Cu-Filmes hervorgebracht sind. Wenn insbesondere ein einkristal­ liner Cu-Film verwendet wird, um den Scheibenhaltering zuvor zu bedecken, kann eine hervorragende Oberflächen­ glätte des Cu-Filmes beibehalten werden.
Beispiel 13
Der Zweck dieses Beispiels ist die Veranschaulichung eines Gerätes und eines Verfahrens zur wirksamen Ent­ fernung einer bivalenten Kupferverbindung, die durch eine CVD-Reaktion zu erzeugen ist, wenn eine monovalen­ te Kupferverbindung als ein Quellengas in einem Cu-CVD- Verfahren verwendet wird.
Wie in Fig. 14 gezeigt ist, ist das Gerät zum Entfernen der bivalenten Kupferverbindung gemäß diesem Beispiel zwischen einer CVD-Reaktionskammer 111 und einer Haupt­ pumpe 131 angeordnet, die befestigt ist, um die CVD- Reaktionskammer zu evakuieren. Das Gerät zum Entfernen der bivalenten Kupferverbindung (im folgenden einfach als bivalentes Kupferentfernungsgerät bezeichnet) um­ faßt, wie in Fig. 15 gezeigt ist, eine große Anzahl von Reaktionsflächen 122, deren jede aus Kupfer hergestellt ist und eine Konusgestalt hat. Diese Reaktionsoberflä­ che ist gestaltet, um durch eine außerhalb des bivalen­ ten Kupferentfernungsgerätes angeordnete Heizeinrich­ tung erwärmt zu werden. Die Abscheidung von Cu wird durchgeführt mittels eines thermischen CVD-Gerätes, das mit dem bivalenten Kupferentfernungsgerät ausgestattet ist. In diesem Fall wird als eine CVD-Quelle (Hfac)Cu(tmvs), das eine monovalente Kupferverbindung ist, verwendet. Ein Substrat mit einer Siliziumscheibe, auf der ein thermischer Oxidfilm mit einer Dicke von 100 nm gebildet ist, wird zum Abscheiden von Cu verwen­ det. Dann wird ein 30 nm dicker Ti-Dünnfilm und ein 60 nm dicker TiN-Dünnfilm mittels Zerstäubens oder Sput­ terns auf diesem Substrat gebildet.
Diese Scheibe wird in die CVD-Reaktionskammer gebracht, und nachdem Ventile 142a, 142b und 141b geöffnet sind, wird das Innere der CVD-Reaktionskammer über Absauglei­ tungen 117 und 124 bis in die Größenordnung von 10-4 Pa durch Betätigen einer Hauptvakuumpumpe (einer Turbo- Molekularpumpe) 131 und einer Hilfspumpe (einer Troc­ kenpumpe) 132 evakuiert. Dann wird die Temperatur der Scheibe auf 180°C eingestellt, Ventile 142a und 142b werden geschlossen, und ein im Leitvermögen variables Ventil 141a wird geöffnet, um so das bivalente Kupfe­ rentfernungsgerät in die Absaugstrecke einzulegen. Dann wird die Reaktionsfläche 122 des bivalenten Kupferent­ fernungsgerätes auf 250°C durch eine Heizeinrichtung 123 erwärmt. Sodann wird das Ventil 146 geöffnet, um O2-Gas bei einem Durchsatz von 30 sccm in das bivalente Kupferentfernungsgerät einzuführen.
Sodann wird die CVD-Quelle in die CVD-Reaktionskammer durch Öffnen des Ventiles 140 eingeführt, um eine CVD- Reaktion durchzuführen. Nachdem die Abscheidung eines etwa 2 µm dicken Cu-Filmes auf der Scheibe bewirkt ist, wird die Einführung des Quellengases durch Schließen des Ventiles 140 ausgesetzt. Dann wird die CVD-Reak­ tionskammer über das bivalente Kupferentfernungsgerät bis zu einem Vakuumgrad von 10-2 Pa evakuiert, wonach das Ventil 141a geschlossen wird, und die Ventile 142a und 142b werden wieder geöffnet, um die Evakuierung der Reaktionskammer fortzusetzen, bis der Vakuumgrad der Reaktionskammer die Größenordnung von 10-4 Pa erreicht, und gleichzeitig wird die Heizeinrichtung 123 auf Raum­ temperatur gekühlt. Als ein Ergebnis werden während der Zeitdauer, wenn das Quellengas weiter zugeführt wird, sowie für eine gewisse Zeitdauer, nachdem die Zufuhr des Quellengases ausgesetzt ist, das aus der Reaktions­ kammer entladene Quellengas sowie die Reaktionsprodukte hiervon alle durch das bivalente Kupferentfernungsgerät 121 geschickt, um darin mit O2 gemischt zu werden und dann, während sie in Berührung mit der erwärmten Reak­ tionsoberfläche aus Kupfer sind, in die Vakuumpumpe ab­ geführt.
Nachdem der Betrieb des CVD-Gerätes ausgesetzt ist, wird das Absaugsystem hiervon zerlegt, um das Innere der CVD-Reaktionskammer 111, der Verrohrung 117 und 124, des bivalenten Kupferentfernungsgerätes 121 und der Einlaßöffnung der Hauptpumpe 131 zu beobachten, da­ mit die Auswirkungen des bivalenten Kupferentfernungs­ gerätes 121 geprüft werden. Als ein Ergebnis wird das Vorhandensein des charakteristischen grünen festen Kri­ stalles, der ein Anzeichen des Vorhandenseins einer bi­ valenten Cu-Verbindung (hfac)2Cu infolge der CVD-Reak­ tion des monovalenten (hfac)Cu(tmvs) ist, überhaupt nicht irgendwo bei diesen Teilen beobachtet, jedoch wird ein Cu-Dünnfilm, der während der CVD anscheinend abgeschieden ist, auf der Reaktionsoberfläche 122 in dem bivalenten Kupferentfernungsgerät 121 zugelassen. Folglich hat sich bestätigt, daß es mit der Verwendung dieses Entfernungsgerätes möglich ist, ein Ausfällen der bivalenten Kupferverbindung (die wahrscheinlicher zu erzeugen ist, wenn eine monovalente Kupferverbindung als ein CVD-Quellengas verwendet wird), als einen fe­ sten kleinen Kristall in dem Absaugsystem zu verhindern und gleichzeitig ein Anhaften des festen kleinen Kri­ stalles an den Flügeln einer Turbo-Molekularpumpe zu vermeiden, um beispielsweise dadurch eine Störung der Pumpe zu verursachen.
In dem obigen Beispiel wird die aus Kupfer hergestellte Reaktionsoberfläche verwendet. Da jedoch die Reakti­ onsoberfläche in einer oxidierenden Atmosphäre erwärmt wird, wird die Oberfläche hiervon tatsächlich oxidiert. Obwohl weiterhin das aus der Zersetzung der bivalenten Kupferverbindung resultierenden Cu auf der Reakti­ onsoberfläche abgeschieden wird, wird das Cu unmittel­ bar oxidiert, nachdem es in der oxidierenden Atmosphäre erwärmt wurde. Daher zeichnet sich die Reaktionsober­ fläche gemäß dieser Erfindung dadurch aus, daß sie in ein Kupferoxid oder einen Kupfer enthaltenden Sauer­ stoff wenigstens während des Betriebes des bivalenten Kupferentfernungsgerätes gewendet ist. Die Reaktions­ oberfläche kann auch aus Kupferoxid ab dem Beginn ge­ bildet sein.
Die Gestalt der Reaktionsoberfläche in dem bivalenten Kupferentfernungsgerät ist nicht auf diejenige be­ schränkt, die in Fig. 15 angegeben ist. Insbesondere kann die Reaktionsoberfläche jegliche Gestalt haben, solange der Oberflächenbereich hiervon ausreichend groß für das Entfernen einer großen Menge an festen Reakti­ onsprodukten ist, und sie ist fähig, eine ausreichende Absaugrate zu erreichen, die für das Einstellen des Druckes in der CVD-Reaktionskammer erforderlich ist. Beispielsweise kann sie ein säulenartiger Körper sein, der aus Kupfer oder Kupferoxid hergestellt ist und dar­ in mit einer großen Anzahl von Durchgangslöchern 129 verse­ hen ist, wie dies in Fig. 16 gezeigt ist.
Andererseits wird als ein Vergleichsbeispiel das Absau­ gen der in Fig. 1 gezeigten CVD-Reaktionskammer während der Cu-CVD direkt durchgeführt, indem die Vakuumpumpe mittels der Verrohrung 124 betätigt wird, ohne das Re­ aktionsgas durch das bivalente Kupferentfernungsgerät verlaufen zu lassen. Dann wird nach der Abscheidung ei­ nes etwa 2 µm dicken Cu-Filmes auf der Scheibe wie in dem Fall des obigen Beispiels das Innere von jeder Ein­ heit aus der CVD-Reaktionskammer dem Absaugsystem und der Hauptpumpe beobachtet, um das Haftvermögen von grü­ nen Teilchen mit einem Durchmesser von etwa 0,3 mm auf den Innenflächen der Verrohrung und der Pumpe zu ermit­ teln.
Diese festen Materialien, die so ausgefällt sind, wer­ den aus der Farbe hiervon als eine bivalente Cu-Verbin­ dung (hfac)2Cu betrachtet. Wenn in diesem Fall die Ab­ scheidung von Cu mittels der CVD fortgesetzt wird, nimmt die Ansammlung dieses ausgefällten Produktes, wie oben erläutert, weiter zu, um so letztlich die Pumpe zu beschädigen. Es kann möglich sein, die Ausfällung der bivalenten Kupferverbindung durch Erwärmung der oben erwähnten Verrohrung und der Turbo-Molekularpumpe zu reduzieren. Selbst wenn jedoch ein solches Erwärmen durchgeführt wird, wird eine andere Ansammlung von aus­ gefällten Produkten auf der Stromabseite verursacht, wodurch das Problem nicht gelöst wird.
Beispiel 14
Der Zweck dieses Beispiels ist die Veranschaulichung eines Ausführungsbeispiels, bei dem das Entfernungsge­ rät für die Cu-CVD, die im obigen Beispiel 13 erläutert ist, auf einen verschiedenen Teil des CVD-Gerätes ange­ wandt wird.
Die Quellenzufuhrleitungen zum Liefern des Cu-CVD- Quellengases von dem Speichertank zu der CVD-Reaktions­ kammer werden im allgemeinen auf 50 bis 100°C hinsicht­ lich der Verhinderung eines Zusammenballens des Quel­ lengases erwärmt. Jedoch kann dieses Erwärmen die Disproportionierung stimulieren, bei der ein Teil des Quellengases (monovalente Kupferverbindung) in eine bi­ valente Kupferverbindung verändert wird, wobei die sich ergebende bivalente Kupferverbindung wahrscheinlicher in den Rohren angesammelt wird, die zum Zuführen einer Quelle vorgesehen sind. Die Ansammlung dieser bivalen­ ten Kupferverbindung kann in eine Vakuumpumpe eintre­ ten, die zum Absaugen der Quellenzufuhrleitungen ange­ ordnet ist, um so die Vakuumpumpe zu stören, oder in die CVD-Reaktionskammer fließen, um auf einer Scheibe anzuhaften und die Erzeugung von Staub zu verursachen.
Um diese Probleme zu lösen, ist das bivalente Kupfe­ rentfernungsgerät 121 dieser Erfindung, wie in Fig. 19 gezeigt, in der Mitte der Verrohrung 125 angeordnet, die zum Evakuieren der CVD-Quellengas-Zufuhrleitungen vorgesehen ist. Der Betrieb des bivalenten Kupferent­ fernungsgerätes 121 kann wie folgt durchgeführt werden. Insbesondere wird das Ventil 143 geschlossen, um die Cu-CVD zu beenden. Dann werden die Einlaß- und Auslaß­ ventile des Speichertankes geschlossen, um das Einströ­ men des Quellengases zu den Versorgungsleitungen auszu­ setzen. Sodann wird die Reaktionsoberfläche 122 des bi­ valenten Kupferentfernungsgerätes auf 250°C erwärmt, und das Ventil 146 wird geöffnet, um O2-Gas bei einem Durchsatz von 10 sccm in das bivalente Kupferentfer­ nungsgerät einzuführen.
Sodann werden die Ventile 143, 144a und 144b geöffnet, und die Verrohrung für die Quellengas-Zufuhrleitungen wird über das bivalente Kupferentfernungsgerät evaku­ iert. Bei dieser Gelegenheit sollte, um die Rückführung des in das bivalente Kupferentfernungsgerät eingeführ­ ten O2-Gases in die Quellengas-Zufuhrleitungen zu ver­ hindern, die Absaugung vorzugsweise durchgeführt wer­ den, während das Quellengas-Zufuhrrohr mit einem aus­ reichenden Durchsatz eines Inertgases, wie beispiels­ weise eines Ar-Gases 147 gereinigt wird. Wenn eine Be­ obachtung am Innern von jeder Einheit aus dem Quellen­ gasrohr 118, dem Absaugrohr 125 und der Pumpe 131 vor­ genommen wird, nachdem die obige Absaugung für 5 Minu­ ten fortgesetzt wurde, wird das Vorhandensein des cha­ rakteristischen grünen festen Kristalles, das ein An­ zeichen für das Vorhandensein einer bivalenten Cu- Verbindung ist, nicht erkannt.
Wie oben erläutert ist, kann dieses Entfernungsgerät für die Cu-CVD nicht nur auf die Absaugleitungen der CVD-Reaktionskammer, sondern auch auf andere Teile des CVD-Gerätes angewandt werden, das gestaltet ist, um dem CVD-Quellengas ausgesetzt zu sein, wie beispielsweise auf Quellenzufuhrleitungen, um wirksam die bivalente Kupferverbindung zu entfernen, die in diesen Teilen des CVD-Gerätes zu erzeugen ist.
Es ist mit der Verwendung des chemischen Dampfabschei­ dungsgerätes dieser Erfindung möglich, das Abschälen eines Cu-Filmes zu verhindern, der unabsichtlich auf einer Oberfläche von Teilen außer der Scheibe in der Reaktionskammer abgeschieden ist, um so die Erzeugung von Staub zu minimieren und daher die Frequenz einer Reinigungsoperation des Innern des Gerätes klein zu ma­ chen. Als ein Ergebnis können die Betriebsrate des che­ mischen Dampfabscheidungsgerätes sowie die industrielle Produktivität im Vergleich mit dem herkömmlichen Gerät verbessert werden.
Weiterhin ist es mit der Verwendung des erfindungsgemä­ ßen Dampfabscheidungsgerätes möglich, wirksam feste Re­ aktionsprodukte zu entfernen, die auf der Reaktion ei­ nes CVD-Quellengases beruhen, bevor diese festen Reak­ tionsprodukte in eine Vakuumpumpe eintreten, um so eine Störung der Pumpe zu verhindern, was zu Verbesserungen der Betriebsrate des chemischen Dampfabscheidungsgerä­ tes und der industriellen Produktivität im Vergleich mit dem herkömmlichen Gerät führt.

Claims (29)

1. Verfahren zum Herstellen einer Halbleitervorrich­ tung mit den folgenden Schritten:
Bilden eines Zwischendünnfilmes (13), der entwe­ der ein Diffusionsbarrieren-Dünnfilm oder ein eine Haf­ tung eines ersten leitenden Dünnfilmes (15) bewir­ kender Dünnfilm ist, auf einem Substrat (11),
Durchführen einer ersten Dampfabscheidung durch Einspeisen eines Quellengases mit einer kupferhal­ tigen organometallischen Verbindung und eines Oxi­ diergases über dem Zwischendünnfilm (13), um da­ durch einen ersten leitenden Dünnfilm (15), der Kupfer als eine Hauptkomponente und eine geringe Konzentration von Sauerstoff enthält, durch chemi­ sche Dampfabscheidung aufwachsen zu lassen, und
Durchführen einer zweiten Dampfabscheidung durch Einspeisen des Quellengases ohne Einspeisen des Oxidiergases, um dadurch einen zweiten leitenden Dünnfilm (16), der hauptsächlich Kupfer enthält, durch chemische Dampfabscheidung aufwachsen zu las­ sen.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß der Zwischendünnfilm (13) aus der Gruppe ge­ wählt ist, die aus hochschmelzenden Metallen, Ni­ triden von hochschmelzenden Metallen, Siliziden von hochschmelzenden Metallen und einer Ternärlegierung mit einem hochschmelzenden Metall, Si und N be­ steht.
3. Verfahren nach Anspruch 2, dadurch gekennzeichnet, daß das hochschmelzende Metall aus der Gruppe ge­ wählt ist, die aus Nb, Ta, Ti und W besteht.
4. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß das Oxidiergas aus der Gruppe gewählt ist, die aus Sauerstoffgas, Ozon und Wasser besteht.
5. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Temperatur (11) des Substrates bei der er­ sten und zweiten Dampfabscheidung 200°C oder nied­ riger ist.
6. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die organische Kupferverbindung von einer mole­ kularen Struktur ist, die eine Lewis-Basis hat, welche über ein Sauerstoffatom mit einem Kupferatom verkettet ist.
7. Verfahren nach Anspruch 6, dadurch gekennzeichnet, daß die organische Kupferverbindung eine β-Diketo­ nat-Verbindung ist.
8. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß der erste leitende Dünnfilm (15) eine Filmdicke von 10 bis 50 nm hat.
9. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Zufuhr des Oxidiergases derart gesteuert ist, daß das Partialdruckverhältnis zwischen dem Oxidiergas und dem Kupferquellengas nicht größer als 2 wird.
10. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Konzentration des Sauerstoffes in dem er­ sten leitenden Dünnfilm (15) 1 Atom-% oder weniger beträgt.
11. Verfahren nach einem der Ansprüche 1 bis 10, ge­ kennzeichnet durch folgenden weiteren Schritt: Wärmebehandeln der ersten und zweiten leitenden Dünnfilme (15, 16) bei einer Temperatur, die höher ist als diejenigen, die in der ersten und zweiten Dampfabscheidung verwendet werden.
12. verfahren nach Anspruch 11, dadurch gekennzeichnet, daß die Wärmebehandlungstemperatur der ersten und zweiten leitenden Dünnfilme (15, 16) in dem Bereich von 300°C oder mehr liegt.
13. Verfahren nach Anspruch 11, dadurch gekennzeichnet, daß die Wärmebehandlungstemperatur der ersten und zweiten leitenden Dünnfilme bei 300°C bis 500°C liegt.
14. Chemisches Dampfabscheidungsgerät, mit:
einer chemischen Dampfreaktionskammer (41) zum Aufnehmen eines Substrates (41b), auf dessen Ober­ fläche ein Dünnfilm abzuscheiden ist,
einer Verdampfungskammer (Verdampfer) (43), die mit der chemischen Dampfreaktionskammer (41) in Verbindung steht, um eine flüssige organometalli­ sche Verbindung zu verdampfen, und
einer Quellenzufuhreinrichtung (44b) zum Zufüh­ ren der flüssigen organometallischen Verbindung von einer Quellenspeicherkammer (44) zu der Verdamp­ fungskammer (43),
wobei die Verdampfungskammer (43) mit einer Ver­ dampfungsoberfläche (43a) als einer Verdampfungs­ einrichtung versehen ist, mit der die flüssige or­ ganometallische Verbindung verdampft wird, indem die flüssige organometallische Verbindung abwärts auf der Verdampfungsoberfläche (43a) fließt.
15. Chemisches Dampfabscheidungsgerät nach Anspruch 14, dadurch gekennzeichnet, daß der Verdampfer (43) ei­ ne konusförmige Verdampfungsoberfläche (43a) mit einer an der Spitze hiervon angeordneten Zufuhröff­ nung (43d) umfaßt.
16. Chemisches Dampfabscheidungsgerät nach Anspruch 15, dadurch gekennzeichnet, daß die konusförmige Ver­ dampfungsoberfläche (43a) mit einer Vielzahl von Rillen (43b) entlang der Erzeugungslinie des Konus versehen ist, wobei die organometallische Verbin­ dung längs der Rillen fließen kann, um darauf zu verdampfen.
17. Chemisches Dampfabscheidungsgerät nach Anspruch 14, gekennzeichnet durch eine Quellengas-Ventileinrich­ tung (43c), die zwischen dem Verdampfer (43) und der Reaktionskammer (41) angeordnet und gestaltet ist, um eine Zufuhr eines organometallischen Ver­ bindungsgases, das in dem Verdampfer (43) verdampft ist, zu der Reaktionskammer (41) einzuleiten oder auszusetzen, und eine Gasrichtplatte (42), die zwi­ schen der Ventileinrichtung (43c) und dem Substrat (41b) angeordnet und mit einer Vielzahl von kleinen Öffnungen und einem Oberflächenbereich versehen ist, der größer ist als ein Filmbildungsbereich des Substrates (41b).
18. Chemisches Dampfabscheidungsgerät nach Anspruch 14, dadurch gekennzeichnet, daß die Quellenzufuhrein­ richtung (44b) mit einem Ventil (44a) für eine flüssige Quelle versehen ist, die gestaltet ist, um gemäß einem Druck in dem Verdampfer (43) geöffnet oder geschlossen zu werden, um den Druck in dem Verdampfer (43) konstant zu machen.
19. Chemisches Dampfabscheidungsgerät mit:
einer chemischen Dampfreaktionskammer zum Auf­ nehmen eines Substrates, bei dem auf einer Oberflä­ che hiervon ein Dünnfilm abzuscheiden ist,
einer Verdampfungskammer, die in Verbindung mit der chemischen Dampfreaktionskammer ist, um eine flüssige organometallische Verbindung zu verdamp­ fen, und
einer Quellenzufuhreinrichtung zum Zuführen der flüssigen organometallischen Verbindung von einer Quellenspeicherkammer zu der Verdampfungskammer,
wobei die Quellenzufuhreinrichtung aufweist: ei­ ne drehbare zylindrische Dreheinrichtung (62), ei­ nen Zylinder (61), der in der zylindrischen Dreh­ einrichtung (62) parallel mit und versetzt von der Achse (63) der zylindrischen Dreheinrichtung (62) angeordnet und mit einer Einlaßöffnung (65) an ei­ ner Endfläche der zylindrischen Dreheinrichtung (62) und einer Auslaßöffnung (66) an der anderen Endfläche der zylindrischen Dreheinrichtung (62) versehen ist, eine Einrichtung zum Drehen der zy­ lindrischen Dreheinrichtung, eine Flüssigquellen- Zufuhreinrichtung, deren Auslaßöffnung (64) an ei­ ner ersten Stelle auf der einen Endfläche der zy­ lindrischen Dreheinrichtung (62) angeordnet ist, um eine flüssige organometallische Verbindung von der Quellenspeicherkammer einzuspeisen, eine Hochdruck- Gaszufuhreinrichtung, deren Auslaßöffnung (67) bei einer zweiten Stelle auf der einen Endfläche der zylindrischen Dreheinrichtung (62) angeordnet ist, eine Flüssigquellen-Absaugdüse (68), deren Einlaß­ öffnung bei einer Stelle der anderen Endfläche der zylindrischen Dreheinrichtung (62) vorgesehen ist, die der zweiten Stelle entspricht, und eine Ein­ richtung zum Absaugen der flüssigen Quelle über die Flüssigquellen-Absaugdüse durch Einspeisen der flüssigen organometallischen Verbindung zu dem Zy­ linder durch Betätigen der Flüssigquellen-Zufuhr­ einrichtung, wenn die Einlaßöffnung des Zylinders (61) bei der ersten Stelle liegt, wenn der Zylinder (61) durch die Dreheinrichtung gedreht wird, und durch Einspeisen des Hochdruckgases zu dem Zylinder durch Betätigen der Hochdruck-Gaszufuhreinrichtung, wenn die Einlaßöffnung des Zylinders (61) bei der zweiten Stelle liegt, wenn der Zylinder (61) durch die Dreheinrichtung gedreht wird.
20. Chemisches Dampfabscheidungsgerät nach Anspruch 19, dadurch gekennzeichnet, daß die zylindrische Dre­ heinrichtung (62) mit einer Vielzahl von Zylindern (61a, 61b, 61c, 61d) darin versehen ist.
21. Chemisches Dampfabscheidungsgerät nach Anspruch 19, dadurch gekennzeichnet, daß der Zylinder (61) eine Kapazität hat, die kleiner ist als ein Volumen ei­ ner Quellenflüssigkeit, das erforderlich ist, um eine Filmbildungsoperation durchzuführen.
22. Chemisches Dampfabscheidungsgerät nach Anspruch 19, dadurch gekennzeichnet, daß die Dreheinrichtung (62) mit einer Kühleinrichtung ausgestattet ist.
23. Chemisches Dampfabscheidungsgerät mit:
einer chemischen Dampfreaktionskammer (111) zum Aufnehmen eines Substrates (110), bei dem auf einer Oberfläche hiervon ein Dünnfilm abzuscheiden ist,
einer Verdampfungskammer, die in Verbindung mit der chemischen Dampfreaktionskammer steht, um eine flüssige organometallische Verbindung zu verdamp­ fen, und
einer Quellenzufuhreinrichtung zum Zuführen der flüssigen organometallischen Verbindung von einer Quellenspeicherkammer zu der Verdampfungskammer,
wobei die chemische Dampfreaktionskammer (111) so aufgebaut ist, daß wenigstens ein Teil der Ober­ fläche hiervon, die zu dem Quellengas von der Ver­ dampfungskammer freiliegt, mit Kupfer oder einem Kupferoxid bedeckt ist.
24. Chemisches Dampfabscheidungsgerät nach Anspruch 23, dadurch gekennzeichnet, daß der Teil der Oberflä­ che, der mit Kupfer oder einem Kupferoxid bedeckt ist, ein Bereich um das Substrat ist.
25. Chemisches Dampfabscheidungsgerät nach Anspruch 23, dadurch gekennzeichnet, daß das Kupfer oder ein Kupferoxid auf einem hochschmelzenden Metall oder einem Nitrid des hochschmelzenden Metalles, das zu­ vor auf dem Teil der Oberfläche gebildet ist, ge­ schichtet ist.
26. Chemisches Dampfabscheidungsgerät nach Anspruch 23, dadurch gekennzeichnet, daß wenigstens der Teil der Oberfläche hiervon, der dem Quellengas freiliegt, mit einem einkristallinen Kupfer bedeckt ist.
27. Chemisches Dampfabscheidungsgerät nach Anspruch 23, dadurch gekennzeichnet, daß wenigstens der Teil der Oberfläche hiervon, der dem Quellengas ausgesetzt ist, aus einem einkristallinem Aluminiumoxid gebil­ det ist, das auf seiner Oberfläche mit einem ein­ kristallinen Kupfer bedeckt ist.
28. Chemisches Dampfabscheidungsgerät nach Anspruch 23, dadurch gekennzeichnet, daß eine Reaktionsprodukt- Entfernungseinrichtung (121) auf 200 bis 350°C er­ wärmt ist.
29. Chemisches Dampfabscheidungsgerät nach Anspruch 23, dadurch gekennzeichnet, daß Sauerstoffgas in eine Reaktionsprodukt-Entfernungseinrichtung (121) ein­ geführt wird, um ein Kupferoxid auf der Innenober­ fläche hiervon abzuscheiden, und daß so ein Reakti­ onsprodukt, das in der chemischen Dampfreaktions­ kammer (111) gebildet ist, entfernt wird.
DE19605254A 1995-02-13 1996-02-13 Verfahren zur Herstellung einer Halbleitervorrichtung mit Kupferdünnfilmen und chemische Dampfabscheidungsgeräte Expired - Fee Related DE19605254C2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP4658695 1995-02-13
JP02407396A JP3417751B2 (ja) 1995-02-13 1996-02-09 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
DE19605254A1 DE19605254A1 (de) 1996-08-14
DE19605254C2 true DE19605254C2 (de) 1999-08-26

Family

ID=26361558

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19605254A Expired - Fee Related DE19605254C2 (de) 1995-02-13 1996-02-13 Verfahren zur Herstellung einer Halbleitervorrichtung mit Kupferdünnfilmen und chemische Dampfabscheidungsgeräte

Country Status (4)

Country Link
US (1) US5953634A (de)
JP (1) JP3417751B2 (de)
DE (1) DE19605254C2 (de)
TW (4) TW352457B (de)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090701A (en) * 1994-06-21 2000-07-18 Kabushiki Kaisha Toshiba Method for production of semiconductor device
US5990008A (en) * 1996-09-25 1999-11-23 Kabushiki Kaisha Toshiba Semiconductor device with pure copper wirings and method of manufacturing a semiconductor device with pure copper wirings
US6152074A (en) * 1996-10-30 2000-11-28 Applied Materials, Inc. Deposition of a thin film on a substrate using a multi-beam source
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
JP3304807B2 (ja) * 1997-03-13 2002-07-22 三菱電機株式会社 銅薄膜の成膜方法
JP3231659B2 (ja) 1997-04-28 2001-11-26 日本電気株式会社 自動研磨装置
JP3463979B2 (ja) * 1997-07-08 2003-11-05 富士通株式会社 半導体装置の製造方法
US6099649A (en) * 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP3149846B2 (ja) * 1998-04-17 2001-03-26 日本電気株式会社 半導体装置及びその製造方法
JP4083921B2 (ja) * 1998-05-29 2008-04-30 株式会社東芝 半導体装置の製造方法
JP4307592B2 (ja) * 1998-07-07 2009-08-05 Okiセミコンダクタ株式会社 半導体素子における配線形成方法
US6210485B1 (en) * 1998-07-21 2001-04-03 Applied Materials, Inc. Chemical vapor deposition vaporizer
US5948467A (en) * 1998-07-24 1999-09-07 Sharp Laboratories Of America, Inc. Enhanced CVD copper adhesion by two-step deposition process
JP3067021B2 (ja) * 1998-09-18 2000-07-17 インターナショナル・ビジネス・マシーンズ・コーポレ−ション 両面配線基板の製造方法
KR100460746B1 (ko) * 1999-04-13 2004-12-09 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
JP3892621B2 (ja) * 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
JP5053471B2 (ja) * 1999-05-11 2012-10-17 株式会社東芝 配線膜の製造方法と電子部品の製造方法
JP3319513B2 (ja) * 1999-09-02 2002-09-03 日本電気株式会社 銅配線の形成方法
US6919273B1 (en) * 1999-12-09 2005-07-19 Tokyo Electron Limited Method for forming TiSiN film, diffusion preventive film comprising TiSiN film, semiconductor device and its production method, and apparatus for forming TiSiN film
KR100358045B1 (ko) * 1999-12-22 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
US6777331B2 (en) * 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
JP3646784B2 (ja) * 2000-03-31 2005-05-11 セイコーエプソン株式会社 薄膜パタ−ンの製造方法および微細構造体
JP2001298028A (ja) * 2000-04-17 2001-10-26 Tokyo Electron Ltd 半導体デバイス製造方法
JP2001308082A (ja) 2000-04-20 2001-11-02 Nec Corp 液体有機原料の気化方法及び絶縁膜の成長方法
JP2002060942A (ja) * 2000-06-07 2002-02-28 Anelva Corp 銅薄膜形成方法及び銅薄膜形成装置
JP2002057126A (ja) * 2000-08-10 2002-02-22 Fujitsu Ltd 半導体装置とその製造方法
JP2002105639A (ja) * 2000-09-25 2002-04-10 L'air Liquide Mocvd処理用の銅原料液及びその製造方法
US6624067B2 (en) 2001-02-13 2003-09-23 Bae Systems And Information And Electronic Systems Integration Inc. Process for removing a silicon-containing material through use of a byproduct generated during formation of a diffusion barrier layer
US6596344B2 (en) * 2001-03-27 2003-07-22 Sharp Laboratories Of America, Inc. Method of depositing a high-adhesive copper thin film on a metal nitride substrate
US6509266B1 (en) 2001-04-02 2003-01-21 Air Products And Chemicals, Inc. Halogen addition for improved adhesion of CVD copper to barrier
US6461979B1 (en) * 2002-02-13 2002-10-08 Taiwan Semiconductor Manufacturing Company LPCVD furnace uniformity improvement by temperature ramp down deposition system
JP3883918B2 (ja) * 2002-07-15 2007-02-21 日本エー・エス・エム株式会社 枚葉式cvd装置及び枚葉式cvd装置を用いた薄膜形成方法
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
WO2004040630A1 (ja) * 2002-10-30 2004-05-13 Hitachi Kokusai Electric Inc. 半導体デバイスの製造方法及び基板処理装置
JP3643580B2 (ja) * 2002-11-20 2005-04-27 株式会社東芝 プラズマ処理装置及び半導体製造装置
US7540935B2 (en) * 2003-03-14 2009-06-02 Lam Research Corporation Plasma oxidation and removal of oxidized material
US7261118B2 (en) * 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7235138B2 (en) 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7584942B2 (en) * 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7472581B2 (en) * 2005-03-16 2009-01-06 Tokyo Electron Limited Vacuum apparatus
US7905109B2 (en) * 2005-09-14 2011-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Rapid cooling system for RTP chamber
CN100578743C (zh) * 2005-12-02 2010-01-06 株式会社爱发科 Cu膜的形成方法
US8791018B2 (en) 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
JP5424876B2 (ja) * 2007-06-05 2014-02-26 株式会社アルバック 薄膜トランジスタ製造方法、液晶表示装置製造方法、電極形成方法
KR101173645B1 (ko) * 2007-12-31 2012-08-20 (주)에이디에스 가스 분사 유닛 및 이를 구비하는 박막 증착 장치
JP5133923B2 (ja) * 2009-03-12 2013-01-30 東京エレクトロン株式会社 トラップ装置
JP5083285B2 (ja) * 2009-08-24 2012-11-28 東京エレクトロン株式会社 疎水化処理装置、疎水化処理方法及び記憶媒体
US8518818B2 (en) * 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
JP5209106B2 (ja) * 2011-10-28 2013-06-12 東京エレクトロン株式会社 半導体デバイス製造方法
TWI624554B (zh) * 2015-08-21 2018-05-21 弗里松股份有限公司 蒸發源
MY190445A (en) 2015-08-21 2022-04-21 Flisom Ag Homogeneous linear evaporation source
US10960322B2 (en) 2018-07-02 2021-03-30 Pratt Bethers Apparatus for purifying crystals using solvent vapors
JP6774972B2 (ja) * 2018-02-08 2020-10-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR20220043028A (ko) * 2020-09-28 2022-04-05 가부시키가이샤 코쿠사이 엘렉트릭 기화 시스템, 기판 처리 장치 및 반도체 장치의 제조 방법
CN114807893A (zh) * 2021-01-19 2022-07-29 圆益Ips股份有限公司 薄膜形成方法
CN115763430B (zh) * 2022-10-28 2024-01-16 惠科股份有限公司 显示面板及其膜层厚度量测方法、测试结构

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991017284A1 (en) * 1990-04-30 1991-11-14 International Business Machines Corporation Apparatus for low temperature cvd of metals

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4880959A (en) * 1988-10-26 1989-11-14 International Business Machines Corporation Process for interconnecting thin-film electrical circuits
US5126283A (en) * 1990-05-21 1992-06-30 Motorola, Inc. Process for the selective encapsulation of an electrically conductive structure in a semiconductor device
US5171734A (en) * 1991-04-22 1992-12-15 Sri International Coating a substrate in a fluidized bed maintained at a temperature below the vaporization temperature of the resulting coating composition
JP2885616B2 (ja) * 1992-07-31 1999-04-26 株式会社東芝 半導体装置およびその製造方法
US5442235A (en) * 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US5736002A (en) * 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991017284A1 (en) * 1990-04-30 1991-11-14 International Business Machines Corporation Apparatus for low temperature cvd of metals

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
FARKAS, J., et al.: FTIR Studies of the Adsorption/Desorption Behavior of Cu Chemical Vapor Deposition Precursors on Silica. US-Z.: J.Electrochem.Soc., Vol. 141, No. 12, December 1994, p. 3539-3546 *
FARKAS, J., et al.: FTIR Studies of the Adsorption/Desorption Behavior of Cu Chemical Vapor Deposition Precursors on Silica. US-Z.: J.Electrochem.Soc., Vol. 141, No. 12, December 1994, p. 3547-3555 *
JAIN, A., et al.: Control of selectivity during chemical vapor deposition of copper from copper (I) compounds via silicon dioxide surface modification. US-Z.: Appl.Phys.Lett. 61 (22), November 1992, p. 2662-2664 *
REYNOLDS, S.K., et al.: Chemical vapor deposition of copper from 1,5-cyclooctadiene copper (I) hexa-fluoroacetylacetonat. US-Z.: Appl.Phys.Lett. 59 (18), 28. October 1991, S. 2332-2334 *

Also Published As

Publication number Publication date
TW321780B (de) 1997-12-01
TW352457B (en) 1999-02-11
JP3417751B2 (ja) 2003-06-16
TW309628B (de) 1997-07-01
TW317000B (de) 1997-10-01
US5953634A (en) 1999-09-14
JPH08288242A (ja) 1996-11-01
DE19605254A1 (de) 1996-08-14

Similar Documents

Publication Publication Date Title
DE19605254C2 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit Kupferdünnfilmen und chemische Dampfabscheidungsgeräte
DE3727264C2 (de)
DE3916622C2 (de)
DE4013143C2 (de)
DE69837674T2 (de) Doppeldamaszen-metallisierung
DE4107756C2 (de)
KR100413890B1 (ko) 반도체장치의제조방법및제조장치
DE10132882B4 (de) Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung
US5250467A (en) Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
TWI251619B (en) Low-pressure deposition of metal layers from metal-carbonyl precursors
JP2559030B2 (ja) 金属薄膜の製造方法
DE19534082A1 (de) Verfahren zur Herstellung einer Halbleitereinrichtung
DE4237587A1 (de)
DE19654737A1 (de) Halbleitervorrichtung und Verfahren zu ihrer Herstellung
US6066358A (en) Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
DE102005057075A1 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht
DE112005002353B4 (de) Verfahren zur Herstellung von Sammelleitungen aus Kupfer
DE10041565A1 (de) Metallzwischenverbindung, Halbleitervorrichtung, Verfahren zum Bilden einer Metallzwischenverbindung und Verfahren zum Herstellen einer Halbleitervorrichtung
DE19752637A1 (de) Verfahren zur Herstellung einer metallischen Leitung einer Halbleitereinrichtung
DE102005063089A1 (de) Verfahren zum Reduzieren der Kontaminierung durch Vorsehen einer Ätzstoppschicht am Substratrand
DE10214065B4 (de) Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
EP0420590B1 (de) Verfahren zum Herstellen einer abgeschiedenen Schicht, und Verfahren zum Herstellen einer Halbleitervorrichtung
EP0420589B1 (de) Verfahren zum Herstellen einer abgeschiedenen Schicht und Verfahren zum Herstellen einer Halbleitervorrichtung
Korobov et al. Effect of deposition parameters on the properties of In2O3/InP junctions
Yoon et al. Effects of the deposition temperature on the resistivity of copper films produced by low-pressure metal-organic chemical vapour deposition on a TiN barrier layer

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20130903